diff --git a/scripts/interlaken_top/.Xil/interlaken_interface_propImpl.xdc b/scripts/interlaken_top/.Xil/interlaken_interface_propImpl.xdc deleted file mode 100644 index 447640fa1bbeec768ff64630e0be5fd6d400e93c..0000000000000000000000000000000000000000 --- a/scripts/interlaken_top/.Xil/interlaken_interface_propImpl.xdc +++ /dev/null @@ -1,225 +0,0 @@ -set_property SRC_FILE_INFO {cfile:/data/et/myronm/wupper-interlaken/firmware/constraints/pcie_dma_top_VC709.xdc rfile:../../../constraints/pcie_dma_top_VC709.xdc id:1} [current_design] -set_property SRC_FILE_INFO {cfile:/data/et/myronm/wupper-interlaken/firmware/constraints/Core1990_Constraints.xdc rfile:../../../constraints/Core1990_Constraints.xdc id:2} [current_design] -set_property SRC_FILE_INFO {cfile:/eda/fpga/xilinx/Vivado/2019.2/data/ip/xpm/xpm_cdc/tcl/xpm_cdc_gray.tcl rfile:../../../../../../../../eda/fpga/xilinx/Vivado/2019.2/data/ip/xpm/xpm_cdc/tcl/xpm_cdc_gray.tcl id:3 order:LATE scoped_inst:{Interlaken_RX/g_lanes[0].fifo0/fifo/xpm_fifo_base_inst/gen_cdc_pntr.rd_pntr_cdc_inst} unmanaged:yes} [current_design] -set_property SRC_FILE_INFO {cfile:/eda/fpga/xilinx/Vivado/2019.2/data/ip/xpm/xpm_cdc/tcl/xpm_cdc_gray.tcl rfile:../../../../../../../../eda/fpga/xilinx/Vivado/2019.2/data/ip/xpm/xpm_cdc/tcl/xpm_cdc_gray.tcl id:4 order:LATE scoped_inst:{Interlaken_RX/g_lanes[0].fifo0/fifo/xpm_fifo_base_inst/gen_cdc_pntr.wr_pntr_cdc_inst} unmanaged:yes} [current_design] -set_property SRC_FILE_INFO {cfile:/eda/fpga/xilinx/Vivado/2019.2/data/ip/xpm/xpm_cdc/tcl/xpm_cdc_gray.tcl rfile:../../../../../../../../eda/fpga/xilinx/Vivado/2019.2/data/ip/xpm/xpm_cdc/tcl/xpm_cdc_gray.tcl id:5 order:LATE scoped_inst:{Interlaken_RX/g_lanes[1].fifo0/fifo/xpm_fifo_base_inst/gen_cdc_pntr.rd_pntr_cdc_inst} unmanaged:yes} [current_design] -set_property SRC_FILE_INFO {cfile:/eda/fpga/xilinx/Vivado/2019.2/data/ip/xpm/xpm_cdc/tcl/xpm_cdc_gray.tcl rfile:../../../../../../../../eda/fpga/xilinx/Vivado/2019.2/data/ip/xpm/xpm_cdc/tcl/xpm_cdc_gray.tcl id:6 order:LATE scoped_inst:{Interlaken_RX/g_lanes[1].fifo0/fifo/xpm_fifo_base_inst/gen_cdc_pntr.wr_pntr_cdc_inst} unmanaged:yes} [current_design] -set_property SRC_FILE_INFO {cfile:/eda/fpga/xilinx/Vivado/2019.2/data/ip/xpm/xpm_cdc/tcl/xpm_cdc_gray.tcl rfile:../../../../../../../../eda/fpga/xilinx/Vivado/2019.2/data/ip/xpm/xpm_cdc/tcl/xpm_cdc_gray.tcl id:7 order:LATE scoped_inst:{Interlaken_RX/g_lanes[2].fifo0/fifo/xpm_fifo_base_inst/gen_cdc_pntr.rd_pntr_cdc_inst} unmanaged:yes} [current_design] -set_property SRC_FILE_INFO {cfile:/eda/fpga/xilinx/Vivado/2019.2/data/ip/xpm/xpm_cdc/tcl/xpm_cdc_gray.tcl rfile:../../../../../../../../eda/fpga/xilinx/Vivado/2019.2/data/ip/xpm/xpm_cdc/tcl/xpm_cdc_gray.tcl id:8 order:LATE scoped_inst:{Interlaken_RX/g_lanes[2].fifo0/fifo/xpm_fifo_base_inst/gen_cdc_pntr.wr_pntr_cdc_inst} unmanaged:yes} [current_design] -set_property SRC_FILE_INFO {cfile:/eda/fpga/xilinx/Vivado/2019.2/data/ip/xpm/xpm_cdc/tcl/xpm_cdc_gray.tcl rfile:../../../../../../../../eda/fpga/xilinx/Vivado/2019.2/data/ip/xpm/xpm_cdc/tcl/xpm_cdc_gray.tcl id:9 order:LATE scoped_inst:{Interlaken_RX/g_lanes[3].fifo0/fifo/xpm_fifo_base_inst/gen_cdc_pntr.rd_pntr_cdc_inst} unmanaged:yes} [current_design] -set_property SRC_FILE_INFO {cfile:/eda/fpga/xilinx/Vivado/2019.2/data/ip/xpm/xpm_cdc/tcl/xpm_cdc_gray.tcl rfile:../../../../../../../../eda/fpga/xilinx/Vivado/2019.2/data/ip/xpm/xpm_cdc/tcl/xpm_cdc_gray.tcl id:10 order:LATE scoped_inst:{Interlaken_RX/g_lanes[3].fifo0/fifo/xpm_fifo_base_inst/gen_cdc_pntr.wr_pntr_cdc_inst} unmanaged:yes} [current_design] -set_property SRC_FILE_INFO {cfile:/eda/fpga/xilinx/Vivado/2019.2/data/ip/xpm/xpm_cdc/tcl/xpm_cdc_gray.tcl rfile:../../../../../../../../eda/fpga/xilinx/Vivado/2019.2/data/ip/xpm/xpm_cdc/tcl/xpm_cdc_gray.tcl id:11 order:LATE scoped_inst:{Interlaken_RX/g_lanes[0].fifo0/fifo/xpm_fifo_base_inst/gen_cdc_pntr.wr_pntr_cdc_dc_inst} unmanaged:yes} [current_design] -set_property SRC_FILE_INFO {cfile:/eda/fpga/xilinx/Vivado/2019.2/data/ip/xpm/xpm_cdc/tcl/xpm_cdc_gray.tcl rfile:../../../../../../../../eda/fpga/xilinx/Vivado/2019.2/data/ip/xpm/xpm_cdc/tcl/xpm_cdc_gray.tcl id:12 order:LATE scoped_inst:{Interlaken_RX/g_lanes[1].fifo0/fifo/xpm_fifo_base_inst/gen_cdc_pntr.wr_pntr_cdc_dc_inst} unmanaged:yes} [current_design] -set_property SRC_FILE_INFO {cfile:/eda/fpga/xilinx/Vivado/2019.2/data/ip/xpm/xpm_cdc/tcl/xpm_cdc_gray.tcl rfile:../../../../../../../../eda/fpga/xilinx/Vivado/2019.2/data/ip/xpm/xpm_cdc/tcl/xpm_cdc_gray.tcl id:13 order:LATE scoped_inst:{Interlaken_RX/g_lanes[2].fifo0/fifo/xpm_fifo_base_inst/gen_cdc_pntr.wr_pntr_cdc_dc_inst} unmanaged:yes} [current_design] -set_property SRC_FILE_INFO {cfile:/eda/fpga/xilinx/Vivado/2019.2/data/ip/xpm/xpm_cdc/tcl/xpm_cdc_gray.tcl rfile:../../../../../../../../eda/fpga/xilinx/Vivado/2019.2/data/ip/xpm/xpm_cdc/tcl/xpm_cdc_gray.tcl id:14 order:LATE scoped_inst:{Interlaken_RX/g_lanes[3].fifo0/fifo/xpm_fifo_base_inst/gen_cdc_pntr.wr_pntr_cdc_dc_inst} unmanaged:yes} [current_design] -set_property SRC_FILE_INFO {cfile:/eda/fpga/xilinx/Vivado/2019.2/data/ip/xpm/xpm_cdc/tcl/xpm_cdc_gray.tcl rfile:../../../../../../../../eda/fpga/xilinx/Vivado/2019.2/data/ip/xpm/xpm_cdc/tcl/xpm_cdc_gray.tcl id:15 order:LATE scoped_inst:{Interlaken_RX/g_lanes[0].fifo0/fifo/xpm_fifo_base_inst/gen_cdc_pntr.rd_pntr_cdc_dc_inst} unmanaged:yes} [current_design] -set_property SRC_FILE_INFO {cfile:/eda/fpga/xilinx/Vivado/2019.2/data/ip/xpm/xpm_cdc/tcl/xpm_cdc_gray.tcl rfile:../../../../../../../../eda/fpga/xilinx/Vivado/2019.2/data/ip/xpm/xpm_cdc/tcl/xpm_cdc_gray.tcl id:16 order:LATE scoped_inst:{Interlaken_RX/g_lanes[1].fifo0/fifo/xpm_fifo_base_inst/gen_cdc_pntr.rd_pntr_cdc_dc_inst} unmanaged:yes} [current_design] -set_property SRC_FILE_INFO {cfile:/eda/fpga/xilinx/Vivado/2019.2/data/ip/xpm/xpm_cdc/tcl/xpm_cdc_gray.tcl rfile:../../../../../../../../eda/fpga/xilinx/Vivado/2019.2/data/ip/xpm/xpm_cdc/tcl/xpm_cdc_gray.tcl id:17 order:LATE scoped_inst:{Interlaken_RX/g_lanes[2].fifo0/fifo/xpm_fifo_base_inst/gen_cdc_pntr.rd_pntr_cdc_dc_inst} unmanaged:yes} [current_design] -set_property SRC_FILE_INFO {cfile:/eda/fpga/xilinx/Vivado/2019.2/data/ip/xpm/xpm_cdc/tcl/xpm_cdc_gray.tcl rfile:../../../../../../../../eda/fpga/xilinx/Vivado/2019.2/data/ip/xpm/xpm_cdc/tcl/xpm_cdc_gray.tcl id:18 order:LATE scoped_inst:{Interlaken_RX/g_lanes[3].fifo0/fifo/xpm_fifo_base_inst/gen_cdc_pntr.rd_pntr_cdc_dc_inst} unmanaged:yes} [current_design] -set_property src_info {type:XDC file:1 line:27 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS18 [get_ports emcclk] -set_property src_info {type:XDC file:1 line:28 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN AP37 [get_ports emcclk] -set_property src_info {type:XDC file:1 line:31 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN AR38 [get_ports emcclk_out] -set_property src_info {type:XDC file:1 line:32 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS18 [get_ports emcclk_out] -set_property src_info {type:XDC file:1 line:34 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN AT36 [get_ports si5324_reset_n] -set_property src_info {type:XDC file:1 line:35 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS18 [get_ports si5324_reset_n] -set_property src_info {type:XDC file:1 line:43 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN AM39 [get_ports {leds[0]}] -set_property src_info {type:XDC file:1 line:44 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN AN39 [get_ports {leds[1]}] -set_property src_info {type:XDC file:1 line:45 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN AR37 [get_ports {leds[2]}] -set_property src_info {type:XDC file:1 line:46 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN AT37 [get_ports {leds[3]}] -set_property src_info {type:XDC file:1 line:47 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN AR35 [get_ports {leds[4]}] -set_property src_info {type:XDC file:1 line:48 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN AP41 [get_ports {leds[5]}] -set_property src_info {type:XDC file:1 line:49 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN AP42 [get_ports {leds[6]}] -set_property src_info {type:XDC file:1 line:50 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN AU39 [get_ports {leds[7]}] -set_property src_info {type:XDC file:1 line:52 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS18 [get_ports {leds[0]}] -set_property src_info {type:XDC file:1 line:53 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS18 [get_ports {leds[1]}] -set_property src_info {type:XDC file:1 line:54 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS18 [get_ports {leds[2]}] -set_property src_info {type:XDC file:1 line:55 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS18 [get_ports {leds[3]}] -set_property src_info {type:XDC file:1 line:56 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS18 [get_ports {leds[4]}] -set_property src_info {type:XDC file:1 line:57 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS18 [get_ports {leds[5]}] -set_property src_info {type:XDC file:1 line:58 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS18 [get_ports {leds[6]}] -set_property src_info {type:XDC file:1 line:59 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS18 [get_ports {leds[7]}] -set_property src_info {type:XDC file:1 line:61 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN AU32 [get_ports SDA] -set_property src_info {type:XDC file:1 line:62 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN AT35 [get_ports SCL] -set_property src_info {type:XDC file:1 line:63 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN AY42 [get_ports i2cmux_rst] -set_property src_info {type:XDC file:1 line:65 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS18 [get_ports SDA] -set_property src_info {type:XDC file:1 line:66 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS18 [get_ports SCL] -set_property src_info {type:XDC file:1 line:67 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS18 [get_ports i2cmux_rst] -set_property src_info {type:XDC file:1 line:94 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN AV35 [get_ports sys_reset_n] -set_property src_info {type:XDC file:1 line:95 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS18 [get_ports sys_reset_n] -set_property src_info {type:XDC file:1 line:96 export:INPUT save:INPUT read:READ} [current_design] -set_property PULLUP true [get_ports sys_reset_n] -set_property src_info {type:XDC file:1 line:109 export:INPUT save:INPUT read:READ} [current_design] -set_property LOC IBUFDS_GTE2_X1Y11 [get_cells pcie0/u1/g_virtex7.refclk_buff] -set_property src_info {type:XDC file:1 line:114 export:INPUT save:INPUT read:READ} [current_design] -create_clock -period 10.000 -name sys_clk [get_pins pcie0/u1/g_virtex7.refclk_buff/O] -set_property src_info {type:XDC file:1 line:116 export:INPUT save:INPUT read:READ} [current_design] -create_generated_clock -name clk_125mhz_x0y1 [get_pins pcie0/u1/g_virtex7.pipe_clock0/mmcm0/CLKOUT0] -set_property src_info {type:XDC file:1 line:117 export:INPUT save:INPUT read:READ} [current_design] -create_generated_clock -name clk_250mhz_x0y1 [get_pins pcie0/u1/g_virtex7.pipe_clock0/mmcm0/CLKOUT1] -set_property src_info {type:XDC file:1 line:118 export:INPUT save:INPUT read:READ} [current_design] -create_generated_clock -name userclk1 [get_pins pcie0/u1/g_virtex7.pipe_clock0/mmcm0/CLKOUT2] -set_property src_info {type:XDC file:1 line:119 export:INPUT save:INPUT read:READ} [current_design] -create_generated_clock -name userclk2 [get_pins pcie0/u1/g_virtex7.pipe_clock0/mmcm0/CLKOUT3] -set_property src_info {type:XDC file:1 line:121 export:INPUT save:INPUT read:READ} [current_design] -create_generated_clock -name clk_125mhz_mux_x0y1 -source [get_pins pcie0/u1/g_virtex7.pipe_clock0/g0.pclk_i1/I0] -divide_by 1 [get_pins pcie0/u1/g_virtex7.pipe_clock0/g0.pclk_i1/O] -set_property src_info {type:XDC file:1 line:122 export:INPUT save:INPUT read:READ} [current_design] -create_generated_clock -name clk_250mhz_mux_x0y1 -source [get_pins pcie0/u1/g_virtex7.pipe_clock0/g0.pclk_i1/I1] -divide_by 1 -add -master_clock clk_250mhz_x0y1 [get_pins pcie0/u1/g_virtex7.pipe_clock0/g0.pclk_i1/O] -set_property src_info {type:XDC file:1 line:123 export:INPUT save:INPUT read:READ} [current_design] -set_clock_groups -name pcieclkmux -physically_exclusive -group clk_125mhz_mux_x0y1 -group clk_250mhz_mux_x0y1 -set_property src_info {type:XDC file:1 line:125 export:INPUT save:INPUT read:READ} [current_design] -set_false_path -to [get_pins pcie0/u1/g_virtex7.pipe_clock0/g0.pclk_i1/S0] -set_property src_info {type:XDC file:1 line:126 export:INPUT save:INPUT read:READ} [current_design] -set_false_path -to [get_pins pcie0/u1/g_virtex7.pipe_clock0/g0.pclk_i1/S1] -set_property src_info {type:XDC file:1 line:128 export:INPUT save:INPUT read:READ} [current_design] -set_max_delay -datapath_only -from [get_clocks clk_out40_clk_wiz_40*] -to [get_clocks *] 24.0 -set_property src_info {type:XDC file:1 line:129 export:INPUT save:INPUT read:READ} [current_design] -set_max_delay -datapath_only -from [get_clocks *] -to [get_clocks clk_out40_clk_wiz_40*] 24.0 -set_property src_info {type:XDC file:1 line:135 export:INPUT save:INPUT read:READ} [current_design] -set_false_path -from [get_ports sys_reset_n] -set_property src_info {type:XDC file:1 line:136 export:INPUT save:INPUT read:READ} [current_design] -set_false_path -reset_path -from [get_pins pcie0/u1/g_virtex7.u1/inst/gt_top_i/pipe_wrapper_i/pipe_reset_i/cpllreset_reg/C] -set_property src_info {type:XDC file:2 line:17 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN AK34 [get_ports USER_CLK_IN_P] -set_property src_info {type:XDC file:2 line:18 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVDS [get_ports USER_CLK_IN_P] -set_property src_info {type:XDC file:2 line:21 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVDS [get_ports REC_CLOCK_C_P] -set_property src_info {type:XDC file:2 line:22 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN AW32 [get_ports REC_CLOCK_C_P] -set_property src_info {type:XDC file:2 line:25 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN H19 [get_ports SYSCLK_P] -set_property src_info {type:XDC file:2 line:26 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVDS [get_ports SYSCLK_P] -set_property src_info {type:XDC file:2 line:29 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN AB41 [get_ports {SFP_TX_DISABLE[0]}] -set_property src_info {type:XDC file:2 line:30 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN Y42 [get_ports {SFP_TX_DISABLE[1]}] -set_property src_info {type:XDC file:2 line:31 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN AC38 [get_ports {SFP_TX_DISABLE[2]}] -set_property src_info {type:XDC file:2 line:32 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN AC40 [get_ports {SFP_TX_DISABLE[3]}] -set_property src_info {type:XDC file:2 line:33 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS18 [get_ports {SFP_TX_DISABLE[0]}] -set_property src_info {type:XDC file:2 line:34 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS18 [get_ports {SFP_TX_DISABLE[1]}] -set_property src_info {type:XDC file:2 line:35 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS18 [get_ports {SFP_TX_DISABLE[2]}] -set_property src_info {type:XDC file:2 line:36 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS18 [get_ports {SFP_TX_DISABLE[3]}] -set_property src_info {type:XDC file:2 line:39 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN Y39 [get_ports {SFP_RX_LOS[0]}] -set_property src_info {type:XDC file:2 line:40 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN AA40 [get_ports {SFP_RX_LOS[1]}] -set_property src_info {type:XDC file:2 line:41 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN AD38 [get_ports {SFP_RX_LOS[2]}] -set_property src_info {type:XDC file:2 line:42 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN AD40 [get_ports {SFP_RX_LOS[3]}] -set_property src_info {type:XDC file:2 line:43 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS18 [get_ports {SFP_RX_LOS[0]}] -set_property src_info {type:XDC file:2 line:44 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS18 [get_ports {SFP_RX_LOS[1]}] -set_property src_info {type:XDC file:2 line:45 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS18 [get_ports {SFP_RX_LOS[2]}] -set_property src_info {type:XDC file:2 line:46 export:INPUT save:INPUT read:READ} [current_design] -set_property IOSTANDARD LVCMOS18 [get_ports {SFP_RX_LOS[3]}] -set_property src_info {type:XDC file:2 line:76 export:INPUT save:INPUT read:READ} [current_design] -set_max_delay -datapath_only -from [get_clocks clkout0*] -to [get_clocks clk_out1_clk_40MHz*] 25.000 -set_property src_info {type:XDC file:2 line:77 export:INPUT save:INPUT read:READ} [current_design] -set_max_delay -datapath_only -from [get_clocks clk_out1_clk_40MHz*] -to [get_clocks clkout0*] 25.000 -set_property src_info {type:XDC file:2 line:78 export:INPUT save:INPUT read:READ} [current_design] -set_max_delay -datapath_only -from [get_clocks clkout0*] -to [get_clocks clk_out2_clk_40MHz*] 8.333 -set_property src_info {type:XDC file:2 line:79 export:INPUT save:INPUT read:READ} [current_design] -set_max_delay -datapath_only -from [get_clocks clk_out2_clk_40MHz*] -to [get_clocks clkout0*] 8.333 -current_instance {Interlaken_RX/g_lanes[0].fifo0/fifo/xpm_fifo_base_inst/gen_cdc_pntr.rd_pntr_cdc_inst} -set_property src_info {type:SCOPED_XDC file:3 line:23 export:INPUT save:NONE read:READ} [current_design] -create_waiver -internal -scoped -type CDC -id {CDC-6} -user "xpm_cdc" -tags "1009444" -desc "The CDC-6 warning is waived as it is safe in the context of XPM_CDC_GRAY." -from [get_pins -quiet {src_gray_ff_reg*/C}] -to [get_pins -quiet {dest_graysync_ff_reg*/D}] -current_instance -current_instance {Interlaken_RX/g_lanes[0].fifo0/fifo/xpm_fifo_base_inst/gen_cdc_pntr.wr_pntr_cdc_inst} -set_property src_info {type:SCOPED_XDC file:4 line:23 export:INPUT save:NONE read:READ} [current_design] -create_waiver -internal -scoped -type CDC -id {CDC-6} -user "xpm_cdc" -tags "1009444" -desc "The CDC-6 warning is waived as it is safe in the context of XPM_CDC_GRAY." -from [get_pins -quiet {src_gray_ff_reg*/C}] -to [get_pins -quiet {dest_graysync_ff_reg*/D}] -current_instance -current_instance {Interlaken_RX/g_lanes[1].fifo0/fifo/xpm_fifo_base_inst/gen_cdc_pntr.rd_pntr_cdc_inst} -set_property src_info {type:SCOPED_XDC file:5 line:23 export:INPUT save:NONE read:READ} [current_design] -create_waiver -internal -scoped -type CDC -id {CDC-6} -user "xpm_cdc" -tags "1009444" -desc "The CDC-6 warning is waived as it is safe in the context of XPM_CDC_GRAY." -from [get_pins -quiet {src_gray_ff_reg*/C}] -to [get_pins -quiet {dest_graysync_ff_reg*/D}] -current_instance -current_instance {Interlaken_RX/g_lanes[1].fifo0/fifo/xpm_fifo_base_inst/gen_cdc_pntr.wr_pntr_cdc_inst} -set_property src_info {type:SCOPED_XDC file:6 line:23 export:INPUT save:NONE read:READ} [current_design] -create_waiver -internal -scoped -type CDC -id {CDC-6} -user "xpm_cdc" -tags "1009444" -desc "The CDC-6 warning is waived as it is safe in the context of XPM_CDC_GRAY." -from [get_pins -quiet {src_gray_ff_reg*/C}] -to [get_pins -quiet {dest_graysync_ff_reg*/D}] -current_instance -current_instance {Interlaken_RX/g_lanes[2].fifo0/fifo/xpm_fifo_base_inst/gen_cdc_pntr.rd_pntr_cdc_inst} -set_property src_info {type:SCOPED_XDC file:7 line:23 export:INPUT save:NONE read:READ} [current_design] -create_waiver -internal -scoped -type CDC -id {CDC-6} -user "xpm_cdc" -tags "1009444" -desc "The CDC-6 warning is waived as it is safe in the context of XPM_CDC_GRAY." -from [get_pins -quiet {src_gray_ff_reg*/C}] -to [get_pins -quiet {dest_graysync_ff_reg*/D}] -current_instance -current_instance {Interlaken_RX/g_lanes[2].fifo0/fifo/xpm_fifo_base_inst/gen_cdc_pntr.wr_pntr_cdc_inst} -set_property src_info {type:SCOPED_XDC file:8 line:23 export:INPUT save:NONE read:READ} [current_design] -create_waiver -internal -scoped -type CDC -id {CDC-6} -user "xpm_cdc" -tags "1009444" -desc "The CDC-6 warning is waived as it is safe in the context of XPM_CDC_GRAY." -from [get_pins -quiet {src_gray_ff_reg*/C}] -to [get_pins -quiet {dest_graysync_ff_reg*/D}] -current_instance -current_instance {Interlaken_RX/g_lanes[3].fifo0/fifo/xpm_fifo_base_inst/gen_cdc_pntr.rd_pntr_cdc_inst} -set_property src_info {type:SCOPED_XDC file:9 line:23 export:INPUT save:NONE read:READ} [current_design] -create_waiver -internal -scoped -type CDC -id {CDC-6} -user "xpm_cdc" -tags "1009444" -desc "The CDC-6 warning is waived as it is safe in the context of XPM_CDC_GRAY." -from [get_pins -quiet {src_gray_ff_reg*/C}] -to [get_pins -quiet {dest_graysync_ff_reg*/D}] -current_instance -current_instance {Interlaken_RX/g_lanes[3].fifo0/fifo/xpm_fifo_base_inst/gen_cdc_pntr.wr_pntr_cdc_inst} -set_property src_info {type:SCOPED_XDC file:10 line:23 export:INPUT save:NONE read:READ} [current_design] -create_waiver -internal -scoped -type CDC -id {CDC-6} -user "xpm_cdc" -tags "1009444" -desc "The CDC-6 warning is waived as it is safe in the context of XPM_CDC_GRAY." -from [get_pins -quiet {src_gray_ff_reg*/C}] -to [get_pins -quiet {dest_graysync_ff_reg*/D}] -current_instance -current_instance {Interlaken_RX/g_lanes[0].fifo0/fifo/xpm_fifo_base_inst/gen_cdc_pntr.wr_pntr_cdc_dc_inst} -set_property src_info {type:SCOPED_XDC file:11 line:23 export:INPUT save:NONE read:READ} [current_design] -create_waiver -internal -scoped -type CDC -id {CDC-6} -user "xpm_cdc" -tags "1009444" -desc "The CDC-6 warning is waived as it is safe in the context of XPM_CDC_GRAY." -from [get_pins -quiet {src_gray_ff_reg*/C}] -to [get_pins -quiet {dest_graysync_ff_reg*/D}] -current_instance -current_instance {Interlaken_RX/g_lanes[1].fifo0/fifo/xpm_fifo_base_inst/gen_cdc_pntr.wr_pntr_cdc_dc_inst} -set_property src_info {type:SCOPED_XDC file:12 line:23 export:INPUT save:NONE read:READ} [current_design] -create_waiver -internal -scoped -type CDC -id {CDC-6} -user "xpm_cdc" -tags "1009444" -desc "The CDC-6 warning is waived as it is safe in the context of XPM_CDC_GRAY." -from [get_pins -quiet {src_gray_ff_reg*/C}] -to [get_pins -quiet {dest_graysync_ff_reg*/D}] -current_instance -current_instance {Interlaken_RX/g_lanes[2].fifo0/fifo/xpm_fifo_base_inst/gen_cdc_pntr.wr_pntr_cdc_dc_inst} -set_property src_info {type:SCOPED_XDC file:13 line:23 export:INPUT save:NONE read:READ} [current_design] -create_waiver -internal -scoped -type CDC -id {CDC-6} -user "xpm_cdc" -tags "1009444" -desc "The CDC-6 warning is waived as it is safe in the context of XPM_CDC_GRAY." -from [get_pins -quiet {src_gray_ff_reg*/C}] -to [get_pins -quiet {dest_graysync_ff_reg*/D}] -current_instance -current_instance {Interlaken_RX/g_lanes[3].fifo0/fifo/xpm_fifo_base_inst/gen_cdc_pntr.wr_pntr_cdc_dc_inst} -set_property src_info {type:SCOPED_XDC file:14 line:23 export:INPUT save:NONE read:READ} [current_design] -create_waiver -internal -scoped -type CDC -id {CDC-6} -user "xpm_cdc" -tags "1009444" -desc "The CDC-6 warning is waived as it is safe in the context of XPM_CDC_GRAY." -from [get_pins -quiet {src_gray_ff_reg*/C}] -to [get_pins -quiet {dest_graysync_ff_reg*/D}] -current_instance -current_instance {Interlaken_RX/g_lanes[0].fifo0/fifo/xpm_fifo_base_inst/gen_cdc_pntr.rd_pntr_cdc_dc_inst} -set_property src_info {type:SCOPED_XDC file:15 line:23 export:INPUT save:NONE read:READ} [current_design] -create_waiver -internal -scoped -type CDC -id {CDC-6} -user "xpm_cdc" -tags "1009444" -desc "The CDC-6 warning is waived as it is safe in the context of XPM_CDC_GRAY." -from [get_pins -quiet {src_gray_ff_reg*/C}] -to [get_pins -quiet {dest_graysync_ff_reg*/D}] -current_instance -current_instance {Interlaken_RX/g_lanes[1].fifo0/fifo/xpm_fifo_base_inst/gen_cdc_pntr.rd_pntr_cdc_dc_inst} -set_property src_info {type:SCOPED_XDC file:16 line:23 export:INPUT save:NONE read:READ} [current_design] -create_waiver -internal -scoped -type CDC -id {CDC-6} -user "xpm_cdc" -tags "1009444" -desc "The CDC-6 warning is waived as it is safe in the context of XPM_CDC_GRAY." -from [get_pins -quiet {src_gray_ff_reg*/C}] -to [get_pins -quiet {dest_graysync_ff_reg*/D}] -current_instance -current_instance {Interlaken_RX/g_lanes[2].fifo0/fifo/xpm_fifo_base_inst/gen_cdc_pntr.rd_pntr_cdc_dc_inst} -set_property src_info {type:SCOPED_XDC file:17 line:23 export:INPUT save:NONE read:READ} [current_design] -create_waiver -internal -scoped -type CDC -id {CDC-6} -user "xpm_cdc" -tags "1009444" -desc "The CDC-6 warning is waived as it is safe in the context of XPM_CDC_GRAY." -from [get_pins -quiet {src_gray_ff_reg*/C}] -to [get_pins -quiet {dest_graysync_ff_reg*/D}] -current_instance -current_instance {Interlaken_RX/g_lanes[3].fifo0/fifo/xpm_fifo_base_inst/gen_cdc_pntr.rd_pntr_cdc_dc_inst} -set_property src_info {type:SCOPED_XDC file:18 line:23 export:INPUT save:NONE read:READ} [current_design] -create_waiver -internal -scoped -type CDC -id {CDC-6} -user "xpm_cdc" -tags "1009444" -desc "The CDC-6 warning is waived as it is safe in the context of XPM_CDC_GRAY." -from [get_pins -quiet {src_gray_ff_reg*/C}] -to [get_pins -quiet {dest_graysync_ff_reg*/D}] diff --git a/scripts/interlaken_top/gtnlv.log b/scripts/interlaken_top/gtnlv.log deleted file mode 100644 index dda780f7c7b9ae730c6b0f216b5156cc3e7a113c..0000000000000000000000000000000000000000 --- a/scripts/interlaken_top/gtnlv.log +++ /dev/null @@ -1,537 +0,0 @@ -# Copyright (C) 1994-2019, Concept Engineering GmbH. -# All Rights Reserved. Cmd=logfile. -# Nlview 7.0.21 2019-05-29 bk=1.5064 VDI=41 GEI=36 GUI=JA:9.0 TLS -# License cookie [G|T|S|B|*] for HR="Xilinx Inc." -# ----------------------------------------------------------------------------- -# ----------------------------------------------------------------------------- -property showattribute 1 -property showcellname true -property shadowstyle 1 -property gatecellname 2 -property showpinname 2 -property showhierpinname 2 -property showinstname false -property boxpinsquare 2 -property boxhierpins 2 -property backgroundcolor #F8F8FF -property boxinstcolor #000000 -property boxcolor0 #000000 -property boxpincolor #000000 -property netcolor #000000 -property buscolor #000000 -property portcolor #000000 -property portnamecolor #000000 -property boxminwidth 50 -property boxminheight 40 -module new gtmodule -# * Current module is gtmodule -load symbol QUAD v HIERBOX port i0 in port i1 in port i2 in port i3 in port i4 in -load symbol {CHANNEL PLL} syn BOX port In in port Out out text CPLL -cc 25 0 12 -load symbol {QUAD PLL} syn BOX port In in port Out out text QPLL -cc 25 0 12 -load port REFCLK1_Q9 in -pg 1 -y 121 -x 1 -attr @fillcolor #3a5fcd -load port REFCLK0_Q9 in -pg 1 -y 191 -x 1 -attr @fillcolor #3a5fcd -load inst Quad8 QUAD v -pg 1 -y 1 -x 2000 -autohide -attr @cell Quad9 -attr @name {} -attr @fillcolor #d9d9d9 -attribute {pin Quad8 i0 } -attr @name {} -attribute {pin Quad8 i1 } -attr @name {} -attribute {pin Quad8 i2 } -attr @name {} -attribute {pin Quad8 i3 } -attr @name {} -attribute {pin Quad8 i4 } -attr @name {} -attribute {hierPin Quad8 i0 } -attr @name {} -attribute {hierPin Quad8 i1 } -attr @name {} -attribute {hierPin Quad8 i2 } -attr @name {} -attribute {hierPin Quad8 i3 } -attr @name {} -attribute {hierPin Quad8 i4 } -attr @name {} -load inst cpll.8.3 {CHANNEL PLL} syn -hier Quad8 -pg 1 -y 2 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.8.3 In} -attr @name {} -attribute {pin cpll.8.3 Out} -attr @name {} -load symbol GTH_X1Y39 syn HIERGEN port TX in port RX in -load inst GT.8.3 GTH_X1Y39 syn -hier Quad8 -pg 1 -y 2 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load inst cpll.8.2 {CHANNEL PLL} syn -hier Quad8 -pg 1 -y 87 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.8.2 In} -attr @name {} -attribute {pin cpll.8.2 Out} -attr @name {} -load symbol GTH_X1Y38 syn HIERGEN port TX in port RX in -load inst GT.8.2 GTH_X1Y38 syn -hier Quad8 -pg 1 -y 87 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load inst qpll.8 {QUAD PLL} syn -hier Quad8 -pg 1 -y 172 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin qpll.8 In} -attr @name {} -attribute {pin qpll.8 Out} -attr @name {} -load inst cpll.8.1 {CHANNEL PLL} syn -hier Quad8 -pg 1 -y 257 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.8.1 In} -attr @name {} -attribute {pin cpll.8.1 Out} -attr @name {} -load symbol GTH_X1Y37 syn HIERGEN port TX in port RX in -load inst GT.8.1 GTH_X1Y37 syn -hier Quad8 -pg 1 -y 257 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load inst cpll.8.0 {CHANNEL PLL} syn -hier Quad8 -pg 1 -y 342 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.8.0 In} -attr @name {} -attribute {pin cpll.8.0 Out} -attr @name {} -load symbol GTH_X1Y36 syn HIERGEN port TX in port RX in -load inst GT.8.0 GTH_X1Y36 syn -hier Quad8 -pg 1 -y 342 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load port REFCLK1_Q8 in -pg 1 -y 581 -x 1 -attr @fillcolor #3a5fcd -load port REFCLK0_Q8 in -pg 1 -y 651 -x 1 -attr @fillcolor #3a5fcd -load inst Quad7 QUAD v -pg 1 -y 461 -x 2000 -autohide -attr @cell Quad8 -attr @name {} -attr @fillcolor #d9d9d9 -attribute {pin Quad7 i0 } -attr @name {} -attribute {pin Quad7 i1 } -attr @name {} -attribute {pin Quad7 i2 } -attr @name {} -attribute {pin Quad7 i3 } -attr @name {} -attribute {pin Quad7 i4 } -attr @name {} -attribute {hierPin Quad7 i0 } -attr @name {} -attribute {hierPin Quad7 i1 } -attr @name {} -attribute {hierPin Quad7 i2 } -attr @name {} -attribute {hierPin Quad7 i3 } -attr @name {} -attribute {hierPin Quad7 i4 } -attr @name {} -load inst cpll.7.3 {CHANNEL PLL} syn -hier Quad7 -pg 1 -y 462 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.7.3 In} -attr @name {} -attribute {pin cpll.7.3 Out} -attr @name {} -load symbol GTH_X1Y35 syn HIERGEN port TX in port RX in -load inst GT.7.3 GTH_X1Y35 syn -hier Quad7 -pg 1 -y 462 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load inst cpll.7.2 {CHANNEL PLL} syn -hier Quad7 -pg 1 -y 547 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.7.2 In} -attr @name {} -attribute {pin cpll.7.2 Out} -attr @name {} -load symbol GTH_X1Y34 syn HIERGEN port TX in port RX in -load inst GT.7.2 GTH_X1Y34 syn -hier Quad7 -pg 1 -y 547 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load inst qpll.7 {QUAD PLL} syn -hier Quad7 -pg 1 -y 632 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin qpll.7 In} -attr @name {} -attribute {pin qpll.7 Out} -attr @name {} -load inst cpll.7.1 {CHANNEL PLL} syn -hier Quad7 -pg 1 -y 717 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.7.1 In} -attr @name {} -attribute {pin cpll.7.1 Out} -attr @name {} -load symbol GTH_X1Y33 syn HIERGEN port TX in port RX in -load inst GT.7.1 GTH_X1Y33 syn -hier Quad7 -pg 1 -y 717 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load inst cpll.7.0 {CHANNEL PLL} syn -hier Quad7 -pg 1 -y 802 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.7.0 In} -attr @name {} -attribute {pin cpll.7.0 Out} -attr @name {} -load symbol GTH_X1Y32 syn HIERGEN port TX in port RX in -load inst GT.7.0 GTH_X1Y32 syn -hier Quad7 -pg 1 -y 802 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load port REFCLK1_Q7 in -pg 1 -y 1041 -x 1 -attr @fillcolor #3a5fcd -load port REFCLK0_Q7 in -pg 1 -y 1111 -x 1 -attr @fillcolor #3a5fcd -load inst Quad6 QUAD v -pg 1 -y 921 -x 2000 -autohide -attr @cell Quad7 -attr @name {} -attr @fillcolor #d9d9d9 -attribute {pin Quad6 i0 } -attr @name {} -attribute {pin Quad6 i1 } -attr @name {} -attribute {pin Quad6 i2 } -attr @name {} -attribute {pin Quad6 i3 } -attr @name {} -attribute {pin Quad6 i4 } -attr @name {} -attribute {hierPin Quad6 i0 } -attr @name {} -attribute {hierPin Quad6 i1 } -attr @name {} -attribute {hierPin Quad6 i2 } -attr @name {} -attribute {hierPin Quad6 i3 } -attr @name {} -attribute {hierPin Quad6 i4 } -attr @name {} -load inst cpll.6.3 {CHANNEL PLL} syn -hier Quad6 -pg 1 -y 922 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.6.3 In} -attr @name {} -attribute {pin cpll.6.3 Out} -attr @name {} -load symbol GTH_X1Y31 syn HIERGEN port TX in port RX in -load inst GT.6.3 GTH_X1Y31 syn -hier Quad6 -pg 1 -y 922 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load inst cpll.6.2 {CHANNEL PLL} syn -hier Quad6 -pg 1 -y 1007 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.6.2 In} -attr @name {} -attribute {pin cpll.6.2 Out} -attr @name {} -load symbol GTH_X1Y30 syn HIERGEN port TX in port RX in -load inst GT.6.2 GTH_X1Y30 syn -hier Quad6 -pg 1 -y 1007 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load inst qpll.6 {QUAD PLL} syn -hier Quad6 -pg 1 -y 1092 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin qpll.6 In} -attr @name {} -attribute {pin qpll.6 Out} -attr @name {} -load inst cpll.6.1 {CHANNEL PLL} syn -hier Quad6 -pg 1 -y 1177 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.6.1 In} -attr @name {} -attribute {pin cpll.6.1 Out} -attr @name {} -load symbol GTH_X1Y29 syn HIERGEN port TX in port RX in -load inst GT.6.1 GTH_X1Y29 syn -hier Quad6 -pg 1 -y 1177 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load inst cpll.6.0 {CHANNEL PLL} syn -hier Quad6 -pg 1 -y 1262 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.6.0 In} -attr @name {} -attribute {pin cpll.6.0 Out} -attr @name {} -load symbol GTH_X1Y28 syn HIERGEN port TX in port RX in -load inst GT.6.0 GTH_X1Y28 syn -hier Quad6 -pg 1 -y 1262 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load port REFCLK1_Q6 in -pg 1 -y 1501 -x 1 -attr @fillcolor #3a5fcd -load port REFCLK0_Q6 in -pg 1 -y 1571 -x 1 -attr @fillcolor #3a5fcd -load inst Quad5 QUAD v -pg 1 -y 1381 -x 2000 -autohide -attr @cell Quad6 -attr @name {} -attr @fillcolor #d9d9d9 -attribute {pin Quad5 i0 } -attr @name {} -attribute {pin Quad5 i1 } -attr @name {} -attribute {pin Quad5 i2 } -attr @name {} -attribute {pin Quad5 i3 } -attr @name {} -attribute {pin Quad5 i4 } -attr @name {} -attribute {hierPin Quad5 i0 } -attr @name {} -attribute {hierPin Quad5 i1 } -attr @name {} -attribute {hierPin Quad5 i2 } -attr @name {} -attribute {hierPin Quad5 i3 } -attr @name {} -attribute {hierPin Quad5 i4 } -attr @name {} -load inst cpll.5.3 {CHANNEL PLL} syn -hier Quad5 -pg 1 -y 1382 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.5.3 In} -attr @name {} -attribute {pin cpll.5.3 Out} -attr @name {} -load symbol GTH_X1Y27 syn HIERGEN port TX in port RX in -load inst GT.5.3 GTH_X1Y27 syn -hier Quad5 -pg 1 -y 1382 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load inst cpll.5.2 {CHANNEL PLL} syn -hier Quad5 -pg 1 -y 1467 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.5.2 In} -attr @name {} -attribute {pin cpll.5.2 Out} -attr @name {} -load symbol GTH_X1Y26 syn HIERGEN port TX in port RX in -load inst GT.5.2 GTH_X1Y26 syn -hier Quad5 -pg 1 -y 1467 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load inst qpll.5 {QUAD PLL} syn -hier Quad5 -pg 1 -y 1552 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin qpll.5 In} -attr @name {} -attribute {pin qpll.5 Out} -attr @name {} -load inst cpll.5.1 {CHANNEL PLL} syn -hier Quad5 -pg 1 -y 1637 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.5.1 In} -attr @name {} -attribute {pin cpll.5.1 Out} -attr @name {} -load symbol GTH_X1Y25 syn HIERGEN port TX in port RX in -load inst GT.5.1 GTH_X1Y25 syn -hier Quad5 -pg 1 -y 1637 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load inst cpll.5.0 {CHANNEL PLL} syn -hier Quad5 -pg 1 -y 1722 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.5.0 In} -attr @name {} -attribute {pin cpll.5.0 Out} -attr @name {} -load symbol GTH_X1Y24 syn HIERGEN port TX in port RX in -load inst GT.5.0 GTH_X1Y24 syn -hier Quad5 -pg 1 -y 1722 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load port REFCLK1_Q5 in -pg 1 -y 1961 -x 1 -attr @fillcolor #3a5fcd -load port REFCLK0_Q5 in -pg 1 -y 2031 -x 1 -attr @fillcolor #3a5fcd -load inst Quad4 QUAD v -pg 1 -y 1841 -x 2000 -autohide -attr @cell Quad5 -attr @name {} -attr @fillcolor #d9d9d9 -attribute {pin Quad4 i0 } -attr @name {} -attribute {pin Quad4 i1 } -attr @name {} -attribute {pin Quad4 i2 } -attr @name {} -attribute {pin Quad4 i3 } -attr @name {} -attribute {pin Quad4 i4 } -attr @name {} -attribute {hierPin Quad4 i0 } -attr @name {} -attribute {hierPin Quad4 i1 } -attr @name {} -attribute {hierPin Quad4 i2 } -attr @name {} -attribute {hierPin Quad4 i3 } -attr @name {} -attribute {hierPin Quad4 i4 } -attr @name {} -load inst cpll.4.3 {CHANNEL PLL} syn -hier Quad4 -pg 1 -y 1842 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.4.3 In} -attr @name {} -attribute {pin cpll.4.3 Out} -attr @name {} -load symbol GTH_X1Y23 syn HIERGEN port TX in port RX in -load inst GT.4.3 GTH_X1Y23 syn -hier Quad4 -pg 1 -y 1842 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load inst cpll.4.2 {CHANNEL PLL} syn -hier Quad4 -pg 1 -y 1927 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.4.2 In} -attr @name {} -attribute {pin cpll.4.2 Out} -attr @name {} -load symbol GTH_X1Y22 syn HIERGEN port TX in port RX in -load inst GT.4.2 GTH_X1Y22 syn -hier Quad4 -pg 1 -y 1927 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load inst qpll.4 {QUAD PLL} syn -hier Quad4 -pg 1 -y 2012 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin qpll.4 In} -attr @name {} -attribute {pin qpll.4 Out} -attr @name {} -load inst cpll.4.1 {CHANNEL PLL} syn -hier Quad4 -pg 1 -y 2097 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.4.1 In} -attr @name {} -attribute {pin cpll.4.1 Out} -attr @name {} -load symbol GTH_X1Y21 syn HIERGEN port TX in port RX in -load inst GT.4.1 GTH_X1Y21 syn -hier Quad4 -pg 1 -y 2097 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load inst cpll.4.0 {CHANNEL PLL} syn -hier Quad4 -pg 1 -y 2182 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.4.0 In} -attr @name {} -attribute {pin cpll.4.0 Out} -attr @name {} -load symbol GTH_X1Y20 syn HIERGEN port TX in port RX in -load inst GT.4.0 GTH_X1Y20 syn -hier Quad4 -pg 1 -y 2182 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load port REFCLK1_Q4 in -pg 1 -y 2421 -x 1 -attr @fillcolor #3a5fcd -load port REFCLK0_Q4 in -pg 1 -y 2491 -x 1 -attr @fillcolor #3a5fcd -load inst Quad3 QUAD v -pg 1 -y 2301 -x 2000 -autohide -attr @cell Quad4 -attr @name {} -attr @fillcolor #d9d9d9 -attribute {pin Quad3 i0 } -attr @name {} -attribute {pin Quad3 i1 } -attr @name {} -attribute {pin Quad3 i2 } -attr @name {} -attribute {pin Quad3 i3 } -attr @name {} -attribute {pin Quad3 i4 } -attr @name {} -attribute {hierPin Quad3 i0 } -attr @name {} -attribute {hierPin Quad3 i1 } -attr @name {} -attribute {hierPin Quad3 i2 } -attr @name {} -attribute {hierPin Quad3 i3 } -attr @name {} -attribute {hierPin Quad3 i4 } -attr @name {} -load inst cpll.3.3 {CHANNEL PLL} syn -hier Quad3 -pg 1 -y 2302 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.3.3 In} -attr @name {} -attribute {pin cpll.3.3 Out} -attr @name {} -load symbol GTH_X1Y19 syn HIERGEN port TX in port RX in -load inst GT.3.3 GTH_X1Y19 syn -hier Quad3 -pg 1 -y 2302 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load inst cpll.3.2 {CHANNEL PLL} syn -hier Quad3 -pg 1 -y 2387 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.3.2 In} -attr @name {} -attribute {pin cpll.3.2 Out} -attr @name {} -load symbol GTH_X1Y18 syn HIERGEN port TX in port RX in -load inst GT.3.2 GTH_X1Y18 syn -hier Quad3 -pg 1 -y 2387 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load inst qpll.3 {QUAD PLL} syn -hier Quad3 -pg 1 -y 2472 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin qpll.3 In} -attr @name {} -attribute {pin qpll.3 Out} -attr @name {} -load inst cpll.3.1 {CHANNEL PLL} syn -hier Quad3 -pg 1 -y 2557 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.3.1 In} -attr @name {} -attribute {pin cpll.3.1 Out} -attr @name {} -load symbol GTH_X1Y17 syn HIERGEN port TX in port RX in -load inst GT.3.1 GTH_X1Y17 syn -hier Quad3 -pg 1 -y 2557 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load inst cpll.3.0 {CHANNEL PLL} syn -hier Quad3 -pg 1 -y 2642 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.3.0 In} -attr @name {} -attribute {pin cpll.3.0 Out} -attr @name {} -load symbol GTH_X1Y16 syn HIERGEN port TX in port RX in -load inst GT.3.0 GTH_X1Y16 syn -hier Quad3 -pg 1 -y 2642 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load port REFCLK1_Q3 in -pg 1 -y 2881 -x 1 -attr @fillcolor #3a5fcd -load port REFCLK0_Q3 in -pg 1 -y 2951 -x 1 -attr @fillcolor #3a5fcd -load inst Quad2 QUAD v -pg 1 -y 2761 -x 2000 -autohide -attr @cell Quad3 -attr @name {} -attr @fillcolor #d9d9d9 -attribute {pin Quad2 i0 } -attr @name {} -attribute {pin Quad2 i1 } -attr @name {} -attribute {pin Quad2 i2 } -attr @name {} -attribute {pin Quad2 i3 } -attr @name {} -attribute {pin Quad2 i4 } -attr @name {} -attribute {hierPin Quad2 i0 } -attr @name {} -attribute {hierPin Quad2 i1 } -attr @name {} -attribute {hierPin Quad2 i2 } -attr @name {} -attribute {hierPin Quad2 i3 } -attr @name {} -attribute {hierPin Quad2 i4 } -attr @name {} -load inst cpll.2.3 {CHANNEL PLL} syn -hier Quad2 -pg 1 -y 2762 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.2.3 In} -attr @name {} -attribute {pin cpll.2.3 Out} -attr @name {} -load symbol GTH_X1Y15 syn HIERGEN port TX in port RX in -load inst GT.2.3 GTH_X1Y15 syn -hier Quad2 -pg 1 -y 2762 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load inst cpll.2.2 {CHANNEL PLL} syn -hier Quad2 -pg 1 -y 2847 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.2.2 In} -attr @name {} -attribute {pin cpll.2.2 Out} -attr @name {} -load symbol GTH_X1Y14 syn HIERGEN port TX in port RX in -load inst GT.2.2 GTH_X1Y14 syn -hier Quad2 -pg 1 -y 2847 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load inst qpll.2 {QUAD PLL} syn -hier Quad2 -pg 1 -y 2932 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin qpll.2 In} -attr @name {} -attribute {pin qpll.2 Out} -attr @name {} -load inst cpll.2.1 {CHANNEL PLL} syn -hier Quad2 -pg 1 -y 3017 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.2.1 In} -attr @name {} -attribute {pin cpll.2.1 Out} -attr @name {} -load symbol GTH_X1Y13 syn HIERGEN port TX in port RX in -load inst GT.2.1 GTH_X1Y13 syn -hier Quad2 -pg 1 -y 3017 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load inst cpll.2.0 {CHANNEL PLL} syn -hier Quad2 -pg 1 -y 3102 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.2.0 In} -attr @name {} -attribute {pin cpll.2.0 Out} -attr @name {} -load symbol GTH_X1Y12 syn HIERGEN port TX in port RX in -load inst GT.2.0 GTH_X1Y12 syn -hier Quad2 -pg 1 -y 3102 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load port REFCLK1_Q2 in -pg 1 -y 3341 -x 1 -attr @fillcolor #3a5fcd -load port REFCLK0_Q2 in -pg 1 -y 3411 -x 1 -attr @fillcolor #3a5fcd -load inst Quad1 QUAD v -pg 1 -y 3221 -x 2000 -autohide -attr @cell Quad2 -attr @name {} -attr @fillcolor #d9d9d9 -attribute {pin Quad1 i0 } -attr @name {} -attribute {pin Quad1 i1 } -attr @name {} -attribute {pin Quad1 i2 } -attr @name {} -attribute {pin Quad1 i3 } -attr @name {} -attribute {pin Quad1 i4 } -attr @name {} -attribute {hierPin Quad1 i0 } -attr @name {} -attribute {hierPin Quad1 i1 } -attr @name {} -attribute {hierPin Quad1 i2 } -attr @name {} -attribute {hierPin Quad1 i3 } -attr @name {} -attribute {hierPin Quad1 i4 } -attr @name {} -load inst cpll.1.3 {CHANNEL PLL} syn -hier Quad1 -pg 1 -y 3222 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.1.3 In} -attr @name {} -attribute {pin cpll.1.3 Out} -attr @name {} -load symbol GTH_X1Y11 syn HIERGEN port TX in port RX in -load inst GT.1.3 GTH_X1Y11 syn -hier Quad1 -pg 1 -y 3222 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load inst cpll.1.2 {CHANNEL PLL} syn -hier Quad1 -pg 1 -y 3307 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.1.2 In} -attr @name {} -attribute {pin cpll.1.2 Out} -attr @name {} -load symbol GTH_X1Y10 syn HIERGEN port TX in port RX in -load inst GT.1.2 GTH_X1Y10 syn -hier Quad1 -pg 1 -y 3307 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load inst qpll.1 {QUAD PLL} syn -hier Quad1 -pg 1 -y 3392 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin qpll.1 In} -attr @name {} -attribute {pin qpll.1 Out} -attr @name {} -load inst cpll.1.1 {CHANNEL PLL} syn -hier Quad1 -pg 1 -y 3477 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.1.1 In} -attr @name {} -attribute {pin cpll.1.1 Out} -attr @name {} -load symbol GTH_X1Y9 syn HIERGEN port TX in port RX in -load inst GT.1.1 GTH_X1Y9 syn -hier Quad1 -pg 1 -y 3477 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load inst cpll.1.0 {CHANNEL PLL} syn -hier Quad1 -pg 1 -y 3562 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.1.0 In} -attr @name {} -attribute {pin cpll.1.0 Out} -attr @name {} -load symbol GTH_X1Y8 syn HIERGEN port TX in port RX in -load inst GT.1.0 GTH_X1Y8 syn -hier Quad1 -pg 1 -y 3562 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load port REFCLK1_Q1 in -pg 1 -y 3801 -x 1 -attr @fillcolor #3a5fcd -load port REFCLK0_Q1 in -pg 1 -y 3871 -x 1 -attr @fillcolor #3a5fcd -load inst Quad0 QUAD v -pg 1 -y 3681 -x 2000 -autohide -attr @cell Quad1 -attr @name {} -attr @fillcolor #d9d9d9 -attribute {pin Quad0 i0 } -attr @name {} -attribute {pin Quad0 i1 } -attr @name {} -attribute {pin Quad0 i2 } -attr @name {} -attribute {pin Quad0 i3 } -attr @name {} -attribute {pin Quad0 i4 } -attr @name {} -attribute {hierPin Quad0 i0 } -attr @name {} -attribute {hierPin Quad0 i1 } -attr @name {} -attribute {hierPin Quad0 i2 } -attr @name {} -attribute {hierPin Quad0 i3 } -attr @name {} -attribute {hierPin Quad0 i4 } -attr @name {} -load inst cpll.0.3 {CHANNEL PLL} syn -hier Quad0 -pg 1 -y 3682 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.0.3 In} -attr @name {} -attribute {pin cpll.0.3 Out} -attr @name {} -load symbol GTH_X1Y7 syn HIERGEN port TX in port RX in -load inst GT.0.3 GTH_X1Y7 syn -hier Quad0 -pg 1 -y 3682 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load inst cpll.0.2 {CHANNEL PLL} syn -hier Quad0 -pg 1 -y 3767 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.0.2 In} -attr @name {} -attribute {pin cpll.0.2 Out} -attr @name {} -load symbol GTH_X1Y6 syn HIERGEN port TX in port RX in -load inst GT.0.2 GTH_X1Y6 syn -hier Quad0 -pg 1 -y 3767 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load inst qpll.0 {QUAD PLL} syn -hier Quad0 -pg 1 -y 3852 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin qpll.0 In} -attr @name {} -attribute {pin qpll.0 Out} -attr @name {} -load inst cpll.0.1 {CHANNEL PLL} syn -hier Quad0 -pg 1 -y 3937 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.0.1 In} -attr @name {} -attribute {pin cpll.0.1 Out} -attr @name {} -load symbol GTH_X1Y5 syn HIERGEN port TX in port RX in -load inst GT.0.1 GTH_X1Y5 syn -hier Quad0 -pg 1 -y 3937 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load inst cpll.0.0 {CHANNEL PLL} syn -hier Quad0 -pg 1 -y 4022 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.0.0 In} -attr @name {} -attribute {pin cpll.0.0 Out} -attr @name {} -load symbol GTH_X1Y4 syn HIERGEN port TX in port RX in -load inst GT.0.0 GTH_X1Y4 syn -hier Quad0 -pg 1 -y 4022 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load net net.REFCLK0_Q1 -port REFCLK0_Q1 -pin Quad0 i4 -load net net.Quad0.qpll -hierPin Quad0 i4 -pin qpll.0 In -load net net.quadpll.0 -pin qpll.0 Out -pin GT.0.0 TX -attribute {inst qpll.0} -attr @fillcolor #cccc00 -attribute {inst GT.0.0} -attr @fillcolor #cccc00 -load net net.REFCLK0_Q1 -port REFCLK0_Q1 -pin Quad0 i4 -load net net.Quad0.qpll -hierPin Quad0 i4 -pin qpll.0 In -load net net.quadpll.0 -pin qpll.0 Out -pin GT.0.0 RX -attribute {inst qpll.0} -attr @fillcolor #cccc00 -attribute {inst GT.0.0} -attr @fillcolor #cccc00 -load net net.REFCLK0_Q1 -port REFCLK0_Q1 -pin Quad0 i4 -load net net.Quad0.qpll -hierPin Quad0 i4 -pin qpll.0 In -load net net.quadpll.0 -pin qpll.0 Out -pin GT.0.1 TX -attribute {inst qpll.0} -attr @fillcolor #cccc00 -attribute {inst GT.0.1} -attr @fillcolor #cccc00 -load net net.REFCLK0_Q1 -port REFCLK0_Q1 -pin Quad0 i4 -load net net.Quad0.qpll -hierPin Quad0 i4 -pin qpll.0 In -load net net.quadpll.0 -pin qpll.0 Out -pin GT.0.1 RX -attribute {inst qpll.0} -attr @fillcolor #cccc00 -attribute {inst GT.0.1} -attr @fillcolor #cccc00 -load net net.REFCLK0_Q1 -port REFCLK0_Q1 -pin Quad0 i4 -load net net.Quad0.qpll -hierPin Quad0 i4 -pin qpll.0 In -load net net.quadpll.0 -pin qpll.0 Out -pin GT.0.2 TX -attribute {inst qpll.0} -attr @fillcolor #cccc00 -attribute {inst GT.0.2} -attr @fillcolor #cccc00 -load net net.REFCLK0_Q1 -port REFCLK0_Q1 -pin Quad0 i4 -load net net.Quad0.qpll -hierPin Quad0 i4 -pin qpll.0 In -load net net.quadpll.0 -pin qpll.0 Out -pin GT.0.2 RX -attribute {inst qpll.0} -attr @fillcolor #cccc00 -attribute {inst GT.0.2} -attr @fillcolor #cccc00 -load net net.REFCLK0_Q1 -port REFCLK0_Q1 -pin Quad0 i4 -load net net.Quad0.qpll -hierPin Quad0 i4 -pin qpll.0 In -load net net.quadpll.0 -pin qpll.0 Out -pin GT.0.3 TX -attribute {inst qpll.0} -attr @fillcolor #cccc00 -attribute {inst GT.0.3} -attr @fillcolor #cccc00 -load net net.REFCLK0_Q1 -port REFCLK0_Q1 -pin Quad0 i4 -load net net.Quad0.qpll -hierPin Quad0 i4 -pin qpll.0 In -load net net.quadpll.0 -pin qpll.0 Out -pin GT.0.3 RX -attribute {inst qpll.0} -attr @fillcolor #cccc00 -attribute {inst GT.0.3} -attr @fillcolor #cccc00 -show -# Starting Split Pages 1810.63 -# Split Pages 108 Comps, 3 Nets 0 NetBundles 1810.64 -# KwayPart started for 108 comps, 3 nets 1810.64 -# Init done: 1 nodes (1 locked nodes), 0 edges 1810.64 -# Startpart done: 1 parts 1810.64 -# KwayPart done 1810.64 -# End of Split Pages: 1 pages 1810.64 -# End of Split Pages 1810.64 -# Generating Regions for Page 1: 108 Comps, 3 Nets 1810.64 -# Analyze 1810.64 -# Levelize 1810.64 -# End of LevelAssign mode=I, 3 Levels, 9 Comps, limit=30000 1810.64 -# Nets 1810.64 -# Place 1810.64 -# PlaceNets 1810.64 -# SpaceY 1810.64 -# Track 1810.64 -# SpaceX 1810.64 -# Wire 1810.64 -# End of Wire: 0 nets, 0 ch, wire: 0-->0 (0 with wbits) 1810.64 -# End of doGenerate 1810.64 -# Analyze 1810.64 -# Levelize 1810.64 -# End of LevelAssign mode=I, 3 Levels, 9 Comps, limit=30000 1810.64 -# Nets 1810.64 -# Place 1810.64 -# PlaceNets 1810.64 -# SpaceY 1810.64 -# Track 1810.64 -# SpaceX 1810.64 -# Wire 1810.64 -# End of Wire: 0 nets, 0 ch, wire: 0-->0 (0 with wbits) 1810.64 -# End of doGenerate 1810.64 -# Analyze 1810.64 -# Levelize 1810.64 -# End of LevelAssign mode=I, 3 Levels, 9 Comps, limit=30000 1810.64 -# Nets 1810.64 -# Place 1810.64 -# PlaceNets 1810.64 -# SpaceY 1810.64 -# Track 1810.64 -# SpaceX 1810.64 -# Wire 1810.64 -# End of Wire: 0 nets, 0 ch, wire: 0-->0 (0 with wbits) 1810.64 -# End of doGenerate 1810.64 -# Analyze 1810.64 -# Levelize 1810.64 -# End of LevelAssign mode=I, 3 Levels, 9 Comps, limit=30000 1810.64 -# Nets 1810.64 -# Place 1810.64 -# PlaceNets 1810.64 -# SpaceY 1810.64 -# Track 1810.64 -# SpaceX 1810.64 -# Wire 1810.64 -# End of Wire: 0 nets, 0 ch, wire: 0-->0 (0 with wbits) 1810.64 -# End of doGenerate 1810.64 -# Analyze 1810.64 -# Levelize 1810.64 -# End of LevelAssign mode=I, 3 Levels, 9 Comps, limit=30000 1810.64 -# Nets 1810.64 -# Place 1810.64 -# PlaceNets 1810.64 -# SpaceY 1810.64 -# Track 1810.64 -# SpaceX 1810.64 -# Wire 1810.64 -# End of Wire: 0 nets, 0 ch, wire: 0-->0 (0 with wbits) 1810.64 -# End of doGenerate 1810.64 -# Analyze 1810.64 -# Levelize 1810.64 -# End of LevelAssign mode=I, 3 Levels, 9 Comps, limit=30000 1810.64 -# Nets 1810.64 -# Place 1810.64 -# PlaceNets 1810.64 -# SpaceY 1810.64 -# Track 1810.64 -# SpaceX 1810.64 -# Wire 1810.64 -# End of Wire: 0 nets, 0 ch, wire: 0-->0 (0 with wbits) 1810.64 -# End of doGenerate 1810.64 -# Analyze 1810.64 -# Levelize 1810.64 -# End of LevelAssign mode=I, 3 Levels, 9 Comps, limit=30000 1810.64 -# Nets 1810.64 -# Place 1810.64 -# PlaceNets 1810.64 -# SpaceY 1810.64 -# Track 1810.64 -# SpaceX 1810.64 -# Wire 1810.64 -# End of Wire: 0 nets, 0 ch, wire: 0-->0 (0 with wbits) 1810.64 -# End of doGenerate 1810.64 -# Analyze 1810.64 -# Levelize 1810.64 -# End of LevelAssign mode=I, 3 Levels, 9 Comps, limit=30000 1810.64 -# Nets 1810.64 -# Place 1810.64 -# PlaceNets 1810.64 -# SpaceY 1810.64 -# Track 1810.64 -# SpaceX 1810.64 -# Wire 1810.64 -# End of Wire: 0 nets, 0 ch, wire: 0-->0 (0 with wbits) 1810.64 -# End of doGenerate 1810.64 -# Analyze 1810.64 -# Levelize 1810.64 -# End of LevelAssign mode=I, 3 Levels, 10 Comps, limit=30000 1810.64 -# Nets 1810.64 -# Place 1810.64 -# PlaceNets 1810.64 -# SpaceY 1810.64 -# Track 1810.64 -# SpaceX 1810.64 -# Wire 1810.64 -# End of Wire: 2 nets, 2 ch, wire: 34-->34 (0 with wbits) 1810.64 -# End of doGenerate 1810.64 -# Analyze 1810.64 -# Levelize 1810.64 -# End of LevelAssign mode=I, 2 Levels, 27 Comps, limit=30000 1810.64 -# Nets 1810.64 -# Place 1810.64 -# PlaceNets 1810.64 -# SpaceY 1810.64 -# Track 1810.64 -# SpaceX 1810.64 -# Wire 1810.64 -# End of Wire: 1 nets, 1 ch, wire: 4-->4 (0 with wbits) 1810.64 -# End of doGenerate 1810.64 -# End of Generating Regions for Page 1 1810.64 -scrollpos 84 1752 -zoom -x 0 -y 0 0.68 -scrollpos 71 1489 -#R 0.68 -center_objects -itemized {inst GT.0.2} -scrollpos 176 2724 -#CMD scrollpos -#R 176 2724 -#CMD scrollpos -10 2724 -scrollpos -10 2724 -selection -itemized {inst GT.0.0} -scrollpos -10 2724 diff --git a/scripts/interlaken_top/vivado_pid14861.str b/scripts/interlaken_top/vivado_pid14861.str deleted file mode 100644 index 7836a499f99a2b6777ff540a18b61a0050ea6132..0000000000000000000000000000000000000000 --- a/scripts/interlaken_top/vivado_pid14861.str +++ /dev/null @@ -1,885 +0,0 @@ -/* - -Xilinx Vivado v2018.1 (64-bit) [Major: 2018, Minor: 1] -SW Build: 2188600 on Wed Apr 4 18:39:19 MDT 2018 -IP Build: 2185939 on Wed Apr 4 20:55:05 MDT 2018 - -Process ID: 14861 -License: Customer - -Current time: Wed Feb 12 15:12:43 CET 2020 -Time zone: Central European Time (Europe/Amsterdam) - -OS: Ubuntu -OS Version: 5.3.0-28-generic -OS Architecture: amd64 -Available processors (cores): 6 - -Display: :0 -Screen size: 3840x1200 -Screen resolution (DPI): 96 -Available screens: 2 -Available disk space: 130 GB -Default font: family=Dialog,name=Dialog,style=plain,size=12 - -Java version: 1.8.0_112 64-bit -Java home: /opt/Xilinx/Vivado/2018.1/tps/lnx64/jre -Java executable location: /opt/Xilinx/Vivado/2018.1/tps/lnx64/jre/bin/java -Java initial memory (-Xms): 128 MB -Java maximum memory (-Xmx): 2 GB - - -User name: myronm -User home directory: /home/myronm -User working directory: /home/myronm/Desktop/wupper-interlaken/firmware/scripts/interlaken_top -User country: US -User language: en -User locale: en_US - -RDI_BASEROOT: /opt/Xilinx/Vivado -HDI_APPROOT: /opt/Xilinx/Vivado/2018.1 -RDI_DATADIR: /opt/Xilinx/Vivado/2018.1/data -RDI_BINDIR: /opt/Xilinx/Vivado/2018.1/bin - -Vivado preferences file location: /home/myronm/.Xilinx/Vivado/2018.1/vivado.xml -Vivado preferences directory: /home/myronm/.Xilinx/Vivado/2018.1/ -Vivado layouts directory: /home/myronm/.Xilinx/Vivado/2018.1/layouts -PlanAhead jar file location: /opt/Xilinx/Vivado/2018.1/lib/classes/planAhead.jar -Vivado log file location: /home/myronm/Desktop/wupper-interlaken/firmware/scripts/interlaken_top/vivado.log -Vivado journal file location: /home/myronm/Desktop/wupper-interlaken/firmware/scripts/interlaken_top/vivado.jou -Engine tmp dir: ./.Xil/Vivado-14861-PC-19-090 - -GUI allocated memory: 154 MB -GUI max memory: 3,052 MB -Engine allocated memory: 807 MB - -Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. - -*/ - -// TclEventType: START_GUI -// Tcl Message: start_gui -// [GUI Memory]: 61 MB (+61439kb) [00:00:05] -// [Engine Memory]: 807 MB (+694561kb) [00:00:05] -// HMemoryUtils.trashcanNow. Engine heap size: 818 MB. GUI used memory: 38 MB. Current time: 2/12/20 3:12:45 PM CET -// Tcl Command: 'rdi::info_commands {sour*}' -// Tcl Command: 'rdi::info_commands bd::match_path' -// Tcl Command: 'rdi::info_commands bd::match_path' -// Tcl Command: 'rdi::info_commands bd::match_path' -// Tcl Command: 'rdi::info_commands bd::match_path' -// Tcl Command: 'rdi::info_commands bd::match_path' -setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, "source ./FLX709_import_vivado.tcl", true); // az (Y, ch) -// Tcl Command: 'source ./FLX709_import_vivado.tcl' -// Tcl Command: 'source ./FLX709_import_vivado.tcl' -// bv (ch): Tcl Command Line : addNotify -// Tcl Message: source ./FLX709_import_vivado.tcl -// Tcl Message: couldn't read file "../filesets/crToHost_fileset.tcl": no such file or directory while executing "source ../filesets/crToHost_fileset.tcl" (file "./FLX709_import_vivado.tcl" line 13) -dismissDialog("Tcl Command Line"); // bv (ch) -// [GUI Memory]: 65 MB (+801kb) [00:00:24] -// Elapsed time: 26 seconds -setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, "source ./FLX709_import_vivado.tcl", true); // az (Y, ch) -// Tcl Command: 'source ./FLX709_import_vivado.tcl' -// Tcl Command: 'source ./FLX709_import_vivado.tcl' -// bv (ch): Tcl Command Line : addNotify -// Tcl Message: source ./FLX709_import_vivado.tcl -// Tcl Message: invalid command name "interlaken/axis_utils/axi_stream_package.vhd" while executing "interlaken/axis_utils/axi_stream_package.vhd \ #files" invoked from within "set VHDL_FILES [concat $VHDL_FILES \ #packages #axis_utils interlaken/axis_utils/axi_stream_package.vhd \ #files #axis_utils inter..." (file "../filesets/interlaken_fileset.tcl" line 2) while executing "source ../filesets/interlaken_fileset.tcl" (file "./FLX709_import_vivado.tcl" line 17) -dismissDialog("Tcl Command Line"); // bv (ch) -// Elapsed time: 76 seconds -setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, "source ./FLX709_import_vivado.tcl", true); // az (Y, ch) -// Tcl Command: 'source ./FLX709_import_vivado.tcl' -// Tcl Command: 'source ./FLX709_import_vivado.tcl' -// bv (ch): Tcl Command Line : addNotify -// Tcl Message: source ./FLX709_import_vivado.tcl -// Tcl Message: can't read "XDC_FILES": no such variable while executing "concat $XDC_FILES \ firmware/contstrains/pcie_dma_top_VC709.xdc \ firmware/contstrains/probes.xdc \ firmware/contstrains/Core1990_Constrai..." invoked from within "set XDC_FILES [concat $XDC_FILES \ firmware/contstrains/pcie_dma_top_VC709.xdc \ firmware/contstrains/probes.xdc \ firmware/contstrains/Co..." (file "../filesets/interlaken_fileset.tcl" line 43) while executing "source ../filesets/interlaken_fileset.tcl" (file "./FLX709_import_vivado.tcl" line 17) -dismissDialog("Tcl Command Line"); // bv (ch) -// [GUI Memory]: 69 MB (+706kb) [00:02:02] -// Elapsed time: 82 seconds -setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, "source ./FLX709_import_vivado.tcl", true); // az (Y, ch) -// Tcl Command: 'source ./FLX709_import_vivado.tcl' -// Tcl Command: 'source ./FLX709_import_vivado.tcl' -// bv (ch): Tcl Command Line : addNotify -// TclEventType: DEBUG_PROBE_SET_CHANGE -// Tcl Message: source ./FLX709_import_vivado.tcl -// TclEventType: FILE_SET_NEW -// TclEventType: RUN_ADD -// TclEventType: RUN_CURRENT -// TclEventType: PROJECT_NEW -// Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/opt/Xilinx/Vivado/2018.1/data/ip'. -// TclEventType: PROJECT_NEW -// [Engine Memory]: 959 MB (+116879kb) [00:03:26] -// TclEventType: FILE_SET_OPTIONS_CHANGE -// TclEventType: PROJECT_CHANGE -// Tcl Message: ## set_property target_language VHDL [current_project] ## set_property default_lib work [current_project] ## set_property XPM_LIBRARIES {XPM_CDC XPM_MEMORY XPM_FIFO} [current_project] ## foreach VHDL_FILE $VHDL_FILES { ## read_vhdl -library work ${firmware_dir}/sources/${VHDL_FILE} ## #set_property FILE_TYPE {VHDL 2008} [get_files ${firmware_dir}/sources/${VHDL_FILE}] ## } -// Tcl Message: ERROR: [Common 17-69] Command failed: File '/home/myronm/Desktop/wupper-interlaken/firmware/sources/packages/FELIX_package.vhd' does not exist -// HMemoryUtils.trashcanNow. Engine heap size: 983 MB. GUI used memory: 46 MB. Current time: 2/12/20 3:16:05 PM CET -// Tcl Message: while executing "source ../helper/vivado_import_generic.tcl" (file "./FLX709_import_vivado.tcl" line 19) -dismissDialog("Tcl Command Line"); // bv (ch) -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_GRAPH_GENERATED -// [GUI Memory]: 76 MB (+4133kb) [00:03:36] -// Elapsed time: 70 seconds -setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, "source ./FLX709_import_vivado.tcl", true); // az (Y, ch) -// Tcl Command: 'source ./FLX709_import_vivado.tcl' -// Tcl Command: 'source ./FLX709_import_vivado.tcl' -// bv (ch): Tcl Command Line : addNotify -// TclEventType: PROJECT_CLOSE -// HMemoryUtils.trashcanNow. Engine heap size: 1,001 MB. GUI used memory: 47 MB. Current time: 2/12/20 3:17:17 PM CET -// TclEventType: FILE_SET_NEW -// TclEventType: RUN_ADD -// TclEventType: RUN_CURRENT -// TclEventType: PROJECT_NEW -// Tcl Message: source ./FLX709_import_vivado.tcl -// Tcl Message: ## create_project -force -part $PART $PROJECT_NAME $firmware_dir/Projects/$PROJECT_NAME -// Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/opt/Xilinx/Vivado/2018.1/data/ip'. -// TclEventType: PROJECT_NEW -// TclEventType: FILE_SET_OPTIONS_CHANGE -// TclEventType: PROJECT_CHANGE -// Tcl Message: ## set_property target_language VHDL [current_project] ## set_property default_lib work [current_project] ## set_property XPM_LIBRARIES {XPM_CDC XPM_MEMORY XPM_FIFO} [current_project] ## foreach VHDL_FILE $VHDL_FILES { ## read_vhdl -library work ${firmware_dir}/sources/${VHDL_FILE} ## #set_property FILE_TYPE {VHDL 2008} [get_files ${firmware_dir}/sources/${VHDL_FILE}] ## } -// Tcl Message: ERROR: [Common 17-69] Command failed: File '/home/myronm/Desktop/wupper-interlaken/firmware/sources/pcie/data_width_package_512.vhd' does not exist -// Tcl Message: while executing "source ../helper/vivado_import_generic.tcl" (file "./FLX709_import_vivado.tcl" line 19) -dismissDialog("Tcl Command Line"); // bv (ch) -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_GRAPH_GENERATED -// TclEventType: DG_GRAPH_GENERATED -// Elapsed time: 156 seconds -setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, "source ./FLX709_import_vivado.tcl", true); // az (Y, ch) -// Tcl Command: 'source ./FLX709_import_vivado.tcl' -// Tcl Command: 'source ./FLX709_import_vivado.tcl' -// bv (ch): Tcl Command Line : addNotify -// TclEventType: PROJECT_CLOSE -// HMemoryUtils.trashcanNow. Engine heap size: 1,000 MB. GUI used memory: 47 MB. Current time: 2/12/20 3:19:56 PM CET -// TclEventType: FILE_SET_NEW -// TclEventType: RUN_ADD -// TclEventType: RUN_CURRENT -// TclEventType: PROJECT_NEW -// Tcl Message: source ./FLX709_import_vivado.tcl -// Tcl Message: ## create_project -force -part $PART $PROJECT_NAME $firmware_dir/Projects/$PROJECT_NAME -// Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/opt/Xilinx/Vivado/2018.1/data/ip'. -// TclEventType: PROJECT_NEW -// TclEventType: FILE_SET_OPTIONS_CHANGE -// TclEventType: PROJECT_CHANGE -// TclEventType: FILE_SET_CHANGE -// Tcl Message: ## set_property target_language VHDL [current_project] ## set_property default_lib work [current_project] ## set_property XPM_LIBRARIES {XPM_CDC XPM_MEMORY XPM_FIFO} [current_project] ## foreach VHDL_FILE $VHDL_FILES { ## read_vhdl -library work ${firmware_dir}/sources/${VHDL_FILE} ## #set_property FILE_TYPE {VHDL 2008} [get_files ${firmware_dir}/sources/${VHDL_FILE}] ## } -// Tcl Message: ERROR: [Common 17-69] Command failed: File '/home/myronm/Desktop/wupper-interlaken/firmware/sources/shared/card_type_specific_ios.vhd' does not exist -// Tcl Message: while executing "source ../helper/vivado_import_generic.tcl" (file "./FLX709_import_vivado.tcl" line 19) -dismissDialog("Tcl Command Line"); // bv (ch) -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_GRAPH_GENERATED -// TclEventType: FILE_SET_CHANGE -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// TclEventType: DG_GRAPH_STALE -// Tcl Message: update_compile_order -fileset sources_1 -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_GRAPH_GENERATED -// TclEventType: DG_GRAPH_GENERATED -// [Engine Memory]: 1,020 MB (+13555kb) [00:07:26] -// TclEventType: FILE_SET_CHANGE -// Tcl Message: update_compile_order -fileset sources_1 -// [GUI Memory]: 80 MB (+158kb) [00:07:36] -// [GUI Memory]: 88 MB (+3587kb) [00:07:46] -// Elapsed time: 218 seconds -setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, "source ./FLX709_import_vivado.tcl", true); // az (Y, ch) -// Tcl Command: 'source ./FLX709_import_vivado.tcl' -// Tcl Command: 'source ./FLX709_import_vivado.tcl' -// bv (ch): Tcl Command Line : addNotify -// TclEventType: PROJECT_CLOSE -// HMemoryUtils.trashcanNow. Engine heap size: 1,010 MB. GUI used memory: 48 MB. Current time: 2/12/20 3:23:37 PM CET -// TclEventType: FILE_SET_NEW -// TclEventType: RUN_ADD -// TclEventType: RUN_CURRENT -// TclEventType: PROJECT_NEW -// Tcl Message: source ./FLX709_import_vivado.tcl -// Tcl Message: ## create_project -force -part $PART $PROJECT_NAME $firmware_dir/Projects/$PROJECT_NAME -// Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/opt/Xilinx/Vivado/2018.1/data/ip'. -// TclEventType: PROJECT_NEW -// TclEventType: FILE_SET_OPTIONS_CHANGE -// [GUI Memory]: 93 MB (+1231kb) [00:11:01] -// TclEventType: FILE_SET_OPTIONS_CHANGE -// TclEventType: PROJECT_CHANGE -// TclEventType: FILE_SET_CHANGE -// Tcl Message: ## set_property target_language VHDL [current_project] ## set_property default_lib work [current_project] ## set_property XPM_LIBRARIES {XPM_CDC XPM_MEMORY XPM_FIFO} [current_project] ## foreach VHDL_FILE $VHDL_FILES { ## read_vhdl -library work ${firmware_dir}/sources/${VHDL_FILE} ## #set_property FILE_TYPE {VHDL 2008} [get_files ${firmware_dir}/sources/${VHDL_FILE}] ## } -// Tcl Message: ERROR: [Common 17-69] Command failed: File '/home/myronm/Desktop/wupper-interlaken/firmware/sources/shared/xadc_drp.vhd' does not exist -// Tcl Message: while executing "source ../helper/vivado_import_generic.tcl" (file "./FLX709_import_vivado.tcl" line 19) -dismissDialog("Tcl Command Line"); // bv (ch) -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_GRAPH_GENERATED -// TclEventType: FILE_SET_CHANGE -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// TclEventType: DG_GRAPH_STALE -// Tcl Message: update_compile_order -fileset sources_1 -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_GRAPH_GENERATED -// TclEventType: DG_GRAPH_GENERATED -// TclEventType: FILE_SET_CHANGE -// Tcl Message: update_compile_order -fileset sources_1 -// HMemoryUtils.trashcanNow. Engine heap size: 1,021 MB. GUI used memory: 49 MB. Current time: 2/12/20 3:25:07 PM CET -// Elapsed time: 92 seconds -setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, "source ./FLX709_import_vivado.tcl", true); // az (Y, ch) -// Tcl Command: 'source ./FLX709_import_vivado.tcl' -// Tcl Command: 'source ./FLX709_import_vivado.tcl' -// bv (ch): Tcl Command Line : addNotify -// TclEventType: PROJECT_CLOSE -// HMemoryUtils.trashcanNow. Engine heap size: 1,013 MB. GUI used memory: 49 MB. Current time: 2/12/20 3:25:13 PM CET -// TclEventType: FILE_SET_NEW -// TclEventType: RUN_ADD -// TclEventType: RUN_CURRENT -// TclEventType: PROJECT_NEW -// Tcl Message: source ./FLX709_import_vivado.tcl -// Tcl Message: ## create_project -force -part $PART $PROJECT_NAME $firmware_dir/Projects/$PROJECT_NAME -// Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/opt/Xilinx/Vivado/2018.1/data/ip'. -// TclEventType: PROJECT_NEW -// TclEventType: FILE_SET_OPTIONS_CHANGE -// TclEventType: PROJECT_CHANGE -// TclEventType: FILE_SET_CHANGE -// Tcl Message: ## set_property target_language VHDL [current_project] ## set_property default_lib work [current_project] ## set_property XPM_LIBRARIES {XPM_CDC XPM_MEMORY XPM_FIFO} [current_project] ## foreach VHDL_FILE $VHDL_FILES { ## read_vhdl -library work ${firmware_dir}/sources/${VHDL_FILE} ## #set_property FILE_TYPE {VHDL 2008} [get_files ${firmware_dir}/sources/${VHDL_FILE}] ## } -// Tcl Message: ERROR: [Common 17-69] Command failed: File '/home/myronm/Desktop/wupper-interlaken/firmware/sources/housekeeping/housekeeping_module.vhd' does not exist -// Tcl Message: while executing "source ../helper/vivado_import_generic.tcl" (file "./FLX709_import_vivado.tcl" line 19) -dismissDialog("Tcl Command Line"); // bv (ch) -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_GRAPH_GENERATED -// TclEventType: FILE_SET_CHANGE -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// TclEventType: DG_GRAPH_STALE -// Tcl Message: update_compile_order -fileset sources_1 -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_GRAPH_GENERATED -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// Tcl Message: update_compile_order -fileset sources_1 -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_GRAPH_GENERATED -// Elapsed time: 121 seconds -setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, "source ./FLX709_import_vivado.tcl", true); // az (Y, ch) -// Tcl Command: 'source ./FLX709_import_vivado.tcl' -// Tcl Command: 'source ./FLX709_import_vivado.tcl' -// bv (ch): Tcl Command Line : addNotify -// TclEventType: PROJECT_CLOSE -// HMemoryUtils.trashcanNow. Engine heap size: 1,010 MB. GUI used memory: 50 MB. Current time: 2/12/20 3:27:18 PM CET -// TclEventType: FILE_SET_NEW -// TclEventType: RUN_ADD -// TclEventType: RUN_CURRENT -// TclEventType: PROJECT_NEW -// Tcl Message: source ./FLX709_import_vivado.tcl -// Tcl Message: ## create_project -force -part $PART $PROJECT_NAME $firmware_dir/Projects/$PROJECT_NAME -// Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/opt/Xilinx/Vivado/2018.1/data/ip'. -// TclEventType: PROJECT_NEW -// [GUI Memory]: 99 MB (+906kb) [00:14:41] -// TclEventType: FILE_SET_OPTIONS_CHANGE -// TclEventType: PROJECT_CHANGE -// TclEventType: FILE_SET_CHANGE -// Tcl Message: ## set_property target_language VHDL [current_project] ## set_property default_lib work [current_project] ## set_property XPM_LIBRARIES {XPM_CDC XPM_MEMORY XPM_FIFO} [current_project] ## foreach VHDL_FILE $VHDL_FILES { ## read_vhdl -library work ${firmware_dir}/sources/${VHDL_FILE} ## #set_property FILE_TYPE {VHDL 2008} [get_files ${firmware_dir}/sources/${VHDL_FILE}] ## } -// Tcl Message: ERROR: [Common 17-69] Command failed: File '/home/myronm/Desktop/wupper-interlaken/firmware/sources/housekeeping/i2c_interface.vhd' does not exist -// Tcl Message: while executing "source ../helper/vivado_import_generic.tcl" (file "./FLX709_import_vivado.tcl" line 19) -dismissDialog("Tcl Command Line"); // bv (ch) -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_GRAPH_GENERATED -// TclEventType: FILE_SET_CHANGE -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// TclEventType: DG_GRAPH_STALE -// Tcl Message: update_compile_order -fileset sources_1 -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_GRAPH_GENERATED -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// Tcl Message: update_compile_order -fileset sources_1 -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_GRAPH_GENERATED -// Elapsed time: 73 seconds -setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, "source ./FLX709_import_vivado.tcl", true); // az (Y, ch) -// Tcl Command: 'source ./FLX709_import_vivado.tcl' -// Tcl Command: 'source ./FLX709_import_vivado.tcl' -// bv (ch): Tcl Command Line : addNotify -// TclEventType: PROJECT_CLOSE -// HMemoryUtils.trashcanNow. Engine heap size: 1,012 MB. GUI used memory: 51 MB. Current time: 2/12/20 3:28:35 PM CET -// TclEventType: FILE_SET_NEW -// TclEventType: RUN_ADD -// TclEventType: RUN_CURRENT -// TclEventType: PROJECT_NEW -// Tcl Message: source ./FLX709_import_vivado.tcl -// Tcl Message: ## create_project -force -part $PART $PROJECT_NAME $firmware_dir/Projects/$PROJECT_NAME -// Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/opt/Xilinx/Vivado/2018.1/data/ip'. -// TclEventType: PROJECT_NEW -// TclEventType: FILE_SET_OPTIONS_CHANGE -// TclEventType: PROJECT_CHANGE -// TclEventType: FILE_SET_CHANGE -// Tcl Message: ## set_property target_language VHDL [current_project] ## set_property default_lib work [current_project] ## set_property XPM_LIBRARIES {XPM_CDC XPM_MEMORY XPM_FIFO} [current_project] ## foreach VHDL_FILE $VHDL_FILES { ## read_vhdl -library work ${firmware_dir}/sources/${VHDL_FILE} ## #set_property FILE_TYPE {VHDL 2008} [get_files ${firmware_dir}/sources/${VHDL_FILE}] ## } -// TclEventType: FILE_SET_CHANGE -// Tcl Message: ERROR: [Common 17-69] Command failed: File '/home/myronm/Desktop/wupper-interlaken/firmware/sources/ip_cores/virtex7/pcie3_ultrascale_7038_stub.vhdl' does not exist -// Tcl Message: while executing "source ../helper/vivado_import_generic.tcl" (file "./FLX709_import_vivado.tcl" line 19) -dismissDialog("Tcl Command Line"); // bv (ch) -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_GRAPH_GENERATED -// TclEventType: FILE_SET_CHANGE -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// TclEventType: DG_GRAPH_STALE -// Tcl Message: update_compile_order -fileset sources_1 -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_GRAPH_GENERATED -// TclEventType: DG_GRAPH_GENERATED -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// Tcl Message: update_compile_order -fileset sources_1 -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_GRAPH_GENERATED -// Elapsed time: 39 seconds -setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, "source ./FLX709_import_vivado.tcl", true); // az (Y, ch) -// Tcl Command: 'source ./FLX709_import_vivado.tcl' -// Tcl Command: 'source ./FLX709_import_vivado.tcl' -// bv (ch): Tcl Command Line : addNotify -// TclEventType: PROJECT_CLOSE -// HMemoryUtils.trashcanNow. Engine heap size: 1,013 MB. GUI used memory: 51 MB. Current time: 2/12/20 3:29:17 PM CET -// TclEventType: FILE_SET_NEW -// TclEventType: RUN_ADD -// TclEventType: RUN_CURRENT -// TclEventType: PROJECT_NEW -// Tcl Message: source ./FLX709_import_vivado.tcl -// Tcl Message: ## create_project -force -part $PART $PROJECT_NAME $firmware_dir/Projects/$PROJECT_NAME -// Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/opt/Xilinx/Vivado/2018.1/data/ip'. -// TclEventType: PROJECT_NEW -// TclEventType: FILE_SET_OPTIONS_CHANGE -// TclEventType: PROJECT_CHANGE -// TclEventType: FILE_SET_CHANGE -// Tcl Message: ## set_property target_language VHDL [current_project] ## set_property default_lib work [current_project] ## set_property XPM_LIBRARIES {XPM_CDC XPM_MEMORY XPM_FIFO} [current_project] ## foreach VHDL_FILE $VHDL_FILES { ## read_vhdl -library work ${firmware_dir}/sources/${VHDL_FILE} ## #set_property FILE_TYPE {VHDL 2008} [get_files ${firmware_dir}/sources/${VHDL_FILE}] ## } -// TclEventType: FILE_SET_CHANGE -// Tcl Message: ERROR: [Common 17-69] Command failed: File '/home/myronm/Desktop/wupper-interlaken/firmware/sources/ip_cores/virtex7/system_management_wiz_0_stub.vhdl' does not exist -// Tcl Message: while executing "source ../helper/vivado_import_generic.tcl" (file "./FLX709_import_vivado.tcl" line 19) -dismissDialog("Tcl Command Line"); // bv (ch) -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_GRAPH_GENERATED -// TclEventType: FILE_SET_CHANGE -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// TclEventType: DG_GRAPH_STALE -// [GUI Memory]: 105 MB (+1233kb) [00:16:45] -// Tcl Message: update_compile_order -fileset sources_1 -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_GRAPH_GENERATED -// TclEventType: DG_GRAPH_GENERATED -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// Tcl Message: update_compile_order -fileset sources_1 -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_GRAPH_GENERATED -// Elapsed time: 53 seconds -setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, "source ./FLX709_import_vivado.tcl", true); // az (Y, ch) -// Tcl Command: 'source ./FLX709_import_vivado.tcl' -// Tcl Command: 'source ./FLX709_import_vivado.tcl' -// bv (ch): Tcl Command Line : addNotify -// TclEventType: PROJECT_CLOSE -// HMemoryUtils.trashcanNow. Engine heap size: 1,014 MB. GUI used memory: 55 MB. Current time: 2/12/20 3:30:14 PM CET -// Tcl Message: source ./FLX709_import_vivado.tcl -// TclEventType: FILE_SET_NEW -// TclEventType: RUN_ADD -// TclEventType: RUN_CURRENT -// TclEventType: PROJECT_NEW -// Tcl Message: ## create_project -force -part $PART $PROJECT_NAME $firmware_dir/Projects/$PROJECT_NAME -// Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/opt/Xilinx/Vivado/2018.1/data/ip'. -// TclEventType: PROJECT_NEW -// TclEventType: FILE_SET_OPTIONS_CHANGE -// TclEventType: PROJECT_CHANGE -// TclEventType: FILE_SET_CHANGE -// Tcl Message: ## set_property target_language VHDL [current_project] ## set_property default_lib work [current_project] ## set_property XPM_LIBRARIES {XPM_CDC XPM_MEMORY XPM_FIFO} [current_project] ## foreach VHDL_FILE $VHDL_FILES { ## read_vhdl -library work ${firmware_dir}/sources/${VHDL_FILE} ## #set_property FILE_TYPE {VHDL 2008} [get_files ${firmware_dir}/sources/${VHDL_FILE}] ## } -// TclEventType: FILE_SET_CHANGE -// Tcl Message: ## foreach VERILOG_FILE $VERILOG_FILES { ## read_verilog -library work ${firmware_dir}/sources/${VERILOG_FILE} ## } ## foreach XCI_FILE $XCI_FILES { ## import_ip ${core_dir}/${XCI_FILE} ## } -// Tcl Message: ERROR: [Coretcl 2-1074] Could not find import file '/home/myronm/Desktop/wupper-interlaken/firmware/scripts/interlaken_top/../..//sources/ip_cores/virtex7//clk_wiz_regmap.xci' ERROR: [Common 17-69] Command failed: import IP failed in 0 out of 0 cases. See the Messages window for details. -// Tcl Message: while executing "source ../helper/vivado_import_generic.tcl" (file "./FLX709_import_vivado.tcl" line 19) -dismissDialog("Tcl Command Line"); // bv (ch) -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_GRAPH_GENERATED -// TclEventType: FILE_SET_CHANGE -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// TclEventType: DG_GRAPH_STALE -// Tcl Message: update_compile_order -fileset sources_1 -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_GRAPH_GENERATED -// TclEventType: DG_GRAPH_GENERATED -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// Tcl Message: update_compile_order -fileset sources_1 -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_GRAPH_GENERATED -// Elapsed time: 108 seconds -setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, "source ./FLX709_import_vivado.tcl", true); // az (Y, ch) -// Tcl Command: 'source ./FLX709_import_vivado.tcl' -// Tcl Command: 'source ./FLX709_import_vivado.tcl' -// bv (ch): Tcl Command Line : addNotify -// TclEventType: PROJECT_CLOSE -// HMemoryUtils.trashcanNow. Engine heap size: 1,015 MB. GUI used memory: 54 MB. Current time: 2/12/20 3:32:05 PM CET -// TclEventType: FILE_SET_NEW -// TclEventType: RUN_ADD -// TclEventType: RUN_CURRENT -// TclEventType: PROJECT_NEW -// Tcl Message: source ./FLX709_import_vivado.tcl -// Tcl Message: ## create_project -force -part $PART $PROJECT_NAME $firmware_dir/Projects/$PROJECT_NAME -// Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/opt/Xilinx/Vivado/2018.1/data/ip'. -// TclEventType: PROJECT_NEW -// TclEventType: FILE_SET_OPTIONS_CHANGE -// TclEventType: PROJECT_CHANGE -// TclEventType: FILE_SET_CHANGE -// Tcl Message: ## set_property target_language VHDL [current_project] ## set_property default_lib work [current_project] ## set_property XPM_LIBRARIES {XPM_CDC XPM_MEMORY XPM_FIFO} [current_project] ## foreach VHDL_FILE $VHDL_FILES { ## read_vhdl -library work ${firmware_dir}/sources/${VHDL_FILE} ## #set_property FILE_TYPE {VHDL 2008} [get_files ${firmware_dir}/sources/${VHDL_FILE}] ## } -// TclEventType: FILE_SET_CHANGE -// Tcl Message: ## foreach VERILOG_FILE $VERILOG_FILES { ## read_verilog -library work ${firmware_dir}/sources/${VERILOG_FILE} ## } ## foreach XCI_FILE $XCI_FILES { ## import_ip ${core_dir}/${XCI_FILE} ## } -// Tcl Message: ERROR: [Coretcl 2-1074] Could not find import file '/home/myronm/Desktop/wupper-interlaken/firmware/scripts/interlaken_top/../..//sources/ip_cores/virtex7//clk_wiz_regmap.xci' ERROR: [Common 17-69] Command failed: import IP failed in 0 out of 0 cases. See the Messages window for details. -// Tcl Message: while executing "source ../helper/vivado_import_generic.tcl" (file "./FLX709_import_vivado.tcl" line 19) -dismissDialog("Tcl Command Line"); // bv (ch) -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_GRAPH_GENERATED -// TclEventType: FILE_SET_CHANGE -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// TclEventType: DG_GRAPH_STALE -// Tcl Message: update_compile_order -fileset sources_1 -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_GRAPH_GENERATED -// TclEventType: DG_GRAPH_GENERATED -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// Tcl Message: update_compile_order -fileset sources_1 -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_GRAPH_GENERATED -// Elapsed time: 103 seconds -setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, "source ./FLX709_import_vivado.tcl", true); // az (Y, ch) -// Tcl Command: 'source ./FLX709_import_vivado.tcl' -// Tcl Command: 'source ./FLX709_import_vivado.tcl' -// bv (ch): Tcl Command Line : addNotify -// TclEventType: PROJECT_CLOSE -// HMemoryUtils.trashcanNow. Engine heap size: 1,016 MB. GUI used memory: 53 MB. Current time: 2/12/20 3:33:52 PM CET -// TclEventType: FILE_SET_NEW -// TclEventType: RUN_ADD -// TclEventType: RUN_CURRENT -// TclEventType: PROJECT_NEW -// Tcl Message: source ./FLX709_import_vivado.tcl -// Tcl Message: ## create_project -force -part $PART $PROJECT_NAME $firmware_dir/Projects/$PROJECT_NAME -// Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/opt/Xilinx/Vivado/2018.1/data/ip'. -// TclEventType: PROJECT_NEW -// TclEventType: FILE_SET_OPTIONS_CHANGE -// TclEventType: PROJECT_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// TclEventType: LOAD_FEATURE -// Tcl Message: ## set_property target_language VHDL [current_project] ## set_property default_lib work [current_project] ## set_property XPM_LIBRARIES {XPM_CDC XPM_MEMORY XPM_FIFO} [current_project] ## foreach VHDL_FILE $VHDL_FILES { ## read_vhdl -library work ${firmware_dir}/sources/${VHDL_FILE} ## #set_property FILE_TYPE {VHDL 2008} [get_files ${firmware_dir}/sources/${VHDL_FILE}] ## } ## foreach VERILOG_FILE $VERILOG_FILES { ## read_verilog -library work ${firmware_dir}/sources/${VERILOG_FILE} ## } ## foreach XCI_FILE $XCI_FILES { ## import_ip ${core_dir}/${XCI_FILE} ## } -// [Engine Memory]: 1,146 MB (+78873kb) [00:21:18] -// [Engine Memory]: 1,205 MB (+2492kb) [00:21:25] -// Elapsed time: 28 seconds -selectButton(RDIResource.ProgressDialog_BACKGROUND, "Background"); // a (bv) -selectTab((HResource) null, (HResource) null, "Tcl Console", 0); // aE (Q, ch) -// HMemoryUtils.trashcanNow. Engine heap size: 1,219 MB. GUI used memory: 55 MB. Current time: 2/12/20 3:34:22 PM CET -selectTab(PAResourceEtoH.FileSetView_TABBED_PANE, (HResource) null, "IP Sources", 1); // i (N, ch) -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// HMemoryUtils.trashcanNow. Engine heap size: 1,263 MB. GUI used memory: 55 MB. Current time: 2/12/20 3:34:42 PM CET -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// Tcl Message: import_ip: Time (s): cpu = 00:00:23 ; elapsed = 00:00:48 . Memory (MB): peak = 6435.523 ; gain = 229.043 ; free physical = 125 ; free virtual = 4558 -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// Tcl Message: INFO: [Device 21-403] Loading part xc7vx690tffg1761-2 -// [Engine Memory]: 1,362 MB (+100521kb) [00:22:08] -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// TclEventType: FILE_SET_CHANGE -// Tcl Message: ## foreach XDC_FILE $XDC_FILES { ## read_xdc -verbose ${firmware_dir}/constraints/${XDC_FILE} ## } -// Tcl Message: ERROR: [Common 17-275] File does not exist [/home/myronm/Desktop/wupper-interlaken/firmware/constraints/firmware/contstrains/pcie_dma_top_VC709.xdc] -// Tcl Message: while executing "source ../helper/vivado_import_generic.tcl" (file "./FLX709_import_vivado.tcl" line 19) -// Elapsed time: 22 seconds -dismissDialog("Tcl Command Line"); // bv (ch) -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_GRAPH_GENERATED -// TclEventType: FILE_SET_CHANGE -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// TclEventType: DG_GRAPH_STALE -// Tcl Message: update_compile_order -fileset sources_1 -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_GRAPH_GENERATED -// TclEventType: DG_GRAPH_GENERATED -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// Tcl Message: update_compile_order -fileset sources_1 -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_GRAPH_GENERATED -// [Engine Memory]: 1,435 MB (+5421kb) [00:22:18] -// Elapsed time: 92 seconds -setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, "source ./FLX709_import_vivado.tcl", true); // az (Y, ch) -// Tcl Command: 'source ./FLX709_import_vivado.tcl' -// Tcl Command: 'source ./FLX709_import_vivado.tcl' -// bv (ch): Tcl Command Line : addNotify -// TclEventType: PROJECT_CLOSE -// HMemoryUtils.trashcanNow. Engine heap size: 1,387 MB. GUI used memory: 54 MB. Current time: 2/12/20 3:36:20 PM CET -// TclEventType: FILE_SET_NEW -// TclEventType: RUN_ADD -// TclEventType: RUN_CURRENT -// TclEventType: PROJECT_NEW -// Tcl Message: source ./FLX709_import_vivado.tcl -// Tcl Message: ## create_project -force -part $PART $PROJECT_NAME $firmware_dir/Projects/$PROJECT_NAME -// Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/opt/Xilinx/Vivado/2018.1/data/ip'. -// TclEventType: PROJECT_NEW -// TclEventType: FILE_SET_OPTIONS_CHANGE -// TclEventType: PROJECT_CHANGE -// TclEventType: FILE_SET_CHANGE -// Tcl Message: ## set_property target_language VHDL [current_project] ## set_property default_lib work [current_project] ## set_property XPM_LIBRARIES {XPM_CDC XPM_MEMORY XPM_FIFO} [current_project] ## foreach VHDL_FILE $VHDL_FILES { ## read_vhdl -library work ${firmware_dir}/sources/${VHDL_FILE} ## #set_property FILE_TYPE {VHDL 2008} [get_files ${firmware_dir}/sources/${VHDL_FILE}] ## } -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// Tcl Message: ## foreach VERILOG_FILE $VERILOG_FILES { ## read_verilog -library work ${firmware_dir}/sources/${VERILOG_FILE} ## } ## foreach XCI_FILE $XCI_FILES { ## import_ip ${core_dir}/${XCI_FILE} ## } -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: FILE_SET_OPTIONS_CHANGE -// TclEventType: FILE_SET_CHANGE -// Tcl Message: ## foreach XDC_FILE $XDC_FILES { ## read_xdc -verbose ${firmware_dir}/constraints/${XDC_FILE} ## } ## set_property SOURCE_SET sources_1 [get_filesets sim_1] ## foreach EXCLUDE_SIM_FILE $EXCLUDE_SIM_FILES { ## set_property used_in_simulation false [get_files ${firmware_dir}/sources/$EXCLUDE_SIM_FILE] ## } ## foreach SIM_FILE $SIM_FILES { ## add_files -fileset sim_1 -force -norecurse ${firmware_dir}/simulation/$SIM_FILE ## set_property library work [get_files ${firmware_dir}/simulation/$SIM_FILE] ## #set_property FILE_TYPE {VHDL 2008} [get_files ${firmware_dir}/simulation/$SIM_FILE] ## } -// Tcl Message: ERROR: [Vivado 12-172] File or Directory '/home/myronm/Desktop/wupper-interlaken/firmware/scripts/interlaken_top/../..//simulation/deframing_meta.tb.vhd' does not exist -// Tcl Message: while executing "source ../helper/vivado_import_generic.tcl" (file "./FLX709_import_vivado.tcl" line 19) -dismissDialog("Tcl Command Line"); // bv (ch) -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_GRAPH_GENERATED -// TclEventType: FILE_SET_CHANGE -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// TclEventType: DG_GRAPH_STALE -// Tcl Message: update_compile_order -fileset sources_1 -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_GRAPH_GENERATED -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// Tcl Message: update_compile_order -fileset sources_1 -// Tcl Message: update_compile_order -fileset sim_1 -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_GRAPH_GENERATED -// HMemoryUtils.trashcanNow. Engine heap size: 1,443 MB. GUI used memory: 56 MB. Current time: 2/12/20 3:36:37 PM CET -// Elapsed time: 36 seconds -setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, "source ./FLX709_import_vivado.tcl", true); // az (Y, ch) -// Tcl Command: 'source ./FLX709_import_vivado.tcl' -// Tcl Command: 'source ./FLX709_import_vivado.tcl' -// bv (ch): Tcl Command Line : addNotify -// TclEventType: PROJECT_CLOSE -// HMemoryUtils.trashcanNow. Engine heap size: 1,388 MB. GUI used memory: 55 MB. Current time: 2/12/20 3:37:03 PM CET -// TclEventType: FILE_SET_NEW -// TclEventType: RUN_ADD -// TclEventType: RUN_CURRENT -// TclEventType: PROJECT_NEW -// Tcl Message: source ./FLX709_import_vivado.tcl -// Tcl Message: ## create_project -force -part $PART $PROJECT_NAME $firmware_dir/Projects/$PROJECT_NAME -// Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified -// TclEventType: PROJECT_NEW -// TclEventType: FILE_SET_OPTIONS_CHANGE -// Tcl Message: INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/opt/Xilinx/Vivado/2018.1/data/ip'. -// TclEventType: FILE_SET_OPTIONS_CHANGE -// TclEventType: PROJECT_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// Tcl Message: ## set_property target_language VHDL [current_project] ## set_property default_lib work [current_project] ## set_property XPM_LIBRARIES {XPM_CDC XPM_MEMORY XPM_FIFO} [current_project] ## foreach VHDL_FILE $VHDL_FILES { ## read_vhdl -library work ${firmware_dir}/sources/${VHDL_FILE} ## #set_property FILE_TYPE {VHDL 2008} [get_files ${firmware_dir}/sources/${VHDL_FILE}] ## } ## foreach VERILOG_FILE $VERILOG_FILES { ## read_verilog -library work ${firmware_dir}/sources/${VERILOG_FILE} ## } ## foreach XCI_FILE $XCI_FILES { ## import_ip ${core_dir}/${XCI_FILE} ## } -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: FILE_SET_OPTIONS_CHANGE -// TclEventType: FILE_SET_CHANGE -// Tcl Message: ## foreach XDC_FILE $XDC_FILES { ## read_xdc -verbose ${firmware_dir}/constraints/${XDC_FILE} ## } ## set_property SOURCE_SET sources_1 [get_filesets sim_1] ## foreach EXCLUDE_SIM_FILE $EXCLUDE_SIM_FILES { ## set_property used_in_simulation false [get_files ${firmware_dir}/sources/$EXCLUDE_SIM_FILE] ## } ## foreach SIM_FILE $SIM_FILES { ## add_files -fileset sim_1 -force -norecurse ${firmware_dir}/simulation/$SIM_FILE ## set_property library work [get_files ${firmware_dir}/simulation/$SIM_FILE] ## #set_property FILE_TYPE {VHDL 2008} [get_files ${firmware_dir}/simulation/$SIM_FILE] ## } ## foreach WCFG_FILE $WCFG_FILES { ## add_files -fileset sim_1 -force -norecurse ${firmware_dir}/simulation/$WCFG_FILE ## } -// Tcl Message: ERROR: [Vivado 12-172] File or Directory '/home/myronm/Desktop/wupper-interlaken/firmware/scripts/interlaken_top/../..//simulation/firmware/simulation/testbench_interlaken_interface_behav.wcfg' does not exist -// Tcl Message: while executing "source ../helper/vivado_import_generic.tcl" (file "./FLX709_import_vivado.tcl" line 19) -dismissDialog("Tcl Command Line"); // bv (ch) -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_GRAPH_GENERATED -// TclEventType: FILE_SET_CHANGE -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// TclEventType: DG_GRAPH_STALE -// Tcl Message: update_compile_order -fileset sources_1 -// Tcl Message: update_compile_order -fileset sim_1 -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_GRAPH_GENERATED -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// Tcl Message: update_compile_order -fileset sources_1 -// Tcl Message: update_compile_order -fileset sim_1 -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_GRAPH_GENERATED -// Elapsed time: 29 seconds -setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, "source ./FLX709_import_vivado.tcl", true); // az (Y, ch) -// Tcl Command: 'source ./FLX709_import_vivado.tcl' -// Tcl Command: 'source ./FLX709_import_vivado.tcl' -// bv (ch): Tcl Command Line : addNotify -// TclEventType: PROJECT_CLOSE -// HMemoryUtils.trashcanNow. Engine heap size: 1,401 MB. GUI used memory: 55 MB. Current time: 2/12/20 3:37:39 PM CET -// TclEventType: FILE_SET_NEW -// TclEventType: RUN_ADD -// TclEventType: RUN_CURRENT -// TclEventType: PROJECT_NEW -// Tcl Message: source ./FLX709_import_vivado.tcl -// Tcl Message: ## create_project -force -part $PART $PROJECT_NAME $firmware_dir/Projects/$PROJECT_NAME -// Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/opt/Xilinx/Vivado/2018.1/data/ip'. -// TclEventType: PROJECT_NEW -// TclEventType: FILE_SET_OPTIONS_CHANGE -// TclEventType: PROJECT_CHANGE -// TclEventType: FILE_SET_CHANGE -// Tcl Message: ## set_property target_language VHDL [current_project] ## set_property default_lib work [current_project] ## set_property XPM_LIBRARIES {XPM_CDC XPM_MEMORY XPM_FIFO} [current_project] ## foreach VHDL_FILE $VHDL_FILES { ## read_vhdl -library work ${firmware_dir}/sources/${VHDL_FILE} ## #set_property FILE_TYPE {VHDL 2008} [get_files ${firmware_dir}/sources/${VHDL_FILE}] ## } -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// Tcl Message: ## foreach VERILOG_FILE $VERILOG_FILES { ## read_verilog -library work ${firmware_dir}/sources/${VERILOG_FILE} ## } ## foreach XCI_FILE $XCI_FILES { ## import_ip ${core_dir}/${XCI_FILE} ## } -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: IP_LOCK_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: FILE_SET_OPTIONS_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_GRAPH_GENERATED -// TclEventType: FILE_SET_CHANGE -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_OPTIONS_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: FILESET_TARGET_UCF_CHANGE -// TclEventType: FILE_SET_OPTIONS_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: FILE_SET_OPTIONS_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: PACKAGER_OBJECT_ADD -// TclEventType: PACKAGER_OBJECT_CHANGE -// TclEventType: FILE_SET_CHANGE -// Tcl Message: ## set_property -name {xsim.simulate.runtime} -value {5 us} -objects [current_fileset -simset] ## close [ open $firmware_dir/constraints/felix_probes.xdc w ] ## read_xdc -verbose $firmware_dir/constraints/felix_probes.xdc ## set_property target_constrs_file $firmware_dir/constraints/felix_probes.xdc [current_fileset -constrset] ## set_property top $TOPLEVEL [current_fileset] ## upgrade_ip [get_ips] -// Tcl Message: ## generate_target all [get_ips] -// TclEventType: FILE_SET_CHANGE -// Tcl Message: INFO: [IP_Flow 19-1686] Generating 'Simulation' target for IP 'pcie_x8_gen3_3_0'... INFO: [IP_Flow 19-1686] Generating 'Change Log' target for IP 'pcie_x8_gen3_3_0'... INFO: [IP_Flow 19-1686] Generating 'Instantiation Template' target for IP 'xadc_wiz_0'... INFO: [IP_Flow 19-1686] Generating 'Synthesis' target for IP 'xadc_wiz_0'... -// TclEventType: FILE_SET_CHANGE -// TclEventType: FILE_SET_NEW -// TclEventType: FILE_SET_CHANGE -// TclEventType: RUN_ADD -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_GRAPH_GENERATED -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// TclEventType: RUN_LAUNCH -// Tcl Message: INFO: [IP_Flow 19-1686] Generating 'Simulation' target for IP 'xadc_wiz_0'... INFO: [IP_Flow 19-1686] Generating 'Miscellaneous' target for IP 'xadc_wiz_0'... INFO: [IP_Flow 19-1686] Generating 'Change Log' target for IP 'xadc_wiz_0'... -// Tcl Message: ## export_ip_user_files -of_objects [get_ips] -no_script -force -quiet ## set xcifiles [get_files *.xci] ## foreach ip [get_ips] { ## set run [create_ip_run [get_ips $ip]] ## launch_run $run ## } -// TclEventType: RUN_MODIFY -// TclEventType: FILE_SET_NEW -// TclEventType: FILE_SET_CHANGE -// TclEventType: RUN_ADD -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_GRAPH_GENERATED -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// TclEventType: RUN_LAUNCH -// TclEventType: RUN_MODIFY -// TclEventType: FILE_SET_NEW -// TclEventType: FILE_SET_CHANGE -// TclEventType: RUN_ADD -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_GRAPH_GENERATED -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// TclEventType: RUN_LAUNCH -// TclEventType: RUN_MODIFY -// TclEventType: FILE_SET_NEW -// TclEventType: FILE_SET_CHANGE -// TclEventType: RUN_ADD -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_GRAPH_GENERATED -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// TclEventType: RUN_LAUNCH -// Tcl Message: [Wed Feb 12 15:37:51 2020] Launched I2C_RDFifo_synth_1... Run output will be captured here: /home/myronm/Desktop/wupper-interlaken/firmware/Projects/FLX709_INTERLAKEN/FLX709_INTERLAKEN.runs/I2C_RDFifo_synth_1/runme.log [Wed Feb 12 15:37:51 2020] Launched I2C_WRFifo_synth_1... Run output will be captured here: /home/myronm/Desktop/wupper-interlaken/firmware/Projects/FLX709_INTERLAKEN/FLX709_INTERLAKEN.runs/I2C_WRFifo_synth_1/runme.log [Wed Feb 12 15:37:51 2020] Launched clk_wiz_40_synth_1... Run output will be captured here: /home/myronm/Desktop/wupper-interlaken/firmware/Projects/FLX709_INTERLAKEN/FLX709_INTERLAKEN.runs/clk_wiz_40_synth_1/runme.log -// TclEventType: RUN_MODIFY -// TclEventType: FILE_SET_NEW -// TclEventType: FILE_SET_CHANGE -// TclEventType: RUN_ADD -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_GRAPH_GENERATED -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// TclEventType: RUN_LAUNCH -// TclEventType: RUN_MODIFY -// TclEventType: FILE_SET_NEW -// TclEventType: FILE_SET_CHANGE -// TclEventType: RUN_ADD -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_GRAPH_GENERATED -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// TclEventType: RUN_LAUNCH -// TclEventType: RUN_MODIFY -// TclEventType: FILE_SET_NEW -// TclEventType: FILE_SET_CHANGE -// TclEventType: RUN_ADD -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_GRAPH_GENERATED -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// TclEventType: RUN_LAUNCH -// Tcl Message: [Wed Feb 12 15:37:51 2020] Launched fifo128KB_256bit_synth_1... Run output will be captured here: /home/myronm/Desktop/wupper-interlaken/firmware/Projects/FLX709_INTERLAKEN/FLX709_INTERLAKEN.runs/fifo128KB_256bit_synth_1/runme.log [Wed Feb 12 15:37:52 2020] Launched fifo16KB_256bit_synth_1... Run output will be captured here: /home/myronm/Desktop/wupper-interlaken/firmware/Projects/FLX709_INTERLAKEN/FLX709_INTERLAKEN.runs/fifo16KB_256bit_synth_1/runme.log [Wed Feb 12 15:37:52 2020] Launched pcie_x8_gen3_3_0_synth_1... Run output will be captured here: /home/myronm/Desktop/wupper-interlaken/firmware/Projects/FLX709_INTERLAKEN/FLX709_INTERLAKEN.runs/pcie_x8_gen3_3_0_synth_1/runme.log -// TclEventType: RUN_MODIFY -// TclEventType: FILE_SET_CHANGE -// TclEventType: PROJECT_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: PROJECT_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: PROJECT_CHANGE -// TclEventType: FILE_SET_CHANGE -// Tcl Message: [Wed Feb 12 15:37:52 2020] Launched xadc_wiz_0_synth_1... Run output will be captured here: /home/myronm/Desktop/wupper-interlaken/firmware/Projects/FLX709_INTERLAKEN/FLX709_INTERLAKEN.runs/xadc_wiz_0_synth_1/runme.log -// Tcl Message: ## export_simulation -of_objects [get_ips] -force -quiet -// TclEventType: FILE_SET_CHANGE -// TclEventType: PROJECT_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: PROJECT_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: PROJECT_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: PROJECT_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: PROJECT_CHANGE -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_GRAPH_GENERATED -// TclEventType: PACKAGER_MESSAGE_RESET -// TclEventType: PACKAGER_UNLOAD_CORE -// TclEventType: PACKAGER_MESSAGE_RESET -// TclEventType: PACKAGER_UNLOAD_CORE -// TclEventType: PACKAGER_MESSAGE_RESET -// TclEventType: PACKAGER_UNLOAD_CORE -// TclEventType: PACKAGER_MESSAGE_RESET -// TclEventType: PACKAGER_UNLOAD_CORE -// TclEventType: PACKAGER_MESSAGE_RESET -// TclEventType: PACKAGER_UNLOAD_CORE -// TclEventType: PACKAGER_MESSAGE_RESET -// TclEventType: PACKAGER_UNLOAD_CORE -// TclEventType: PACKAGER_MESSAGE_RESET -// TclEventType: PACKAGER_UNLOAD_CORE -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_GRAPH_GENERATED -// Tcl Message: # puts "INFO: Done!" -// Tcl Message: INFO: Done! -// Elapsed time: 25 seconds -dismissDialog("Tcl Command Line"); // bv (ch) -// TclEventType: FILE_SET_CHANGE -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_GRAPH_STALE -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// Tcl Message: update_compile_order -fileset sources_1 -// Tcl Message: update_compile_order -fileset sim_1 -// TclEventType: DG_GRAPH_GENERATED -// x (ch): Invalid Top Module: addNotify -// HMemoryUtils.trashcanNow. Engine heap size: 1,469 MB. GUI used memory: 62 MB. Current time: 2/12/20 3:38:07 PM CET -selectButton(RDIResource.BaseDialog_OK, "OK"); // a (x) -// TclEventType: FILE_SET_CHANGE -// TclEventType: DG_GRAPH_STALE -dismissDialog("Invalid Top Module"); // x (ch) -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_GRAPH_GENERATED -// TclEventType: FILE_SET_CHANGE -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// Tcl Message: update_compile_order -fileset sources_1 -// TclEventType: DG_ANALYSIS_MSG_RESET -// TclEventType: DG_GRAPH_GENERATED -// WARNING: HSwingWorker (Update Runs Swing Worker) is taking too long to process. Increasing delay to 3000 ms. -// WARNING: HTimer (a Update Status Timer) is taking too long to process. Increasing delay to 3000 ms. -// WARNING: HTimer (a Update Status Timer) is taking too long to process. Increasing delay to 3000 ms. -// WARNING: HTimer (WrapperUtils Delayed Delete Timer) is taking too long to process. Increasing delay to 2000 ms. -// WARNING: HSwingWorker (Update Runs Swing Worker) is taking too long to process. Increasing delay to 4000 ms. -// WARNING: HSwingWorker (Refresh Filesets Swing Worker) is taking too long to process. Increasing delay to 3000 ms. -// WARNING: HTimer (ActiveMsgMonitor Process Messages Timer) is taking too long to process. Increasing delay to 2000 ms. -// WARNING: HSwingWorker (Update Runs Swing Worker) is taking too long to process. Increasing delay to 5000 ms. -// WARNING: HSwingWorker (Refresh Filesets Swing Worker) is taking too long to process. Increasing delay to 4000 ms. diff --git a/simulation/.Xil/Interface_Test_propImpl.xdc b/simulation/.Xil/Interface_Test_propImpl.xdc deleted file mode 100644 index fd1f0ef33cfbe058ba33f020460e0bbc12470e6a..0000000000000000000000000000000000000000 --- a/simulation/.Xil/Interface_Test_propImpl.xdc +++ /dev/null @@ -1,29 +0,0 @@ -set_property SRC_FILE_INFO {cfile:/home/nayibb/Desktop/report/Code/Core1990/projects/core1990_interlaken/core1990_interlaken.srcs/sources_1/ip/clk_40MHz/clk_40MHz.xdc rfile:../../projects/core1990_interlaken/core1990_interlaken.srcs/sources_1/ip/clk_40MHz/clk_40MHz.xdc id:1 order:EARLY scoped_inst:interface/System_Clock/inst} [current_design] -set_property SRC_FILE_INFO {cfile:/home/nayibb/Desktop/report/Code/Core1990/constraints/Core1990_Constraints.xdc rfile:../../constraints/Core1990_Constraints.xdc id:2} [current_design] -set_property SRC_FILE_INFO {cfile:/home/nayibb/Desktop/report/Code/Core1990/projects/core1990_interlaken/core1990_interlaken.srcs/sources_1/ip/TX_FIFO/TX_FIFO/TX_FIFO_clocks.xdc rfile:../../projects/core1990_interlaken/core1990_interlaken.srcs/sources_1/ip/TX_FIFO/TX_FIFO/TX_FIFO_clocks.xdc id:3 order:LATE scoped_inst:interface/Interlaken_TX/FIFO_Transmitter/U0} [current_design] -set_property SRC_FILE_INFO {cfile:/home/nayibb/Desktop/report/Code/Core1990/projects/core1990_interlaken/core1990_interlaken.srcs/sources_1/ip/RX_FIFO/RX_FIFO/RX_FIFO_clocks.xdc rfile:../../projects/core1990_interlaken/core1990_interlaken.srcs/sources_1/ip/RX_FIFO/RX_FIFO/RX_FIFO_clocks.xdc id:4 order:LATE scoped_inst:interface/Interlaken_RX/FIFO_Receiver/U0} [current_design] -set_property src_info {type:SCOPED_XDC file:1 line:57 export:INPUT save:INPUT read:READ} [current_design] -set_input_jitter [get_clocks -of_objects [get_ports clk_in1_p]] 0.05 -set_property src_info {type:XDC file:2 line:34 export:INPUT save:INPUT read:READ} [current_design] -set_max_delay -datapath_only -from [get_clocks clkout0] -to [get_clocks clk_out1_clk_40MHz*] 25.000 -set_property src_info {type:XDC file:2 line:35 export:INPUT save:INPUT read:READ} [current_design] -set_max_delay -datapath_only -from [get_clocks clk_out1_clk_40MHz*] -to [get_clocks clkout0] 25.000 -set_property src_info {type:SCOPED_XDC file:3 line:65 export:INPUT save:INPUT read:READ} [current_design] -set_max_delay -from [get_cells inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gcx.clkx/*rd_pntr_gc_reg[*]] -to [get_cells inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gcx.clkx/*gsync_stage[1].wr_stg_inst/Q_reg_reg[*]] -datapath_only [get_property -min PERIOD [get_clocks -of_objects [get_pins interface/Interlaken_TX/FIFO_Transmitter/U0/rd_clk]]] -set_property src_info {type:SCOPED_XDC file:3 line:68 export:INPUT save:INPUT read:READ} [current_design] -set_max_delay -from [get_cells inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gcx.clkx/*wr_pntr_gc_reg[*]] -to [get_cells inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gcx.clkx/*gsync_stage[1].rd_stg_inst/Q_reg_reg[*]] -datapath_only [get_property -min PERIOD [get_clocks -of_objects [get_pins interface/Interlaken_TX/FIFO_Transmitter/U0/wr_clk]]] -set_property src_info {type:SCOPED_XDC file:4 line:65 export:INPUT save:INPUT read:READ} [current_design] -set_max_delay -from [get_cells inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gcx.clkx/*rd_pntr_gc_reg[*]] -to [get_cells inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gcx.clkx/*gsync_stage[1].wr_stg_inst/Q_reg_reg[*]] -datapath_only [get_property -min PERIOD [get_clocks -of_objects [get_pins interface/Interlaken_RX/FIFO_Receiver/U0/rd_clk]]] -set_property src_info {type:SCOPED_XDC file:4 line:68 export:INPUT save:INPUT read:READ} [current_design] -set_max_delay -from [get_cells inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gcx.clkx/*wr_pntr_gc_reg[*]] -to [get_cells inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gcx.clkx/*gsync_stage[1].rd_stg_inst/Q_reg_reg[*]] -datapath_only [get_property -min PERIOD [get_clocks -of_objects [get_pins interface/Interlaken_RX/FIFO_Receiver/U0/wr_clk]]] -current_instance interface/Interlaken_TX/FIFO_Transmitter/U0 -set_property src_info {type:SCOPED_XDC file:3 line:66 export:INPUT save:INPUT read:READ} [current_design] -set_bus_skew -from [get_cells {inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gcx.clkx/*rd_pntr_gc_reg[*]}] -to [get_cells {inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gcx.clkx/*gsync_stage[1].wr_stg_inst/Q_reg_reg[*]}] 6.400 -set_property src_info {type:SCOPED_XDC file:3 line:69 export:INPUT save:INPUT read:READ} [current_design] -set_bus_skew -from [get_cells {inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gcx.clkx/*wr_pntr_gc_reg[*]}] -to [get_cells {inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gcx.clkx/*gsync_stage[1].rd_stg_inst/Q_reg_reg[*]}] 6.400 -current_instance -current_instance interface/Interlaken_RX/FIFO_Receiver/U0 -set_property src_info {type:SCOPED_XDC file:4 line:66 export:INPUT save:INPUT read:READ} [current_design] -set_bus_skew -from [get_cells {inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gcx.clkx/*rd_pntr_gc_reg[*]}] -to [get_cells {inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gcx.clkx/*gsync_stage[1].wr_stg_inst/Q_reg_reg[*]}] 6.400 -set_property src_info {type:SCOPED_XDC file:4 line:69 export:INPUT save:INPUT read:READ} [current_design] -set_bus_skew -from [get_cells {inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gcx.clkx/*wr_pntr_gc_reg[*]}] -to [get_cells {inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gcx.clkx/*gsync_stage[1].rd_stg_inst/Q_reg_reg[*]}] 6.400 diff --git a/simulation/.Xil/Vivado-17517-franss-Vostro-460/elab.rtd b/simulation/.Xil/Vivado-17517-franss-Vostro-460/elab.rtd deleted file mode 100644 index 5a9cb5c83be1ece35944b91dc1a1a1bceded3b2e..0000000000000000000000000000000000000000 Binary files a/simulation/.Xil/Vivado-17517-franss-Vostro-460/elab.rtd and /dev/null differ diff --git a/simulation/.Xil/Vivado-17517-franss-Vostro-460/hw_ila_data_1/xsim.dir/snapshot/xsim.dbg b/simulation/.Xil/Vivado-17517-franss-Vostro-460/hw_ila_data_1/xsim.dir/snapshot/xsim.dbg deleted file mode 100644 index ad21f35dc38e612f5436629539c8c9018a3a9f95..0000000000000000000000000000000000000000 Binary files a/simulation/.Xil/Vivado-17517-franss-Vostro-460/hw_ila_data_1/xsim.dir/snapshot/xsim.dbg and /dev/null differ diff --git a/simulation/.Xil/Vivado-17517-franss-Vostro-460/hw_ila_data_1/xsim.dir/snapshot/xsim.rtti b/simulation/.Xil/Vivado-17517-franss-Vostro-460/hw_ila_data_1/xsim.dir/snapshot/xsim.rtti deleted file mode 100644 index 54318d2317fbd57881923b5da9bec182b294d9be..0000000000000000000000000000000000000000 Binary files a/simulation/.Xil/Vivado-17517-franss-Vostro-460/hw_ila_data_1/xsim.dir/snapshot/xsim.rtti and /dev/null differ diff --git a/simulation/.Xil/Vivado-17517-franss-Vostro-460/hw_ila_data_1/zip_work/hw_ila_data_1.wcfg b/simulation/.Xil/Vivado-17517-franss-Vostro-460/hw_ila_data_1/zip_work/hw_ila_data_1.wcfg deleted file mode 100755 index fa43201b330254636d7f462c2074bf6824fd0a3e..0000000000000000000000000000000000000000 --- a/simulation/.Xil/Vivado-17517-franss-Vostro-460/hw_ila_data_1/zip_work/hw_ila_data_1.wcfg +++ /dev/null @@ -1,49 +0,0 @@ -<?xml version="1.0" encoding="UTF-8"?> -<wave_config> - <wave_state> - </wave_state> - <db_ref_list> - <db_ref path="/home/nayibb/Desktop/report/Code/Core1990/projects/core1990_interlaken/core1990_interlaken.hw/hw_1/wave/hw_ila_data_1/hw_ila_data_1.wdb" id="1"> - <top_modules> - </top_modules> - </db_ref> - </db_ref_list> - <zoom_setting> - <ZoomStartTime time="0fs"></ZoomStartTime> - <ZoomEndTime time="4291fs"></ZoomEndTime> - <Cursor1Time time="0fs"></Cursor1Time> - </zoom_setting> - <column_width_setting> - <NameColumnWidth column_width="203"></NameColumnWidth> - <ValueColumnWidth column_width="107"></ValueColumnWidth> - </column_width_setting> - <WVObjectSize size="4" /> - <wvobject type="array" fp_name="TX_Data_Pipelined"> - <obj_property name="DisplayName">FullPathName</obj_property> - <obj_property name="ElementShortName">TX_Data_Pipelined[63:0]</obj_property> - <obj_property name="ObjectShortName">TX_Data_Pipelined[63:0]</obj_property> - <obj_property name="Radix">HEXRADIX</obj_property> - <obj_property name="LABELRADIX">true</obj_property> - </wvobject> - <wvobject type="array" fp_name="TX_Info_Pipelined"> - <obj_property name="DisplayName">FullPathName</obj_property> - <obj_property name="ElementShortName">TX_Info_Pipelined[4:0]</obj_property> - <obj_property name="ObjectShortName">TX_Info_Pipelined[4:0]</obj_property> - <obj_property name="Radix">HEXRADIX</obj_property> - <obj_property name="LABELRADIX">true</obj_property> - </wvobject> - <wvobject type="array" fp_name="RX_Data"> - <obj_property name="DisplayName">FullPathName</obj_property> - <obj_property name="ElementShortName">RX_Data[63:0]</obj_property> - <obj_property name="ObjectShortName">RX_Data[63:0]</obj_property> - <obj_property name="Radix">HEXRADIX</obj_property> - <obj_property name="LABELRADIX">true</obj_property> - </wvobject> - <wvobject type="array" fp_name="RX_Info"> - <obj_property name="DisplayName">FullPathName</obj_property> - <obj_property name="ElementShortName">RX_Info[4:0]</obj_property> - <obj_property name="ObjectShortName">RX_Info[4:0]</obj_property> - <obj_property name="Radix">HEXRADIX</obj_property> - <obj_property name="LABELRADIX">true</obj_property> - </wvobject> -</wave_config> diff --git a/simulation/.Xil/Vivado-17517-franss-Vostro-460/hw_ila_data_1/zip_work/hw_ila_data_1.wdb b/simulation/.Xil/Vivado-17517-franss-Vostro-460/hw_ila_data_1/zip_work/hw_ila_data_1.wdb deleted file mode 100644 index 1876c806d1c0b51c9471be039e79e10e4a0924cb..0000000000000000000000000000000000000000 Binary files a/simulation/.Xil/Vivado-17517-franss-Vostro-460/hw_ila_data_1/zip_work/hw_ila_data_1.wdb and /dev/null differ diff --git a/simulation/.Xil/Vivado-17517-franss-Vostro-460/hw_ila_data_1/zip_work/probes.ltx b/simulation/.Xil/Vivado-17517-franss-Vostro-460/hw_ila_data_1/zip_work/probes.ltx deleted file mode 100644 index 540768a9dcb3ef43cdd36c7156c5332c4ddc5f62..0000000000000000000000000000000000000000 --- a/simulation/.Xil/Vivado-17517-franss-Vostro-460/hw_ila_data_1/zip_work/probes.ltx +++ /dev/null @@ -1,191 +0,0 @@ -<?xml version="1.0" encoding="UTF-8"?> -<probeData version="2" minor="0"> - <probeset name="xc7vx485t_0" active="false"> - <probe type="ila" busType="bus" source="netlist" spec="ILA_V2_RT"> - <probeOptions Id="DebugProbeParams"> - <Option Id="BSCAN_SWITCH_INDEX" value="0"/> - <Option Id="CORE_LOCATION" value="1:1"/> - <Option Id="HW_ILA" value="hw_ila_1"/> - <Option Id="PROBE_PORT" value="0"/> - <Option Id="PROBE_PORT_BITS" value="0"/> - <Option Id="PROBE_PORT_BIT_COUNT" value="64"/> - </probeOptions> - <nets> - <net name="TX_Data_Pipelined[63]"/> - <net name="TX_Data_Pipelined[62]"/> - <net name="TX_Data_Pipelined[61]"/> - <net name="TX_Data_Pipelined[60]"/> - <net name="TX_Data_Pipelined[59]"/> - <net name="TX_Data_Pipelined[58]"/> - <net name="TX_Data_Pipelined[57]"/> - <net name="TX_Data_Pipelined[56]"/> - <net name="TX_Data_Pipelined[55]"/> - <net name="TX_Data_Pipelined[54]"/> - <net name="TX_Data_Pipelined[53]"/> - <net name="TX_Data_Pipelined[52]"/> - <net name="TX_Data_Pipelined[51]"/> - <net name="TX_Data_Pipelined[50]"/> - <net name="TX_Data_Pipelined[49]"/> - <net name="TX_Data_Pipelined[48]"/> - <net name="TX_Data_Pipelined[47]"/> - <net name="TX_Data_Pipelined[46]"/> - <net name="TX_Data_Pipelined[45]"/> - <net name="TX_Data_Pipelined[44]"/> - <net name="TX_Data_Pipelined[43]"/> - <net name="TX_Data_Pipelined[42]"/> - <net name="TX_Data_Pipelined[41]"/> - <net name="TX_Data_Pipelined[40]"/> - <net name="TX_Data_Pipelined[39]"/> - <net name="TX_Data_Pipelined[38]"/> - <net name="TX_Data_Pipelined[37]"/> - <net name="TX_Data_Pipelined[36]"/> - <net name="TX_Data_Pipelined[35]"/> - <net name="TX_Data_Pipelined[34]"/> - <net name="TX_Data_Pipelined[33]"/> - <net name="TX_Data_Pipelined[32]"/> - <net name="TX_Data_Pipelined[31]"/> - <net name="TX_Data_Pipelined[30]"/> - <net name="TX_Data_Pipelined[29]"/> - <net name="TX_Data_Pipelined[28]"/> - <net name="TX_Data_Pipelined[27]"/> - <net name="TX_Data_Pipelined[26]"/> - <net name="TX_Data_Pipelined[25]"/> - <net name="TX_Data_Pipelined[24]"/> - <net name="TX_Data_Pipelined[23]"/> - <net name="TX_Data_Pipelined[22]"/> - <net name="TX_Data_Pipelined[21]"/> - <net name="TX_Data_Pipelined[20]"/> - <net name="TX_Data_Pipelined[19]"/> - <net name="TX_Data_Pipelined[18]"/> - <net name="TX_Data_Pipelined[17]"/> - <net name="TX_Data_Pipelined[16]"/> - <net name="TX_Data_Pipelined[15]"/> - <net name="TX_Data_Pipelined[14]"/> - <net name="TX_Data_Pipelined[13]"/> - <net name="TX_Data_Pipelined[12]"/> - <net name="TX_Data_Pipelined[11]"/> - <net name="TX_Data_Pipelined[10]"/> - <net name="TX_Data_Pipelined[9]"/> - <net name="TX_Data_Pipelined[8]"/> - <net name="TX_Data_Pipelined[7]"/> - <net name="TX_Data_Pipelined[6]"/> - <net name="TX_Data_Pipelined[5]"/> - <net name="TX_Data_Pipelined[4]"/> - <net name="TX_Data_Pipelined[3]"/> - <net name="TX_Data_Pipelined[2]"/> - <net name="TX_Data_Pipelined[1]"/> - <net name="TX_Data_Pipelined[0]"/> - </nets> - </probe> - <probe type="ila" busType="bus" source="netlist" spec="ILA_V2_RT"> - <probeOptions Id="DebugProbeParams"> - <Option Id="BSCAN_SWITCH_INDEX" value="0"/> - <Option Id="CORE_LOCATION" value="1:1"/> - <Option Id="HW_ILA" value="hw_ila_1"/> - <Option Id="PROBE_PORT" value="1"/> - <Option Id="PROBE_PORT_BITS" value="0"/> - <Option Id="PROBE_PORT_BIT_COUNT" value="5"/> - </probeOptions> - <nets> - <net name="TX_Info_Pipelined[4]"/> - <net name="TX_Info_Pipelined[3]"/> - <net name="TX_Info_Pipelined[2]"/> - <net name="TX_Info_Pipelined[1]"/> - <net name="TX_Info_Pipelined[0]"/> - </nets> - </probe> - <probe type="ila" busType="bus" source="netlist" spec="ILA_V2_RT"> - <probeOptions Id="DebugProbeParams"> - <Option Id="BSCAN_SWITCH_INDEX" value="0"/> - <Option Id="CORE_LOCATION" value="1:1"/> - <Option Id="HW_ILA" value="hw_ila_1"/> - <Option Id="PROBE_PORT" value="2"/> - <Option Id="PROBE_PORT_BITS" value="0"/> - <Option Id="PROBE_PORT_BIT_COUNT" value="64"/> - </probeOptions> - <nets> - <net name="RX_Data[63]"/> - <net name="RX_Data[62]"/> - <net name="RX_Data[61]"/> - <net name="RX_Data[60]"/> - <net name="RX_Data[59]"/> - <net name="RX_Data[58]"/> - <net name="RX_Data[57]"/> - <net name="RX_Data[56]"/> - <net name="RX_Data[55]"/> - <net name="RX_Data[54]"/> - <net name="RX_Data[53]"/> - <net name="RX_Data[52]"/> - <net name="RX_Data[51]"/> - <net name="RX_Data[50]"/> - <net name="RX_Data[49]"/> - <net name="RX_Data[48]"/> - <net name="RX_Data[47]"/> - <net name="RX_Data[46]"/> - <net name="RX_Data[45]"/> - <net name="RX_Data[44]"/> - <net name="RX_Data[43]"/> - <net name="RX_Data[42]"/> - <net name="RX_Data[41]"/> - <net name="RX_Data[40]"/> - <net name="RX_Data[39]"/> - <net name="RX_Data[38]"/> - <net name="RX_Data[37]"/> - <net name="RX_Data[36]"/> - <net name="RX_Data[35]"/> - <net name="RX_Data[34]"/> - <net name="RX_Data[33]"/> - <net name="RX_Data[32]"/> - <net name="RX_Data[31]"/> - <net name="RX_Data[30]"/> - <net name="RX_Data[29]"/> - <net name="RX_Data[28]"/> - <net name="RX_Data[27]"/> - <net name="RX_Data[26]"/> - <net name="RX_Data[25]"/> - <net name="RX_Data[24]"/> - <net name="RX_Data[23]"/> - <net name="RX_Data[22]"/> - <net name="RX_Data[21]"/> - <net name="RX_Data[20]"/> - <net name="RX_Data[19]"/> - <net name="RX_Data[18]"/> - <net name="RX_Data[17]"/> - <net name="RX_Data[16]"/> - <net name="RX_Data[15]"/> - <net name="RX_Data[14]"/> - <net name="RX_Data[13]"/> - <net name="RX_Data[12]"/> - <net name="RX_Data[11]"/> - <net name="RX_Data[10]"/> - <net name="RX_Data[9]"/> - <net name="RX_Data[8]"/> - <net name="RX_Data[7]"/> - <net name="RX_Data[6]"/> - <net name="RX_Data[5]"/> - <net name="RX_Data[4]"/> - <net name="RX_Data[3]"/> - <net name="RX_Data[2]"/> - <net name="RX_Data[1]"/> - <net name="RX_Data[0]"/> - </nets> - </probe> - <probe type="ila" busType="bus" source="netlist" spec="ILA_V2_RT"> - <probeOptions Id="DebugProbeParams"> - <Option Id="BSCAN_SWITCH_INDEX" value="0"/> - <Option Id="CORE_LOCATION" value="1:1"/> - <Option Id="HW_ILA" value="hw_ila_1"/> - <Option Id="PROBE_PORT" value="3"/> - <Option Id="PROBE_PORT_BITS" value="0"/> - <Option Id="PROBE_PORT_BIT_COUNT" value="5"/> - </probeOptions> - <nets> - <net name="RX_Info[4]"/> - <net name="RX_Info[3]"/> - <net name="RX_Info[2]"/> - <net name="RX_Info[1]"/> - <net name="RX_Info[0]"/> - </nets> - </probe> - </probeset> -</probeData> diff --git a/simulation/.Xil/Vivado-17517-franss-Vostro-460/hw_ila_data_1/zip_work/waveform.csv b/simulation/.Xil/Vivado-17517-franss-Vostro-460/hw_ila_data_1/zip_work/waveform.csv deleted file mode 100644 index 259bc400bef88578124dd07920501c407daae92d..0000000000000000000000000000000000000000 --- a/simulation/.Xil/Vivado-17517-franss-Vostro-460/hw_ila_data_1/zip_work/waveform.csv +++ /dev/null @@ -1,8193 +0,0 @@ -Sample in Buffer,Sample in Window,TRIGGER,TX_Data_Pipelined[63:0],TX_Info_Pipelined[4:0],RX_Data[63:0],RX_Info[4:0] -0,0,1,00000003e4aa6710,1e,00000003e4aa6710,0f -1,1,0,00000003e4aa6711,1d,00000003e4aa6710,0f -2,2,0,00000003e4aa6712,1c,00000003e4aa6710,0f -3,3,0,00000003e4aa6713,1c,00000003e4aa6710,0f -4,4,0,00000003e4aa6714,1c,00000003e4aa6710,0f -5,5,0,00000003e4aa6715,1c,00000003e4aa6710,0f -6,6,0,00000003e4aa6716,1c,00000003e4aa6710,0f -7,7,0,00000003e4aa6717,1c,00000003e4aa6710,0f -8,8,0,00000003e4aa6718,1c,00000003e4aa6710,0f -9,9,0,00000003e4aa6719,1c,00000003e4aa6710,0f -10,10,0,00000003e4aa671a,1c,00000003e4aa6710,0f -11,11,0,00000003e4aa671b,1c,00000003e4aa6710,0f -12,12,0,00000003e4aa671c,1c,00000003e4aa6710,0f -13,13,0,00000003e4aa671d,1c,00000003e4aa6710,0f -14,14,0,00000003e4aa671e,1c,00000003e4aa6710,0f -15,15,0,00000003e4aa671f,1c,00000003e4aa6710,0f -16,16,0,00000003e4aa6720,1e,00000003e4aa6721,10 -17,17,0,00000003e4aa6721,1d,00000003e4aa6722,00 -18,18,0,00000003e4aa6722,1c,00000003e4aa6723,00 -19,19,0,00000003e4aa6723,1c,00000003e4aa6724,00 -20,20,0,00000003e4aa6724,1c,00000003e4aa6725,00 -21,21,0,00000003e4aa6725,1c,00000003e4aa6726,00 -22,22,0,00000003e4aa6726,1c,00000003e4aa6727,00 -23,23,0,00000003e4aa6727,1c,00000003e4aa6728,00 -24,24,0,00000003e4aa6728,1c,00000003e4aa6729,00 -25,25,0,00000003e4aa6729,1c,00000003e4aa672a,00 -26,26,0,00000003e4aa672a,1c,00000003e4aa672b,00 -27,27,0,00000003e4aa672b,1c,00000003e4aa672c,00 -28,28,0,00000003e4aa672c,1c,00000003e4aa672d,00 -29,29,0,00000003e4aa672d,1c,00000003e4aa672e,00 -30,30,0,00000003e4aa672e,1c,00000003e4aa672f,00 -31,31,0,00000003e4aa672f,1c,00000003e4aa6730,0f -32,32,0,00000003e4aa6730,1e,00000003e4aa6730,0f -33,33,0,00000003e4aa6731,1d,00000003e4aa6731,10 -34,34,0,00000003e4aa6732,1c,00000003e4aa6732,00 -35,35,0,00000003e4aa6733,1c,00000003e4aa6733,00 -36,36,0,00000003e4aa6734,1c,00000003e4aa6734,00 -37,37,0,00000003e4aa6735,1c,00000003e4aa6735,00 -38,38,0,00000003e4aa6736,1c,00000003e4aa6736,00 -39,39,0,00000003e4aa6737,1c,00000003e4aa6737,00 -40,40,0,00000003e4aa6738,1c,00000003e4aa6738,00 -41,41,0,00000003e4aa6739,1c,00000003e4aa6739,00 -42,42,0,00000003e4aa673a,1c,00000003e4aa673a,00 -43,43,0,00000003e4aa673b,1c,00000003e4aa673b,00 -44,44,0,00000003e4aa673c,1c,00000003e4aa673c,00 -45,45,0,00000003e4aa673d,1c,00000003e4aa673d,00 -46,46,0,00000003e4aa673e,1c,00000003e4aa673e,00 -47,47,0,00000003e4aa673f,1c,00000003e4aa673f,00 -48,48,0,00000003e4aa6740,1e,00000003e4aa6740,0f -49,49,0,00000003e4aa6741,1d,00000003e4aa6741,10 -50,50,0,00000003e4aa6742,1c,00000003e4aa6742,00 -51,51,0,00000003e4aa6743,1c,00000003e4aa6743,00 -52,52,0,00000003e4aa6744,1c,00000003e4aa6744,00 -53,53,0,00000003e4aa6745,1c,00000003e4aa6745,00 -54,54,0,00000003e4aa6746,1c,00000003e4aa6746,00 -55,55,0,00000003e4aa6747,1c,00000003e4aa6747,00 -56,56,0,00000003e4aa6748,1c,00000003e4aa6748,00 -57,57,0,00000003e4aa6749,1c,00000003e4aa6749,00 -58,58,0,00000003e4aa674a,1c,00000003e4aa674a,00 -59,59,0,00000003e4aa674b,1c,00000003e4aa674b,00 -60,60,0,00000003e4aa674c,1c,00000003e4aa674c,00 -61,61,0,00000003e4aa674d,1c,00000003e4aa674d,00 -62,62,0,00000003e4aa674e,1c,00000003e4aa674e,00 -63,63,0,00000003e4aa674f,1c,00000003e4aa674f,00 -64,64,0,00000003e4aa6750,1e,00000003e4aa6750,00 -65,65,0,00000003e4aa6751,1d,00000003e4aa6751,10 -66,66,0,00000003e4aa6752,1c,00000003e4aa6752,00 -67,67,0,00000003e4aa6753,1c,00000003e4aa6753,00 -68,68,0,00000003e4aa6754,1c,00000003e4aa6754,00 -69,69,0,00000003e4aa6755,1c,00000003e4aa6755,00 -70,70,0,00000003e4aa6756,1c,00000003e4aa6756,00 -71,71,0,00000003e4aa6757,1c,00000003e4aa6757,00 -72,72,0,00000003e4aa6758,1c,00000003e4aa6758,00 -73,73,0,00000003e4aa6759,1c,00000003e4aa6759,00 -74,74,0,00000003e4aa675a,1c,00000003e4aa675a,00 -75,75,0,00000003e4aa675b,1c,00000003e4aa675b,00 -76,76,0,00000003e4aa675c,1c,00000003e4aa675c,00 -77,77,0,00000003e4aa675d,1c,00000003e4aa675d,00 -78,78,0,00000003e4aa675e,1c,00000003e4aa675e,00 -79,79,0,00000003e4aa675f,1c,00000003e4aa675f,00 -80,80,0,00000003e4aa6760,1e,00000003e4aa6760,00 -81,81,0,00000003e4aa6761,1d,00000003e4aa6761,00 -82,82,0,00000003e4aa6762,1c,00000003e4aa6762,00 -83,83,0,00000003e4aa6763,1c,00000003e4aa6763,00 -84,84,0,00000003e4aa6764,1c,00000003e4aa6764,00 -85,85,0,00000003e4aa6765,1c,00000003e4aa6765,00 -86,86,0,00000003e4aa6766,1c,00000003e4aa6766,00 -87,87,0,00000003e4aa6767,1c,00000003e4aa6767,00 -88,88,0,00000003e4aa6768,1c,00000003e4aa6768,00 -89,89,0,00000003e4aa6769,1c,00000003e4aa6769,00 -90,90,0,00000003e4aa676a,1c,00000003e4aa676a,00 -91,91,0,00000003e4aa676b,1c,00000003e4aa676b,00 -92,92,0,00000003e4aa676c,1c,00000003e4aa676c,00 -93,93,0,00000003e4aa676d,1c,00000003e4aa676d,00 -94,94,0,00000003e4aa676e,1c,00000003e4aa676e,00 -95,95,0,00000003e4aa676f,1c,00000003e4aa676f,00 -96,96,0,00000003e4aa6770,1e,00000003e4aa6770,0f -97,97,0,00000003e4aa6771,1d,00000003e4aa6771,10 -98,98,0,00000003e4aa6772,1c,00000003e4aa6772,00 -99,99,0,00000003e4aa6773,1c,00000003e4aa6773,00 -100,100,0,00000003e4aa6774,1c,00000003e4aa6774,00 -101,101,0,00000003e4aa6775,1c,00000003e4aa6775,00 -102,102,0,00000003e4aa6776,1c,00000003e4aa6776,00 -103,103,0,00000003e4aa6777,1c,00000003e4aa6777,00 -104,104,0,00000003e4aa6778,1c,00000003e4aa6778,00 -105,105,0,00000003e4aa6779,1c,00000003e4aa6779,00 -106,106,0,00000003e4aa677a,1c,00000003e4aa677a,00 -107,107,0,00000003e4aa677b,1c,00000003e4aa677b,00 -108,108,0,00000003e4aa677c,1c,00000003e4aa677c,00 -109,109,0,00000003e4aa677d,1c,00000003e4aa677d,00 -110,110,0,00000003e4aa677e,1c,00000003e4aa677e,00 -111,111,0,00000003e4aa677f,1c,00000003e4aa677f,00 -112,112,0,00000003e4aa6780,1e,00000003e4aa6780,0f -113,113,0,00000003e4aa6781,1d,00000003e4aa6781,10 -114,114,0,00000003e4aa6782,1c,00000003e4aa6782,00 -115,115,0,00000003e4aa6783,1c,00000003e4aa6783,00 -116,116,0,00000003e4aa6784,1c,00000003e4aa6784,00 -117,117,0,00000003e4aa6785,1c,00000003e4aa6785,00 -118,118,0,00000003e4aa6786,1c,00000003e4aa6786,00 -119,119,0,00000003e4aa6787,1c,00000003e4aa6787,00 -120,120,0,00000003e4aa6788,1c,00000003e4aa6788,00 -121,121,0,00000003e4aa6789,1c,00000003e4aa6789,00 -122,122,0,00000003e4aa678a,1c,00000003e4aa678a,00 -123,123,0,00000003e4aa678b,1c,00000003e4aa678b,00 -124,124,0,00000003e4aa678c,1c,00000003e4aa678c,00 -125,125,0,00000003e4aa678d,1c,00000003e4aa678d,00 -126,126,0,00000003e4aa678e,1c,00000003e4aa678e,00 -127,127,0,00000003e4aa678f,1c,00000003e4aa678f,00 -128,128,0,00000003e4aa6790,1e,00000003e4aa6790,0f -129,129,0,00000003e4aa6791,1d,00000003e4aa6791,10 -130,130,0,00000003e4aa6792,1c,00000003e4aa6792,00 -131,131,0,00000003e4aa6793,1c,00000003e4aa6793,00 -132,132,0,00000003e4aa6794,1c,00000003e4aa6794,00 -133,133,0,00000003e4aa6795,1c,00000003e4aa6795,00 -134,134,0,00000003e4aa6796,1c,00000003e4aa6796,00 -135,135,0,00000003e4aa6797,1c,00000003e4aa6797,00 -136,136,0,00000003e4aa6798,1c,00000003e4aa6798,00 -137,137,0,00000003e4aa6799,1c,00000003e4aa6799,00 -138,138,0,00000003e4aa679a,1c,00000003e4aa679a,00 -139,139,0,00000003e4aa679b,1c,00000003e4aa679b,00 -140,140,0,00000003e4aa679c,1c,00000003e4aa679c,00 -141,141,0,00000003e4aa679d,1c,00000003e4aa679d,00 -142,142,0,00000003e4aa679e,1c,00000003e4aa679e,00 -143,143,0,00000003e4aa679f,1c,00000003e4aa679f,00 -144,144,0,00000003e4aa67a0,1e,00000003e4aa67a0,0f -145,145,0,00000003e4aa67a1,1d,00000003e4aa67a1,10 -146,146,0,00000003e4aa67a2,1c,00000003e4aa67a2,00 -147,147,0,00000003e4aa67a3,1c,00000003e4aa67a3,00 -148,148,0,00000003e4aa67a4,1c,00000003e4aa67a4,00 -149,149,0,00000003e4aa67a5,1c,00000003e4aa67a5,00 -150,150,0,00000003e4aa67a6,1c,00000003e4aa67a6,00 -151,151,0,00000003e4aa67a7,1c,00000003e4aa67a7,00 -152,152,0,00000003e4aa67a8,1c,00000003e4aa67a8,00 -153,153,0,00000003e4aa67a9,1c,00000003e4aa67a9,00 -154,154,0,00000003e4aa67aa,1c,00000003e4aa67aa,00 -155,155,0,00000003e4aa67ab,1c,00000003e4aa67ab,00 -156,156,0,00000003e4aa67ac,1c,00000003e4aa67ac,00 -157,157,0,00000003e4aa67ad,1c,00000003e4aa67ad,00 -158,158,0,00000003e4aa67ae,1c,00000003e4aa67ae,00 -159,159,0,00000003e4aa67af,1c,00000003e4aa67af,00 -160,160,0,00000003e4aa67b0,1e,00000003e4aa67b0,00 -161,161,0,00000003e4aa67b1,1d,00000003e4aa67b1,00 -162,162,0,00000003e4aa67b2,1c,00000003e4aa67b2,00 -163,163,0,00000003e4aa67b3,1c,00000003e4aa67b3,00 -164,164,0,00000003e4aa67b4,1c,00000003e4aa67b4,00 -165,165,0,00000003e4aa67b5,1c,00000003e4aa67b5,00 -166,166,0,00000003e4aa67b6,1c,00000003e4aa67b6,00 -167,167,0,00000003e4aa67b7,1c,00000003e4aa67b7,00 -168,168,0,00000003e4aa67b8,1c,00000003e4aa67b8,00 -169,169,0,00000003e4aa67b9,1c,00000003e4aa67b9,00 -170,170,0,00000003e4aa67ba,1c,00000003e4aa67ba,00 -171,171,0,00000003e4aa67bb,1c,00000003e4aa67bb,00 -172,172,0,00000003e4aa67bc,1c,00000003e4aa67bc,00 -173,173,0,00000003e4aa67bd,1c,00000003e4aa67bd,00 -174,174,0,00000003e4aa67be,1c,00000003e4aa67be,00 -175,175,0,00000003e4aa67bf,1c,00000003e4aa67bf,00 -176,176,0,00000003e4aa67c0,1e,00000003e4aa67c0,0f -177,177,0,00000003e4aa67c1,1d,00000003e4aa67c1,10 -178,178,0,00000003e4aa67c2,1c,00000003e4aa67c2,00 -179,179,0,00000003e4aa67c3,1c,00000003e4aa67c3,00 -180,180,0,00000003e4aa67c4,1c,00000003e4aa67c4,00 -181,181,0,00000003e4aa67c5,1c,00000003e4aa67c5,00 -182,182,0,00000003e4aa67c6,1c,00000003e4aa67c6,00 -183,183,0,00000003e4aa67c7,1c,00000003e4aa67c7,00 -184,184,0,00000003e4aa67c8,1c,00000003e4aa67c8,00 -185,185,0,00000003e4aa67c9,1c,00000003e4aa67c9,00 -186,186,0,00000003e4aa67ca,1c,00000003e4aa67ca,00 -187,187,0,00000003e4aa67cb,1c,00000003e4aa67cb,00 -188,188,0,00000003e4aa67cc,1c,00000003e4aa67cc,00 -189,189,0,00000003e4aa67cd,1c,00000003e4aa67cd,00 -190,190,0,00000003e4aa67ce,1c,00000003e4aa67ce,00 -191,191,0,00000003e4aa67cf,1c,00000003e4aa67cf,00 -192,192,0,00000003e4aa67d0,1e,00000003e4aa67d0,0f -193,193,0,00000003e4aa67d1,1d,00000003e4aa67d1,10 -194,194,0,00000003e4aa67d2,1c,00000003e4aa67d2,00 -195,195,0,00000003e4aa67d3,1c,00000003e4aa67d3,00 -196,196,0,00000003e4aa67d4,1c,00000003e4aa67d4,00 -197,197,0,00000003e4aa67d5,1c,00000003e4aa67d5,00 -198,198,0,00000003e4aa67d6,1c,00000003e4aa67d6,00 -199,199,0,00000003e4aa67d7,1c,00000003e4aa67d7,00 -200,200,0,00000003e4aa67d8,1c,00000003e4aa67d8,00 -201,201,0,00000003e4aa67d9,1c,00000003e4aa67d9,00 -202,202,0,00000003e4aa67da,1c,00000003e4aa67da,00 -203,203,0,00000003e4aa67db,1c,00000003e4aa67db,00 -204,204,0,00000003e4aa67dc,1c,00000003e4aa67dc,00 -205,205,0,00000003e4aa67dd,1c,00000003e4aa67dd,00 -206,206,0,00000003e4aa67de,1c,00000003e4aa67de,00 -207,207,0,00000003e4aa67df,1c,00000003e4aa67df,00 -208,208,0,00000003e4aa67e0,1e,00000003e4aa67e0,0f -209,209,0,00000003e4aa67e1,1d,00000003e4aa67e1,10 -210,210,0,00000003e4aa67e2,1c,00000003e4aa67e2,00 -211,211,0,00000003e4aa67e3,1c,00000003e4aa67e3,00 -212,212,0,00000003e4aa67e4,1c,00000003e4aa67e4,00 -213,213,0,00000003e4aa67e5,1c,00000003e4aa67e5,00 -214,214,0,00000003e4aa67e6,1c,00000003e4aa67e6,00 -215,215,0,00000003e4aa67e7,1c,00000003e4aa67e7,00 -216,216,0,00000003e4aa67e8,1c,00000003e4aa67e8,00 -217,217,0,00000003e4aa67e9,1c,00000003e4aa67e9,00 -218,218,0,00000003e4aa67ea,1c,00000003e4aa67ea,00 -219,219,0,00000003e4aa67eb,1c,00000003e4aa67eb,00 -220,220,0,00000003e4aa67ec,1c,00000003e4aa67ec,00 -221,221,0,00000003e4aa67ed,1c,00000003e4aa67ed,00 -222,222,0,00000003e4aa67ee,1c,00000003e4aa67ee,00 -223,223,0,00000003e4aa67ef,1c,00000003e4aa67ef,00 -224,224,0,00000003e4aa67f0,1e,00000003e4aa67f0,0f -225,225,0,00000003e4aa67f1,1d,00000003e4aa67f1,10 -226,226,0,00000003e4aa67f2,1c,00000003e4aa67f2,00 -227,227,0,00000003e4aa67f3,1c,00000003e4aa67f3,00 -228,228,0,00000003e4aa67f4,1c,00000003e4aa67f4,00 -229,229,0,00000003e4aa67f5,1c,00000003e4aa67f5,00 -230,230,0,00000003e4aa67f6,1c,00000003e4aa67f6,00 -231,231,0,00000003e4aa67f7,1c,00000003e4aa67f7,00 -232,232,0,00000003e4aa67f8,1c,00000003e4aa67f8,00 -233,233,0,00000003e4aa67f9,1c,00000003e4aa67f9,00 -234,234,0,00000003e4aa67fa,1c,00000003e4aa67fa,00 -235,235,0,00000003e4aa67fb,1c,00000003e4aa67fb,00 -236,236,0,00000003e4aa67fc,1c,00000003e4aa67fc,00 -237,237,0,00000003e4aa67fd,1c,00000003e4aa67fd,00 -238,238,0,00000003e4aa67fe,1c,00000003e4aa67fe,00 -239,239,0,00000003e4aa67ff,1c,00000003e4aa67ff,00 -240,240,0,00000003e4aa6800,1e,00000003e4aa6800,0f -241,241,0,00000003e4aa6801,1d,00000003e4aa6801,10 -242,242,0,00000003e4aa6802,1c,00000003e4aa6802,00 -243,243,0,00000003e4aa6803,1c,00000003e4aa6803,00 -244,244,0,00000003e4aa6804,1c,00000003e4aa6804,00 -245,245,0,00000003e4aa6805,1c,00000003e4aa6805,00 -246,246,0,00000003e4aa6806,1c,00000003e4aa6806,00 -247,247,0,00000003e4aa6807,1c,00000003e4aa6807,00 -248,248,0,00000003e4aa6808,1c,00000003e4aa6808,00 -249,249,0,00000003e4aa6809,1c,00000003e4aa6809,00 -250,250,0,00000003e4aa680a,1c,00000003e4aa680a,00 -251,251,0,00000003e4aa680b,1c,00000003e4aa680b,00 -252,252,0,00000003e4aa680c,1c,00000003e4aa680c,00 -253,253,0,00000003e4aa680d,1c,00000003e4aa680d,00 -254,254,0,00000003e4aa680e,1c,00000003e4aa680e,00 -255,255,0,00000003e4aa680f,1c,00000003e4aa680f,00 -256,256,0,00000003e4aa6810,1e,00000003e4aa6810,0f -257,257,0,00000003e4aa6811,1d,00000003e4aa6811,10 -258,258,0,00000003e4aa6812,1c,00000003e4aa6812,00 -259,259,0,00000003e4aa6813,1c,00000003e4aa6813,00 -260,260,0,00000003e4aa6814,1c,00000003e4aa6814,00 -261,261,0,00000003e4aa6815,1c,00000003e4aa6815,00 -262,262,0,00000003e4aa6816,1c,00000003e4aa6816,00 -263,263,0,00000003e4aa6817,1c,00000003e4aa6817,00 -264,264,0,00000003e4aa6818,1c,00000003e4aa6818,00 -265,265,0,00000003e4aa6819,1c,00000003e4aa6819,00 -266,266,0,00000003e4aa681a,1c,00000003e4aa681a,00 -267,267,0,00000003e4aa681b,1c,00000003e4aa681b,00 -268,268,0,00000003e4aa681c,1c,00000003e4aa681c,00 -269,269,0,00000003e4aa681d,1c,00000003e4aa681d,00 -270,270,0,00000003e4aa681e,1c,00000003e4aa681e,00 -271,271,0,00000003e4aa681f,1c,00000003e4aa681f,00 -272,272,0,00000003e4aa6820,1e,00000003e4aa6820,0f -273,273,0,00000003e4aa6821,1d,00000003e4aa6821,10 -274,274,0,00000003e4aa6822,1c,00000003e4aa6822,00 -275,275,0,00000003e4aa6823,1c,00000003e4aa6823,00 -276,276,0,00000003e4aa6824,1c,00000003e4aa6824,00 -277,277,0,00000003e4aa6825,1c,00000003e4aa6825,00 -278,278,0,00000003e4aa6826,1c,00000003e4aa6826,00 -279,279,0,00000003e4aa6827,1c,00000003e4aa6827,00 -280,280,0,00000003e4aa6828,1c,00000003e4aa6828,00 -281,281,0,00000003e4aa6829,1c,00000003e4aa6829,00 -282,282,0,00000003e4aa682a,1c,00000003e4aa682a,00 -283,283,0,00000003e4aa682b,1c,00000003e4aa682b,00 -284,284,0,00000003e4aa682c,1c,00000003e4aa682c,00 -285,285,0,00000003e4aa682d,1c,00000003e4aa682d,00 -286,286,0,00000003e4aa682e,1c,00000003e4aa682e,00 -287,287,0,00000003e4aa682f,1c,00000003e4aa682f,00 -288,288,0,00000003e4aa6830,1e,00000003e4aa6830,00 -289,289,0,00000003e4aa6831,1d,00000003e4aa6831,10 -290,290,0,00000003e4aa6832,1c,00000003e4aa6832,00 -291,291,0,00000003e4aa6833,1c,00000003e4aa6833,00 -292,292,0,00000003e4aa6834,1c,00000003e4aa6834,00 -293,293,0,00000003e4aa6835,1c,00000003e4aa6835,00 -294,294,0,00000003e4aa6836,1c,00000003e4aa6836,00 -295,295,0,00000003e4aa6837,1c,00000003e4aa6837,00 -296,296,0,00000003e4aa6838,1c,00000003e4aa6838,00 -297,297,0,00000003e4aa6839,1c,00000003e4aa6839,00 -298,298,0,00000003e4aa683a,1c,00000003e4aa683a,00 -299,299,0,00000003e4aa683b,1c,00000003e4aa683b,00 -300,300,0,00000003e4aa683c,1c,00000003e4aa683c,00 -301,301,0,00000003e4aa683d,1c,00000003e4aa683d,00 -302,302,0,00000003e4aa683e,1c,00000003e4aa683e,00 -303,303,0,00000003e4aa683f,1c,00000003e4aa683f,00 -304,304,0,00000003e4aa6840,1e,00000003e4aa6840,0f -305,305,0,00000003e4aa6841,1d,00000003e4aa6841,10 -306,306,0,00000003e4aa6842,1c,00000003e4aa6842,00 -307,307,0,00000003e4aa6843,1c,00000003e4aa6843,00 -308,308,0,00000003e4aa6844,1c,00000003e4aa6844,00 -309,309,0,00000003e4aa6845,1c,00000003e4aa6845,00 -310,310,0,00000003e4aa6846,1c,00000003e4aa6846,00 -311,311,0,00000003e4aa6847,1c,00000003e4aa6847,00 -312,312,0,00000003e4aa6848,1c,00000003e4aa6848,00 -313,313,0,00000003e4aa6849,1c,00000003e4aa6849,00 -314,314,0,00000003e4aa684a,1c,00000003e4aa684a,00 -315,315,0,00000003e4aa684b,1c,00000003e4aa684b,00 -316,316,0,00000003e4aa684c,1c,00000003e4aa684c,00 -317,317,0,00000003e4aa684d,1c,00000003e4aa684d,00 -318,318,0,00000003e4aa684e,1c,00000003e4aa684e,00 -319,319,0,00000003e4aa684f,1c,00000003e4aa684f,00 -320,320,0,00000003e4aa6850,1e,00000003e4aa6850,0f -321,321,0,00000003e4aa6851,1d,00000003e4aa6851,10 -322,322,0,00000003e4aa6852,1c,00000003e4aa6852,00 -323,323,0,00000003e4aa6853,1c,00000003e4aa6853,00 -324,324,0,00000003e4aa6854,1c,00000003e4aa6854,00 -325,325,0,00000003e4aa6855,1c,00000003e4aa6855,00 -326,326,0,00000003e4aa6856,1c,00000003e4aa6856,00 -327,327,0,00000003e4aa6857,1c,00000003e4aa6857,00 -328,328,0,00000003e4aa6858,1c,00000003e4aa6858,00 -329,329,0,00000003e4aa6859,1c,00000003e4aa6859,00 -330,330,0,00000003e4aa685a,1c,00000003e4aa685a,00 -331,331,0,00000003e4aa685b,1c,00000003e4aa685b,00 -332,332,0,00000003e4aa685c,1c,00000003e4aa685c,00 -333,333,0,00000003e4aa685d,1c,00000003e4aa685d,00 -334,334,0,00000003e4aa685e,1c,00000003e4aa685e,00 -335,335,0,00000003e4aa685f,1c,00000003e4aa685f,00 -336,336,0,00000003e4aa6860,1e,00000003e4aa6860,0f -337,337,0,00000003e4aa6861,1d,00000003e4aa6861,10 -338,338,0,00000003e4aa6862,1c,00000003e4aa6862,00 -339,339,0,00000003e4aa6863,1c,00000003e4aa6863,00 -340,340,0,00000003e4aa6864,1c,00000003e4aa6864,00 -341,341,0,00000003e4aa6865,1c,00000003e4aa6865,00 -342,342,0,00000003e4aa6866,1c,00000003e4aa6866,00 -343,343,0,00000003e4aa6867,1c,00000003e4aa6867,00 -344,344,0,00000003e4aa6868,1c,00000003e4aa6868,00 -345,345,0,00000003e4aa6869,1c,00000003e4aa6869,00 -346,346,0,00000003e4aa686a,1c,00000003e4aa686a,00 -347,347,0,00000003e4aa686b,1c,00000003e4aa686b,00 -348,348,0,00000003e4aa686c,1c,00000003e4aa686c,00 -349,349,0,00000003e4aa686d,1c,00000003e4aa686d,00 -350,350,0,00000003e4aa686e,1c,00000003e4aa686e,00 -351,351,0,00000003e4aa686f,1c,00000003e4aa686f,00 -352,352,0,00000003e4aa6870,1e,00000003e4aa6870,0f -353,353,0,00000003e4aa6871,1d,00000003e4aa6871,10 -354,354,0,00000003e4aa6872,1c,00000003e4aa6872,00 -355,355,0,00000003e4aa6873,1c,00000003e4aa6873,00 -356,356,0,00000003e4aa6874,1c,00000003e4aa6874,00 -357,357,0,00000003e4aa6875,1c,00000003e4aa6875,00 -358,358,0,00000003e4aa6876,1c,00000003e4aa6876,00 -359,359,0,00000003e4aa6877,1c,00000003e4aa6877,00 -360,360,0,00000003e4aa6878,1c,00000003e4aa6878,00 -361,361,0,00000003e4aa6879,1c,00000003e4aa6879,00 -362,362,0,00000003e4aa687a,1c,00000003e4aa687a,00 -363,363,0,00000003e4aa687b,1c,00000003e4aa687b,00 -364,364,0,00000003e4aa687c,1c,00000003e4aa687c,00 -365,365,0,00000003e4aa687d,1c,00000003e4aa687d,00 -366,366,0,00000003e4aa687e,1c,00000003e4aa687e,00 -367,367,0,00000003e4aa687f,1c,00000003e4aa687f,00 -368,368,0,00000003e4aa6880,1e,00000003e4aa6880,0f -369,369,0,00000003e4aa6881,1d,00000003e4aa6881,10 -370,370,0,00000003e4aa6882,1c,00000003e4aa6882,00 -371,371,0,00000003e4aa6883,1c,00000003e4aa6883,00 -372,372,0,00000003e4aa6884,1c,00000003e4aa6884,00 -373,373,0,00000003e4aa6885,1c,00000003e4aa6885,00 -374,374,0,00000003e4aa6886,1c,00000003e4aa6886,00 -375,375,0,00000003e4aa6887,1c,00000003e4aa6887,00 -376,376,0,00000003e4aa6888,1c,00000003e4aa6888,00 -377,377,0,00000003e4aa6889,1c,00000003e4aa6889,00 -378,378,0,00000003e4aa688a,1c,00000003e4aa688a,00 -379,379,0,00000003e4aa688b,1c,00000003e4aa688b,00 -380,380,0,00000003e4aa688c,1c,00000003e4aa688c,00 -381,381,0,00000003e4aa688d,1c,00000003e4aa688d,00 -382,382,0,00000003e4aa688e,1c,00000003e4aa688e,00 -383,383,0,00000003e4aa688f,1c,00000003e4aa688f,00 -384,384,0,00000003e4aa6890,1e,00000003e4aa6890,0f -385,385,0,00000003e4aa6891,1d,00000003e4aa6891,10 -386,386,0,00000003e4aa6892,1c,00000003e4aa6892,00 -387,387,0,00000003e4aa6893,1c,00000003e4aa6893,00 -388,388,0,00000003e4aa6894,1c,00000003e4aa6894,00 -389,389,0,00000003e4aa6895,1c,00000003e4aa6895,00 -390,390,0,00000003e4aa6896,1c,00000003e4aa6896,00 -391,391,0,00000003e4aa6897,1c,00000003e4aa6897,00 -392,392,0,00000003e4aa6898,1c,00000003e4aa6898,00 -393,393,0,00000003e4aa6899,1c,00000003e4aa6899,00 -394,394,0,00000003e4aa689a,1c,00000003e4aa689a,00 -395,395,0,00000003e4aa689b,1c,00000003e4aa689b,00 -396,396,0,00000003e4aa689c,1c,00000003e4aa689c,00 -397,397,0,00000003e4aa689d,1c,00000003e4aa689d,00 -398,398,0,00000003e4aa689e,1c,00000003e4aa689e,00 -399,399,0,00000003e4aa689f,1c,00000003e4aa689f,00 -400,400,0,00000003e4aa68a0,1e,00000003e4aa68a0,0f -401,401,0,00000003e4aa68a1,1d,00000003e4aa68a1,10 -402,402,0,00000003e4aa68a2,1c,00000003e4aa68a2,00 -403,403,0,00000003e4aa68a3,1c,00000003e4aa68a3,00 -404,404,0,00000003e4aa68a4,1c,00000003e4aa68a4,00 -405,405,0,00000003e4aa68a5,1c,00000003e4aa68a5,00 -406,406,0,00000003e4aa68a6,1c,00000003e4aa68a6,00 -407,407,0,00000003e4aa68a7,1c,00000003e4aa68a7,00 -408,408,0,00000003e4aa68a8,1c,00000003e4aa68a8,00 -409,409,0,00000003e4aa68a9,1c,00000003e4aa68a9,00 -410,410,0,00000003e4aa68aa,1c,00000003e4aa68aa,00 -411,411,0,00000003e4aa68ab,1c,00000003e4aa68ab,00 -412,412,0,00000003e4aa68ac,1c,00000003e4aa68ac,00 -413,413,0,00000003e4aa68ad,1c,00000003e4aa68ad,00 -414,414,0,00000003e4aa68ae,1c,00000003e4aa68ae,00 -415,415,0,00000003e4aa68af,1c,00000003e4aa68af,00 -416,416,0,00000003e4aa68b0,1e,00000003e4aa68b0,00 -417,417,0,00000003e4aa68b1,1d,00000003e4aa68b1,10 -418,418,0,00000003e4aa68b2,1c,00000003e4aa68b2,00 -419,419,0,00000003e4aa68b3,1c,00000003e4aa68b3,00 -420,420,0,00000003e4aa68b4,1c,00000003e4aa68b4,00 -421,421,0,00000003e4aa68b5,1c,00000003e4aa68b5,00 -422,422,0,00000003e4aa68b6,1c,00000003e4aa68b6,00 -423,423,0,00000003e4aa68b7,1c,00000003e4aa68b7,00 -424,424,0,00000003e4aa68b8,1c,00000003e4aa68b8,00 -425,425,0,00000003e4aa68b9,1c,00000003e4aa68b9,00 -426,426,0,00000003e4aa68ba,1c,00000003e4aa68ba,00 -427,427,0,00000003e4aa68bb,1c,00000003e4aa68bb,00 -428,428,0,00000003e4aa68bc,1c,00000003e4aa68bc,00 -429,429,0,00000003e4aa68bd,1c,00000003e4aa68bd,00 -430,430,0,00000003e4aa68be,1c,00000003e4aa68be,00 -431,431,0,00000003e4aa68bf,1c,00000003e4aa68bf,00 -432,432,0,00000003e4aa68c0,1e,00000003e4aa68c0,0f -433,433,0,00000003e4aa68c1,1d,00000003e4aa68c1,10 -434,434,0,00000003e4aa68c2,1c,00000003e4aa68c2,00 -435,435,0,00000003e4aa68c3,1c,00000003e4aa68c3,00 -436,436,0,00000003e4aa68c4,1c,00000003e4aa68c4,00 -437,437,0,00000003e4aa68c5,1c,00000003e4aa68c5,00 -438,438,0,00000003e4aa68c6,1c,00000003e4aa68c6,00 -439,439,0,00000003e4aa68c7,1c,00000003e4aa68c7,00 -440,440,0,00000003e4aa68c8,1c,00000003e4aa68c8,00 -441,441,0,00000003e4aa68c9,1c,00000003e4aa68c9,00 -442,442,0,00000003e4aa68ca,1c,00000003e4aa68ca,00 -443,443,0,00000003e4aa68cb,1c,00000003e4aa68cb,00 -444,444,0,00000003e4aa68cc,1c,00000003e4aa68cc,00 -445,445,0,00000003e4aa68cd,1c,00000003e4aa68cd,00 -446,446,0,00000003e4aa68ce,1c,00000003e4aa68ce,00 -447,447,0,00000003e4aa68cf,1c,00000003e4aa68cf,00 -448,448,0,00000003e4aa68d0,1e,00000003e4aa68d0,0f -449,449,0,00000003e4aa68d1,1d,00000003e4aa68d1,10 -450,450,0,00000003e4aa68d2,1c,00000003e4aa68d2,00 -451,451,0,00000003e4aa68d3,1c,00000003e4aa68d3,00 -452,452,0,00000003e4aa68d4,1c,00000003e4aa68d4,00 -453,453,0,00000003e4aa68d5,1c,00000003e4aa68d5,00 -454,454,0,00000003e4aa68d6,1c,00000003e4aa68d6,00 -455,455,0,00000003e4aa68d7,1c,00000003e4aa68d7,00 -456,456,0,00000003e4aa68d8,1c,00000003e4aa68d8,00 -457,457,0,00000003e4aa68d9,1c,00000003e4aa68d9,00 -458,458,0,00000003e4aa68da,1c,00000003e4aa68da,00 -459,459,0,00000003e4aa68db,1c,00000003e4aa68db,00 -460,460,0,00000003e4aa68dc,1c,00000003e4aa68dc,00 -461,461,0,00000003e4aa68dd,1c,00000003e4aa68dd,00 -462,462,0,00000003e4aa68de,1c,00000003e4aa68de,00 -463,463,0,00000003e4aa68df,1c,00000003e4aa68df,00 -464,464,0,00000003e4aa68e0,1e,00000003e4aa68e0,00 -465,465,0,00000003e4aa68e1,1d,00000003e4aa68e1,10 -466,466,0,00000003e4aa68e2,1c,00000003e4aa68e2,00 -467,467,0,00000003e4aa68e3,1c,00000003e4aa68e3,00 -468,468,0,00000003e4aa68e4,1c,00000003e4aa68e4,00 -469,469,0,00000003e4aa68e5,1c,00000003e4aa68e5,00 -470,470,0,00000003e4aa68e6,1c,00000003e4aa68e6,00 -471,471,0,00000003e4aa68e7,1c,00000003e4aa68e7,00 -472,472,0,00000003e4aa68e8,1c,00000003e4aa68e8,00 -473,473,0,00000003e4aa68e9,1c,00000003e4aa68e9,00 -474,474,0,00000003e4aa68ea,1c,00000003e4aa68ea,00 -475,475,0,00000003e4aa68eb,1c,00000003e4aa68eb,00 -476,476,0,00000003e4aa68ec,1c,00000003e4aa68ec,00 -477,477,0,00000003e4aa68ed,1c,00000003e4aa68ed,00 -478,478,0,00000003e4aa68ee,1c,00000003e4aa68ee,00 -479,479,0,00000003e4aa68ef,1c,00000003e4aa68ef,00 -480,480,0,00000003e4aa68f0,1e,00000003e4aa68f0,00 -481,481,0,00000003e4aa68f1,1d,00000003e4aa68f1,10 -482,482,0,00000003e4aa68f2,1c,00000003e4aa68f2,00 -483,483,0,00000003e4aa68f3,1c,00000003e4aa68f3,00 -484,484,0,00000003e4aa68f4,1c,00000003e4aa68f4,00 -485,485,0,00000003e4aa68f5,1c,00000003e4aa68f5,00 -486,486,0,00000003e4aa68f6,1c,00000003e4aa68f6,00 -487,487,0,00000003e4aa68f7,1c,00000003e4aa68f7,00 -488,488,0,00000003e4aa68f8,1c,00000003e4aa68f8,00 -489,489,0,00000003e4aa68f9,1c,00000003e4aa68f9,00 -490,490,0,00000003e4aa68fa,1c,00000003e4aa68fa,00 -491,491,0,00000003e4aa68fb,1c,00000003e4aa68fb,00 -492,492,0,00000003e4aa68fc,1c,00000003e4aa68fc,00 -493,493,0,00000003e4aa68fd,1c,00000003e4aa68fd,00 -494,494,0,00000003e4aa68fe,1c,00000003e4aa68fe,00 -495,495,0,00000003e4aa68ff,1c,00000003e4aa68ff,00 -496,496,0,00000003e4aa6900,1e,00000003e4aa6900,00 -497,497,0,00000003e4aa6901,1d,00000003e4aa6901,10 -498,498,0,00000003e4aa6902,1c,00000003e4aa6902,00 -499,499,0,00000003e4aa6903,1c,00000003e4aa6903,00 -500,500,0,00000003e4aa6904,1c,00000003e4aa6904,00 -501,501,0,00000003e4aa6905,1c,00000003e4aa6905,00 -502,502,0,00000003e4aa6906,1c,00000003e4aa6906,00 -503,503,0,00000003e4aa6907,1c,00000003e4aa6907,00 -504,504,0,00000003e4aa6908,1c,00000003e4aa6908,00 -505,505,0,00000003e4aa6909,1c,00000003e4aa6909,00 -506,506,0,00000003e4aa690a,1c,00000003e4aa690a,00 -507,507,0,00000003e4aa690b,1c,00000003e4aa690b,00 -508,508,0,00000003e4aa690c,1c,00000003e4aa690c,00 -509,509,0,00000003e4aa690d,1c,00000003e4aa690d,00 -510,510,0,00000003e4aa690e,1c,00000003e4aa690e,00 -511,511,0,00000003e4aa690f,1c,00000003e4aa690f,00 -512,512,0,00000003e4aa6910,1e,00000003e4aa6910,0f -513,513,0,00000003e4aa6911,1d,00000003e4aa6911,10 -514,514,0,00000003e4aa6912,1c,00000003e4aa6912,00 -515,515,0,00000003e4aa6913,1c,00000003e4aa6913,00 -516,516,0,00000003e4aa6914,1c,00000003e4aa6914,00 -517,517,0,00000003e4aa6915,1c,00000003e4aa6915,00 -518,518,0,00000003e4aa6916,1c,00000003e4aa6916,00 -519,519,0,00000003e4aa6917,1c,00000003e4aa6917,00 -520,520,0,00000003e4aa6918,1c,00000003e4aa6918,00 -521,521,0,00000003e4aa6919,1c,00000003e4aa6919,00 -522,522,0,00000003e4aa691a,1c,00000003e4aa691a,00 -523,523,0,00000003e4aa691b,1c,00000003e4aa691b,00 -524,524,0,00000003e4aa691c,1c,00000003e4aa691c,00 -525,525,0,00000003e4aa691d,1c,00000003e4aa691d,00 -526,526,0,00000003e4aa691e,1c,00000003e4aa691e,00 -527,527,0,00000003e4aa691f,1c,00000003e4aa691f,00 -528,528,0,00000003e4aa6920,1e,00000003e4aa6920,0f -529,529,0,00000003e4aa6921,1d,00000003e4aa6921,10 -530,530,0,00000003e4aa6922,1c,00000003e4aa6922,00 -531,531,0,00000003e4aa6923,1c,00000003e4aa6923,00 -532,532,0,00000003e4aa6924,1c,00000003e4aa6924,00 -533,533,0,00000003e4aa6925,1c,00000003e4aa6925,00 -534,534,0,00000003e4aa6926,1c,00000003e4aa6926,00 -535,535,0,00000003e4aa6927,1c,00000003e4aa6927,00 -536,536,0,00000003e4aa6928,1c,00000003e4aa6928,00 -537,537,0,00000003e4aa6929,1c,00000003e4aa6929,00 -538,538,0,00000003e4aa692a,1c,00000003e4aa692a,00 -539,539,0,00000003e4aa692b,1c,00000003e4aa692b,00 -540,540,0,00000003e4aa692c,1c,00000003e4aa692c,00 -541,541,0,00000003e4aa692d,1c,00000003e4aa692d,00 -542,542,0,00000003e4aa692e,1c,00000003e4aa692e,00 -543,543,0,00000003e4aa692f,1c,00000003e4aa692f,00 -544,544,0,00000003e4aa6930,1e,00000003e4aa6930,00 -545,545,0,00000003e4aa6931,1d,00000003e4aa6931,10 -546,546,0,00000003e4aa6932,1c,00000003e4aa6932,00 -547,547,0,00000003e4aa6933,1c,00000003e4aa6933,00 -548,548,0,00000003e4aa6934,1c,00000003e4aa6934,00 -549,549,0,00000003e4aa6935,1c,00000003e4aa6935,00 -550,550,0,00000003e4aa6936,1c,00000003e4aa6936,00 -551,551,0,00000003e4aa6937,1c,00000003e4aa6937,00 -552,552,0,00000003e4aa6938,1c,00000003e4aa6938,00 -553,553,0,00000003e4aa6939,1c,00000003e4aa6939,00 -554,554,0,00000003e4aa693a,1c,00000003e4aa693a,00 -555,555,0,00000003e4aa693b,1c,00000003e4aa693b,00 -556,556,0,00000003e4aa693c,1c,00000003e4aa693c,00 -557,557,0,00000003e4aa693d,1c,00000003e4aa693d,00 -558,558,0,00000003e4aa693e,1c,00000003e4aa693e,00 -559,559,0,00000003e4aa693f,1c,00000003e4aa693f,00 -560,560,0,00000003e4aa6940,1e,00000003e4aa6940,0f -561,561,0,00000003e4aa6941,1d,00000003e4aa6941,10 -562,562,0,00000003e4aa6942,1c,00000003e4aa6942,00 -563,563,0,00000003e4aa6943,1c,00000003e4aa6943,00 -564,564,0,00000003e4aa6944,1c,00000003e4aa6944,00 -565,565,0,00000003e4aa6945,1c,00000003e4aa6945,00 -566,566,0,00000003e4aa6946,1c,00000003e4aa6946,00 -567,567,0,00000003e4aa6947,1c,00000003e4aa6947,00 -568,568,0,00000003e4aa6948,1c,00000003e4aa6948,00 -569,569,0,00000003e4aa6949,1c,00000003e4aa6949,00 -570,570,0,00000003e4aa694a,1c,00000003e4aa694a,00 -571,571,0,00000003e4aa694b,1c,00000003e4aa694b,00 -572,572,0,00000003e4aa694c,1c,00000003e4aa694c,00 -573,573,0,00000003e4aa694d,1c,00000003e4aa694d,00 -574,574,0,00000003e4aa694e,1c,00000003e4aa694e,00 -575,575,0,00000003e4aa694f,1c,00000003e4aa694f,00 -576,576,0,00000003e4aa6950,1e,00000003e4aa6950,0f -577,577,0,00000003e4aa6951,1d,00000003e4aa6951,10 -578,578,0,00000003e4aa6952,1c,00000003e4aa6952,00 -579,579,0,00000003e4aa6953,1c,00000003e4aa6953,00 -580,580,0,00000003e4aa6954,1c,00000003e4aa6954,00 -581,581,0,00000003e4aa6955,1c,00000003e4aa6955,00 -582,582,0,00000003e4aa6956,1c,00000003e4aa6956,00 -583,583,0,00000003e4aa6957,1c,00000003e4aa6957,00 -584,584,0,00000003e4aa6958,1c,00000003e4aa6958,00 -585,585,0,00000003e4aa6959,1c,00000003e4aa6959,00 -586,586,0,00000003e4aa695a,1c,00000003e4aa695a,00 -587,587,0,00000003e4aa695b,1c,00000003e4aa695b,00 -588,588,0,00000003e4aa695c,1c,00000003e4aa695c,00 -589,589,0,00000003e4aa695d,1c,00000003e4aa695d,00 -590,590,0,00000003e4aa695e,1c,00000003e4aa695e,00 -591,591,0,00000003e4aa695f,1c,00000003e4aa695f,00 -592,592,0,00000003e4aa6960,1e,00000003e4aa6960,0f -593,593,0,00000003e4aa6961,1d,00000003e4aa6961,10 -594,594,0,00000003e4aa6962,1c,00000003e4aa6962,00 -595,595,0,00000003e4aa6963,1c,00000003e4aa6963,00 -596,596,0,00000003e4aa6964,1c,00000003e4aa6964,00 -597,597,0,00000003e4aa6965,1c,00000003e4aa6965,00 -598,598,0,00000003e4aa6966,1c,00000003e4aa6966,00 -599,599,0,00000003e4aa6967,1c,00000003e4aa6967,00 -600,600,0,00000003e4aa6968,1c,00000003e4aa6968,00 -601,601,0,00000003e4aa6969,1c,00000003e4aa6969,00 -602,602,0,00000003e4aa696a,1c,00000003e4aa696a,00 -603,603,0,00000003e4aa696b,1c,00000003e4aa696b,00 -604,604,0,00000003e4aa696c,1c,00000003e4aa696c,00 -605,605,0,00000003e4aa696d,1c,00000003e4aa696d,00 -606,606,0,00000003e4aa696e,1c,00000003e4aa696e,00 -607,607,0,00000003e4aa696f,1c,00000003e4aa696f,00 -608,608,0,00000003e4aa6970,1e,00000003e4aa6970,0f -609,609,0,00000003e4aa6971,1d,00000003e4aa6971,10 -610,610,0,00000003e4aa6972,1c,00000003e4aa6972,00 -611,611,0,00000003e4aa6973,1c,00000003e4aa6973,00 -612,612,0,00000003e4aa6974,1c,00000003e4aa6974,00 -613,613,0,00000003e4aa6975,1c,00000003e4aa6975,00 -614,614,0,00000003e4aa6976,1c,00000003e4aa6976,00 -615,615,0,00000003e4aa6977,1c,00000003e4aa6977,00 -616,616,0,00000003e4aa6978,1c,00000003e4aa6978,00 -617,617,0,00000003e4aa6979,1c,00000003e4aa6979,00 -618,618,0,00000003e4aa697a,1c,00000003e4aa697a,00 -619,619,0,00000003e4aa697b,1c,00000003e4aa697b,00 -620,620,0,00000003e4aa697c,1c,00000003e4aa697c,00 -621,621,0,00000003e4aa697d,1c,00000003e4aa697d,00 -622,622,0,00000003e4aa697e,1c,00000003e4aa697e,00 -623,623,0,00000003e4aa697f,1c,00000003e4aa697f,00 -624,624,0,00000003e4aa6980,1e,00000003e4aa6980,0f -625,625,0,00000003e4aa6981,1d,00000003e4aa6981,10 -626,626,0,00000003e4aa6982,1c,00000003e4aa6982,00 -627,627,0,00000003e4aa6983,1c,00000003e4aa6983,00 -628,628,0,00000003e4aa6984,1c,00000003e4aa6984,00 -629,629,0,00000003e4aa6985,1c,00000003e4aa6985,00 -630,630,0,00000003e4aa6986,1c,00000003e4aa6986,00 -631,631,0,00000003e4aa6987,1c,00000003e4aa6987,00 -632,632,0,00000003e4aa6988,1c,00000003e4aa6988,00 -633,633,0,00000003e4aa6989,1c,00000003e4aa6989,00 -634,634,0,00000003e4aa698a,1c,00000003e4aa698a,00 -635,635,0,00000003e4aa698b,1c,00000003e4aa698b,00 -636,636,0,00000003e4aa698c,1c,00000003e4aa698c,00 -637,637,0,00000003e4aa698d,1c,00000003e4aa698d,00 -638,638,0,00000003e4aa698e,1c,00000003e4aa698e,00 -639,639,0,00000003e4aa698f,1c,00000003e4aa698f,00 -640,640,0,00000003e4aa6990,1e,00000003e4aa6990,0f -641,641,0,00000003e4aa6991,1d,00000003e4aa6991,10 -642,642,0,00000003e4aa6992,1c,00000003e4aa6992,00 -643,643,0,00000003e4aa6993,1c,00000003e4aa6993,00 -644,644,0,00000003e4aa6994,1c,00000003e4aa6994,00 -645,645,0,00000003e4aa6995,1c,00000003e4aa6995,00 -646,646,0,00000003e4aa6996,1c,00000003e4aa6996,00 -647,647,0,00000003e4aa6997,1c,00000003e4aa6997,00 -648,648,0,00000003e4aa6998,1c,00000003e4aa6998,00 -649,649,0,00000003e4aa6999,1c,00000003e4aa6999,00 -650,650,0,00000003e4aa699a,1c,00000003e4aa699a,00 -651,651,0,00000003e4aa699b,1c,00000003e4aa699b,00 -652,652,0,00000003e4aa699c,1c,00000003e4aa699c,00 -653,653,0,00000003e4aa699d,1c,00000003e4aa699d,00 -654,654,0,00000003e4aa699e,1c,00000003e4aa699e,00 -655,655,0,00000003e4aa699f,1c,00000003e4aa699f,00 -656,656,0,00000003e4aa69a0,1e,00000003e4aa69a0,0f -657,657,0,00000003e4aa69a1,1d,00000003e4aa69a0,0f -658,658,0,00000003e4aa69a2,1c,00000003e4aa69a0,0f -659,659,0,00000003e4aa69a3,1c,00000003e4aa69a0,0f -660,660,0,00000003e4aa69a4,1c,00000003e4aa69a0,0f -661,661,0,00000003e4aa69a5,1c,00000003e4aa69a0,0f -662,662,0,00000003e4aa69a6,1c,00000003e4aa69a0,0f -663,663,0,00000003e4aa69a7,1c,00000003e4aa69a0,0f -664,664,0,00000003e4aa69a8,1c,00000003e4aa69a0,0f -665,665,0,00000003e4aa69a9,1c,00000003e4aa69a0,0f -666,666,0,00000003e4aa69aa,1c,00000003e4aa69a0,0f -667,667,0,00000003e4aa69ab,1c,00000003e4aa69a0,0f -668,668,0,00000003e4aa69ac,1c,00000003e4aa69a0,0f -669,669,0,00000003e4aa69ad,1c,00000003e4aa69a0,0f -670,670,0,00000003e4aa69ae,1c,00000003e4aa69a0,0f -671,671,0,00000003e4aa69af,1c,00000003e4aa69a0,0f -672,672,0,00000003e4aa69b0,1e,00000003e4aa69b1,10 -673,673,0,00000003e4aa69b1,1d,00000003e4aa69b2,00 -674,674,0,00000003e4aa69b2,1c,00000003e4aa69b3,00 -675,675,0,00000003e4aa69b3,1c,00000003e4aa69b4,00 -676,676,0,00000003e4aa69b4,1c,00000003e4aa69b5,00 -677,677,0,00000003e4aa69b5,1c,00000003e4aa69b6,00 -678,678,0,00000003e4aa69b6,1c,00000003e4aa69b7,00 -679,679,0,00000003e4aa69b7,1c,00000003e4aa69b8,00 -680,680,0,00000003e4aa69b8,1c,00000003e4aa69b9,00 -681,681,0,00000003e4aa69b9,1c,00000003e4aa69ba,00 -682,682,0,00000003e4aa69ba,1c,00000003e4aa69bb,00 -683,683,0,00000003e4aa69bb,1c,00000003e4aa69bc,00 -684,684,0,00000003e4aa69bc,1c,00000003e4aa69bd,00 -685,685,0,00000003e4aa69bd,1c,00000003e4aa69be,00 -686,686,0,00000003e4aa69be,1c,00000003e4aa69bf,00 -687,687,0,00000003e4aa69bf,1c,00000003e4aa69c0,0f -688,688,0,00000003e4aa69c0,1e,00000003e4aa69c0,0f -689,689,0,00000003e4aa69c1,1d,00000003e4aa69c1,10 -690,690,0,00000003e4aa69c2,1c,00000003e4aa69c2,00 -691,691,0,00000003e4aa69c3,1c,00000003e4aa69c3,00 -692,692,0,00000003e4aa69c4,1c,00000003e4aa69c4,00 -693,693,0,00000003e4aa69c5,1c,00000003e4aa69c5,00 -694,694,0,00000003e4aa69c6,1c,00000003e4aa69c6,00 -695,695,0,00000003e4aa69c7,1c,00000003e4aa69c7,00 -696,696,0,00000003e4aa69c8,1c,00000003e4aa69c8,00 -697,697,0,00000003e4aa69c9,1c,00000003e4aa69c9,00 -698,698,0,00000003e4aa69ca,1c,00000003e4aa69ca,00 -699,699,0,00000003e4aa69cb,1c,00000003e4aa69cb,00 -700,700,0,00000003e4aa69cc,1c,00000003e4aa69cc,00 -701,701,0,00000003e4aa69cd,1c,00000003e4aa69cd,00 -702,702,0,00000003e4aa69ce,1c,00000003e4aa69ce,00 -703,703,0,00000003e4aa69cf,1c,00000003e4aa69cf,00 -704,704,0,00000003e4aa69d0,1e,00000003e4aa69d0,0f -705,705,0,00000003e4aa69d1,1d,00000003e4aa69d1,10 -706,706,0,00000003e4aa69d2,1c,00000003e4aa69d2,00 -707,707,0,00000003e4aa69d3,1c,00000003e4aa69d3,00 -708,708,0,00000003e4aa69d4,1c,00000003e4aa69d4,00 -709,709,0,00000003e4aa69d5,1c,00000003e4aa69d5,00 -710,710,0,00000003e4aa69d6,1c,00000003e4aa69d6,00 -711,711,0,00000003e4aa69d7,1c,00000003e4aa69d7,00 -712,712,0,00000003e4aa69d8,1c,00000003e4aa69d8,00 -713,713,0,00000003e4aa69d9,1c,00000003e4aa69d9,00 -714,714,0,00000003e4aa69da,1c,00000003e4aa69da,00 -715,715,0,00000003e4aa69db,1c,00000003e4aa69db,00 -716,716,0,00000003e4aa69dc,1c,00000003e4aa69dc,00 -717,717,0,00000003e4aa69dd,1c,00000003e4aa69dd,00 -718,718,0,00000003e4aa69de,1c,00000003e4aa69de,00 -719,719,0,00000003e4aa69df,1c,00000003e4aa69df,00 -720,720,0,00000003e4aa69e0,1e,00000003e4aa69e0,00 -721,721,0,00000003e4aa69e1,1d,00000003e4aa69e1,10 -722,722,0,00000003e4aa69e2,1c,00000003e4aa69e2,00 -723,723,0,00000003e4aa69e3,1c,00000003e4aa69e3,00 -724,724,0,00000003e4aa69e4,1c,00000003e4aa69e4,00 -725,725,0,00000003e4aa69e5,1c,00000003e4aa69e5,00 -726,726,0,00000003e4aa69e6,1c,00000003e4aa69e6,00 -727,727,0,00000003e4aa69e7,1c,00000003e4aa69e7,00 -728,728,0,00000003e4aa69e8,1c,00000003e4aa69e8,00 -729,729,0,00000003e4aa69e9,1c,00000003e4aa69e9,00 -730,730,0,00000003e4aa69ea,1c,00000003e4aa69ea,00 -731,731,0,00000003e4aa69eb,1c,00000003e4aa69eb,00 -732,732,0,00000003e4aa69ec,1c,00000003e4aa69ec,00 -733,733,0,00000003e4aa69ed,1c,00000003e4aa69ed,00 -734,734,0,00000003e4aa69ee,1c,00000003e4aa69ee,00 -735,735,0,00000003e4aa69ef,1c,00000003e4aa69ef,00 -736,736,0,00000003e4aa69f0,1e,00000003e4aa69f0,00 -737,737,0,00000003e4aa69f1,1d,00000003e4aa69f1,00 -738,738,0,00000003e4aa69f2,1c,00000003e4aa69f2,00 -739,739,0,00000003e4aa69f3,1c,00000003e4aa69f3,00 -740,740,0,00000003e4aa69f4,1c,00000003e4aa69f4,00 -741,741,0,00000003e4aa69f5,1c,00000003e4aa69f5,00 -742,742,0,00000003e4aa69f6,1c,00000003e4aa69f6,00 -743,743,0,00000003e4aa69f7,1c,00000003e4aa69f7,00 -744,744,0,00000003e4aa69f8,1c,00000003e4aa69f8,00 -745,745,0,00000003e4aa69f9,1c,00000003e4aa69f9,00 -746,746,0,00000003e4aa69fa,1c,00000003e4aa69fa,00 -747,747,0,00000003e4aa69fb,1c,00000003e4aa69fb,00 -748,748,0,00000003e4aa69fc,1c,00000003e4aa69fc,00 -749,749,0,00000003e4aa69fd,1c,00000003e4aa69fd,00 -750,750,0,00000003e4aa69fe,1c,00000003e4aa69fe,00 -751,751,0,00000003e4aa69ff,1c,00000003e4aa69ff,00 -752,752,0,00000003e4aa6a00,1e,00000003e4aa6a00,0f -753,753,0,00000003e4aa6a01,1d,00000003e4aa6a01,10 -754,754,0,00000003e4aa6a02,1c,00000003e4aa6a02,00 -755,755,0,00000003e4aa6a03,1c,00000003e4aa6a03,00 -756,756,0,00000003e4aa6a04,1c,00000003e4aa6a04,00 -757,757,0,00000003e4aa6a05,1c,00000003e4aa6a05,00 -758,758,0,00000003e4aa6a06,1c,00000003e4aa6a06,00 -759,759,0,00000003e4aa6a07,1c,00000003e4aa6a07,00 -760,760,0,00000003e4aa6a08,1c,00000003e4aa6a08,00 -761,761,0,00000003e4aa6a09,1c,00000003e4aa6a09,00 -762,762,0,00000003e4aa6a0a,1c,00000003e4aa6a0a,00 -763,763,0,00000003e4aa6a0b,1c,00000003e4aa6a0b,00 -764,764,0,00000003e4aa6a0c,1c,00000003e4aa6a0c,00 -765,765,0,00000003e4aa6a0d,1c,00000003e4aa6a0d,00 -766,766,0,00000003e4aa6a0e,1c,00000003e4aa6a0e,00 -767,767,0,00000003e4aa6a0f,1c,00000003e4aa6a0f,00 -768,768,0,00000003e4aa6a10,1e,00000003e4aa6a10,0f -769,769,0,00000003e4aa6a11,1d,00000003e4aa6a11,10 -770,770,0,00000003e4aa6a12,1c,00000003e4aa6a12,00 -771,771,0,00000003e4aa6a13,1c,00000003e4aa6a13,00 -772,772,0,00000003e4aa6a14,1c,00000003e4aa6a14,00 -773,773,0,00000003e4aa6a15,1c,00000003e4aa6a15,00 -774,774,0,00000003e4aa6a16,1c,00000003e4aa6a16,00 -775,775,0,00000003e4aa6a17,1c,00000003e4aa6a17,00 -776,776,0,00000003e4aa6a18,1c,00000003e4aa6a18,00 -777,777,0,00000003e4aa6a19,1c,00000003e4aa6a19,00 -778,778,0,00000003e4aa6a1a,1c,00000003e4aa6a1a,00 -779,779,0,00000003e4aa6a1b,1c,00000003e4aa6a1b,00 -780,780,0,00000003e4aa6a1c,1c,00000003e4aa6a1c,00 -781,781,0,00000003e4aa6a1d,1c,00000003e4aa6a1d,00 -782,782,0,00000003e4aa6a1e,1c,00000003e4aa6a1e,00 -783,783,0,00000003e4aa6a1f,1c,00000003e4aa6a1f,00 -784,784,0,00000003e4aa6a20,1e,00000003e4aa6a20,0f -785,785,0,00000003e4aa6a21,1d,00000003e4aa6a21,10 -786,786,0,00000003e4aa6a22,1c,00000003e4aa6a22,00 -787,787,0,00000003e4aa6a23,1c,00000003e4aa6a23,00 -788,788,0,00000003e4aa6a24,1c,00000003e4aa6a24,00 -789,789,0,00000003e4aa6a25,1c,00000003e4aa6a25,00 -790,790,0,00000003e4aa6a26,1c,00000003e4aa6a26,00 -791,791,0,00000003e4aa6a27,1c,00000003e4aa6a27,00 -792,792,0,00000003e4aa6a28,1c,00000003e4aa6a28,00 -793,793,0,00000003e4aa6a29,1c,00000003e4aa6a29,00 -794,794,0,00000003e4aa6a2a,1c,00000003e4aa6a2a,00 -795,795,0,00000003e4aa6a2b,1c,00000003e4aa6a2b,00 -796,796,0,00000003e4aa6a2c,1c,00000003e4aa6a2c,00 -797,797,0,00000003e4aa6a2d,1c,00000003e4aa6a2d,00 -798,798,0,00000003e4aa6a2e,1c,00000003e4aa6a2e,00 -799,799,0,00000003e4aa6a2f,1c,00000003e4aa6a2f,00 -800,800,0,00000003e4aa6a30,1e,00000003e4aa6a30,0f -801,801,0,00000003e4aa6a31,1d,00000003e4aa6a31,10 -802,802,0,00000003e4aa6a32,1c,00000003e4aa6a32,00 -803,803,0,00000003e4aa6a33,1c,00000003e4aa6a33,00 -804,804,0,00000003e4aa6a34,1c,00000003e4aa6a34,00 -805,805,0,00000003e4aa6a35,1c,00000003e4aa6a35,00 -806,806,0,00000003e4aa6a36,1c,00000003e4aa6a36,00 -807,807,0,00000003e4aa6a37,1c,00000003e4aa6a37,00 -808,808,0,00000003e4aa6a38,1c,00000003e4aa6a38,00 -809,809,0,00000003e4aa6a39,1c,00000003e4aa6a39,00 -810,810,0,00000003e4aa6a3a,1c,00000003e4aa6a3a,00 -811,811,0,00000003e4aa6a3b,1c,00000003e4aa6a3b,00 -812,812,0,00000003e4aa6a3c,1c,00000003e4aa6a3c,00 -813,813,0,00000003e4aa6a3d,1c,00000003e4aa6a3d,00 -814,814,0,00000003e4aa6a3e,1c,00000003e4aa6a3e,00 -815,815,0,00000003e4aa6a3f,1c,00000003e4aa6a3f,00 -816,816,0,00000003e4aa6a40,1e,00000003e4aa6a40,0f -817,817,0,00000003e4aa6a41,1d,00000003e4aa6a40,0f -818,818,0,00000003e4aa6a42,1c,00000003e4aa6a40,0f -819,819,0,00000003e4aa6a43,1c,00000003e4aa6a40,0f -820,820,0,00000003e4aa6a44,1c,00000003e4aa6a40,0f -821,821,0,00000003e4aa6a45,1c,00000003e4aa6a40,0f -822,822,0,00000003e4aa6a46,1c,00000003e4aa6a40,0f -823,823,0,00000003e4aa6a47,1c,00000003e4aa6a40,0f -824,824,0,00000003e4aa6a48,1c,00000003e4aa6a40,0f -825,825,0,00000003e4aa6a49,1c,00000003e4aa6a40,0f -826,826,0,00000003e4aa6a4a,1c,00000003e4aa6a40,0f -827,827,0,00000003e4aa6a4b,1c,00000003e4aa6a40,0f -828,828,0,00000003e4aa6a4c,1c,00000003e4aa6a40,0f -829,829,0,00000003e4aa6a4d,1c,00000003e4aa6a40,0f -830,830,0,00000003e4aa6a4e,1c,00000003e4aa6a40,0f -831,831,0,00000003e4aa6a4f,1c,00000003e4aa6a40,0f -832,832,0,00000003e4aa6a50,1e,00000003e4aa6a40,0f -833,833,0,00000003e4aa6a51,1d,00000003e4aa6a51,10 -834,834,0,00000003e4aa6a52,1c,00000003e4aa6a52,00 -835,835,0,00000003e4aa6a53,1c,00000003e4aa6a53,00 -836,836,0,00000003e4aa6a54,1c,00000003e4aa6a54,00 -837,837,0,00000003e4aa6a55,1c,00000003e4aa6a55,00 -838,838,0,00000003e4aa6a56,1c,00000003e4aa6a56,00 -839,839,0,00000003e4aa6a57,1c,00000003e4aa6a57,00 -840,840,0,00000003e4aa6a58,1c,00000003e4aa6a58,00 -841,841,0,00000003e4aa6a59,1c,00000003e4aa6a59,00 -842,842,0,00000003e4aa6a5a,1c,00000003e4aa6a5a,00 -843,843,0,00000003e4aa6a5b,1c,00000003e4aa6a5b,00 -844,844,0,00000003e4aa6a5c,1c,00000003e4aa6a5c,00 -845,845,0,00000003e4aa6a5d,1c,00000003e4aa6a5d,00 -846,846,0,00000003e4aa6a5e,1c,00000003e4aa6a5e,00 -847,847,0,00000003e4aa6a5f,1c,00000003e4aa6a5f,00 -848,848,0,00000003e4aa6a60,1e,00000003e4aa6a60,0f -849,849,0,00000003e4aa6a61,1d,00000003e4aa6a61,10 -850,850,0,00000003e4aa6a62,1c,00000003e4aa6a62,00 -851,851,0,00000003e4aa6a63,1c,00000003e4aa6a63,00 -852,852,0,00000003e4aa6a64,1c,00000003e4aa6a64,00 -853,853,0,00000003e4aa6a65,1c,00000003e4aa6a65,00 -854,854,0,00000003e4aa6a66,1c,00000003e4aa6a66,00 -855,855,0,00000003e4aa6a67,1c,00000003e4aa6a67,00 -856,856,0,00000003e4aa6a68,1c,00000003e4aa6a68,00 -857,857,0,00000003e4aa6a69,1c,00000003e4aa6a69,00 -858,858,0,00000003e4aa6a6a,1c,00000003e4aa6a6a,00 -859,859,0,00000003e4aa6a6b,1c,00000003e4aa6a6b,00 -860,860,0,00000003e4aa6a6c,1c,00000003e4aa6a6c,00 -861,861,0,00000003e4aa6a6d,1c,00000003e4aa6a6d,00 -862,862,0,00000003e4aa6a6e,1c,00000003e4aa6a6e,00 -863,863,0,00000003e4aa6a6f,1c,00000003e4aa6a6f,00 -864,864,0,00000003e4aa6a70,1e,00000003e4aa6a70,0f -865,865,0,00000003e4aa6a71,1d,00000003e4aa6a71,10 -866,866,0,00000003e4aa6a72,1c,00000003e4aa6a72,00 -867,867,0,00000003e4aa6a73,1c,00000003e4aa6a73,00 -868,868,0,00000003e4aa6a74,1c,00000003e4aa6a74,00 -869,869,0,00000003e4aa6a75,1c,00000003e4aa6a75,00 -870,870,0,00000003e4aa6a76,1c,00000003e4aa6a76,00 -871,871,0,00000003e4aa6a77,1c,00000003e4aa6a77,00 -872,872,0,00000003e4aa6a78,1c,00000003e4aa6a78,00 -873,873,0,00000003e4aa6a79,1c,00000003e4aa6a79,00 -874,874,0,00000003e4aa6a7a,1c,00000003e4aa6a7a,00 -875,875,0,00000003e4aa6a7b,1c,00000003e4aa6a7b,00 -876,876,0,00000003e4aa6a7c,1c,00000003e4aa6a7c,00 -877,877,0,00000003e4aa6a7d,1c,00000003e4aa6a7d,00 -878,878,0,00000003e4aa6a7e,1c,00000003e4aa6a7e,00 -879,879,0,00000003e4aa6a7f,1c,00000003e4aa6a7f,00 -880,880,0,00000003e4aa6a80,1e,00000003e4aa6a80,0f -881,881,0,00000003e4aa6a81,1d,00000003e4aa6a81,10 -882,882,0,00000003e4aa6a82,1c,00000003e4aa6a82,00 -883,883,0,00000003e4aa6a83,1c,00000003e4aa6a83,00 -884,884,0,00000003e4aa6a84,1c,00000003e4aa6a84,00 -885,885,0,00000003e4aa6a85,1c,00000003e4aa6a85,00 -886,886,0,00000003e4aa6a86,1c,00000003e4aa6a86,00 -887,887,0,00000003e4aa6a87,1c,00000003e4aa6a87,00 -888,888,0,00000003e4aa6a88,1c,00000003e4aa6a88,00 -889,889,0,00000003e4aa6a89,1c,00000003e4aa6a89,00 -890,890,0,00000003e4aa6a8a,1c,00000003e4aa6a8a,00 -891,891,0,00000003e4aa6a8b,1c,00000003e4aa6a8b,00 -892,892,0,00000003e4aa6a8c,1c,00000003e4aa6a8c,00 -893,893,0,00000003e4aa6a8d,1c,00000003e4aa6a8d,00 -894,894,0,00000003e4aa6a8e,1c,00000003e4aa6a8e,00 -895,895,0,00000003e4aa6a8f,1c,00000003e4aa6a8f,00 -896,896,0,00000003e4aa6a90,1e,00000003e4aa6a90,0f -897,897,0,00000003e4aa6a91,1d,00000003e4aa6a91,10 -898,898,0,00000003e4aa6a92,1c,00000003e4aa6a92,00 -899,899,0,00000003e4aa6a93,1c,00000003e4aa6a93,00 -900,900,0,00000003e4aa6a94,1c,00000003e4aa6a94,00 -901,901,0,00000003e4aa6a95,1c,00000003e4aa6a95,00 -902,902,0,00000003e4aa6a96,1c,00000003e4aa6a96,00 -903,903,0,00000003e4aa6a97,1c,00000003e4aa6a97,00 -904,904,0,00000003e4aa6a98,1c,00000003e4aa6a98,00 -905,905,0,00000003e4aa6a99,1c,00000003e4aa6a99,00 -906,906,0,00000003e4aa6a9a,1c,00000003e4aa6a9a,00 -907,907,0,00000003e4aa6a9b,1c,00000003e4aa6a9b,00 -908,908,0,00000003e4aa6a9c,1c,00000003e4aa6a9c,00 -909,909,0,00000003e4aa6a9d,1c,00000003e4aa6a9d,00 -910,910,0,00000003e4aa6a9e,1c,00000003e4aa6a9e,00 -911,911,0,00000003e4aa6a9f,1c,00000003e4aa6a9f,00 -912,912,0,00000003e4aa6aa0,1e,00000003e4aa6aa0,00 -913,913,0,00000003e4aa6aa1,1d,00000003e4aa6aa1,10 -914,914,0,00000003e4aa6aa2,1c,00000003e4aa6aa2,00 -915,915,0,00000003e4aa6aa3,1c,00000003e4aa6aa3,00 -916,916,0,00000003e4aa6aa4,1c,00000003e4aa6aa4,00 -917,917,0,00000003e4aa6aa5,1c,00000003e4aa6aa5,00 -918,918,0,00000003e4aa6aa6,1c,00000003e4aa6aa6,00 -919,919,0,00000003e4aa6aa7,1c,00000003e4aa6aa7,00 -920,920,0,00000003e4aa6aa8,1c,00000003e4aa6aa8,00 -921,921,0,00000003e4aa6aa9,1c,00000003e4aa6aa9,00 -922,922,0,00000003e4aa6aaa,1c,00000003e4aa6aaa,00 -923,923,0,00000003e4aa6aab,1c,00000003e4aa6aab,00 -924,924,0,00000003e4aa6aac,1c,00000003e4aa6aac,00 -925,925,0,00000003e4aa6aad,1c,00000003e4aa6aad,00 -926,926,0,00000003e4aa6aae,1c,00000003e4aa6aae,00 -927,927,0,00000003e4aa6aaf,1c,00000003e4aa6aaf,00 -928,928,0,00000003e4aa6ab0,1e,00000003e4aa6ab0,00 -929,929,0,00000003e4aa6ab1,1d,00000003e4aa6ab1,10 -930,930,0,00000003e4aa6ab2,1c,00000003e4aa6ab2,00 -931,931,0,00000003e4aa6ab3,1c,00000003e4aa6ab3,00 -932,932,0,00000003e4aa6ab4,1c,00000003e4aa6ab4,00 -933,933,0,00000003e4aa6ab5,1c,00000003e4aa6ab5,00 -934,934,0,00000003e4aa6ab6,1c,00000003e4aa6ab6,00 -935,935,0,00000003e4aa6ab7,1c,00000003e4aa6ab7,00 -936,936,0,00000003e4aa6ab8,1c,00000003e4aa6ab8,00 -937,937,0,00000003e4aa6ab9,1c,00000003e4aa6ab9,00 -938,938,0,00000003e4aa6aba,1c,00000003e4aa6aba,00 -939,939,0,00000003e4aa6abb,1c,00000003e4aa6abb,00 -940,940,0,00000003e4aa6abc,1c,00000003e4aa6abc,00 -941,941,0,00000003e4aa6abd,1c,00000003e4aa6abd,00 -942,942,0,00000003e4aa6abe,1c,00000003e4aa6abe,00 -943,943,0,00000003e4aa6abf,1c,00000003e4aa6abf,00 -944,944,0,00000003e4aa6ac0,1e,00000003e4aa6ac0,0f -945,945,0,00000003e4aa6ac1,1d,00000003e4aa6ac1,10 -946,946,0,00000003e4aa6ac2,1c,00000003e4aa6ac2,00 -947,947,0,00000003e4aa6ac3,1c,00000003e4aa6ac3,00 -948,948,0,00000003e4aa6ac4,1c,00000003e4aa6ac4,00 -949,949,0,00000003e4aa6ac5,1c,00000003e4aa6ac5,00 -950,950,0,00000003e4aa6ac6,1c,00000003e4aa6ac6,00 -951,951,0,00000003e4aa6ac7,1c,00000003e4aa6ac7,00 -952,952,0,00000003e4aa6ac8,1c,00000003e4aa6ac8,00 -953,953,0,00000003e4aa6ac9,1c,00000003e4aa6ac9,00 -954,954,0,00000003e4aa6aca,1c,00000003e4aa6aca,00 -955,955,0,00000003e4aa6acb,1c,00000003e4aa6acb,00 -956,956,0,00000003e4aa6acc,1c,00000003e4aa6acc,00 -957,957,0,00000003e4aa6acd,1c,00000003e4aa6acd,00 -958,958,0,00000003e4aa6ace,1c,00000003e4aa6ace,00 -959,959,0,00000003e4aa6acf,1c,00000003e4aa6acf,00 -960,960,0,00000003e4aa6ad0,1e,00000003e4aa6ad0,0f -961,961,0,00000003e4aa6ad1,1d,00000003e4aa6ad1,10 -962,962,0,00000003e4aa6ad2,1c,00000003e4aa6ad2,00 -963,963,0,00000003e4aa6ad3,1c,00000003e4aa6ad3,00 -964,964,0,00000003e4aa6ad4,1c,00000003e4aa6ad4,00 -965,965,0,00000003e4aa6ad5,1c,00000003e4aa6ad5,00 -966,966,0,00000003e4aa6ad6,1c,00000003e4aa6ad6,00 -967,967,0,00000003e4aa6ad7,1c,00000003e4aa6ad7,00 -968,968,0,00000003e4aa6ad8,1c,00000003e4aa6ad8,00 -969,969,0,00000003e4aa6ad9,1c,00000003e4aa6ad9,00 -970,970,0,00000003e4aa6ada,1c,00000003e4aa6ada,00 -971,971,0,00000003e4aa6adb,1c,00000003e4aa6adb,00 -972,972,0,00000003e4aa6adc,1c,00000003e4aa6adc,00 -973,973,0,00000003e4aa6add,1c,00000003e4aa6add,00 -974,974,0,00000003e4aa6ade,1c,00000003e4aa6ade,00 -975,975,0,00000003e4aa6adf,1c,00000003e4aa6adf,00 -976,976,0,00000003e4aa6ae0,1e,00000003e4aa6ae0,0f -977,977,0,00000003e4aa6ae1,1d,00000003e4aa6ae1,10 -978,978,0,00000003e4aa6ae2,1c,00000003e4aa6ae2,00 -979,979,0,00000003e4aa6ae3,1c,00000003e4aa6ae3,00 -980,980,0,00000003e4aa6ae4,1c,00000003e4aa6ae4,00 -981,981,0,00000003e4aa6ae5,1c,00000003e4aa6ae5,00 -982,982,0,00000003e4aa6ae6,1c,00000003e4aa6ae6,00 -983,983,0,00000003e4aa6ae7,1c,00000003e4aa6ae7,00 -984,984,0,00000003e4aa6ae8,1c,00000003e4aa6ae8,00 -985,985,0,00000003e4aa6ae9,1c,00000003e4aa6ae9,00 -986,986,0,00000003e4aa6aea,1c,00000003e4aa6aea,00 -987,987,0,00000003e4aa6aeb,1c,00000003e4aa6aeb,00 -988,988,0,00000003e4aa6aec,1c,00000003e4aa6aec,00 -989,989,0,00000003e4aa6aed,1c,00000003e4aa6aed,00 -990,990,0,00000003e4aa6aee,1c,00000003e4aa6aee,00 -991,991,0,00000003e4aa6aef,1c,00000003e4aa6aef,00 -992,992,0,00000003e4aa6af0,1e,00000003e4aa6af0,0f -993,993,0,00000003e4aa6af1,1d,00000003e4aa6af1,10 -994,994,0,00000003e4aa6af2,1c,00000003e4aa6af2,00 -995,995,0,00000003e4aa6af3,1c,00000003e4aa6af3,00 -996,996,0,00000003e4aa6af4,1c,00000003e4aa6af4,00 -997,997,0,00000003e4aa6af5,1c,00000003e4aa6af5,00 -998,998,0,00000003e4aa6af6,1c,00000003e4aa6af6,00 -999,999,0,00000003e4aa6af7,1c,00000003e4aa6af7,00 -1000,1000,0,00000003e4aa6af8,1c,00000003e4aa6af8,00 -1001,1001,0,00000003e4aa6af9,1c,00000003e4aa6af9,00 -1002,1002,0,00000003e4aa6afa,1c,00000003e4aa6afa,00 -1003,1003,0,00000003e4aa6afb,1c,00000003e4aa6afb,00 -1004,1004,0,00000003e4aa6afc,1c,00000003e4aa6afc,00 -1005,1005,0,00000003e4aa6afd,1c,00000003e4aa6afd,00 -1006,1006,0,00000003e4aa6afe,1c,00000003e4aa6afe,00 -1007,1007,0,00000003e4aa6aff,1c,00000003e4aa6aff,00 -1008,1008,0,00000003e4aa6b00,1e,00000003e4aa6b00,0f -1009,1009,0,00000003e4aa6b01,1d,00000003e4aa6b01,10 -1010,1010,0,00000003e4aa6b02,1c,00000003e4aa6b02,00 -1011,1011,0,00000003e4aa6b03,1c,00000003e4aa6b03,00 -1012,1012,0,00000003e4aa6b04,1c,00000003e4aa6b04,00 -1013,1013,0,00000003e4aa6b05,1c,00000003e4aa6b05,00 -1014,1014,0,00000003e4aa6b06,1c,00000003e4aa6b06,00 -1015,1015,0,00000003e4aa6b07,1c,00000003e4aa6b07,00 -1016,1016,0,00000003e4aa6b08,1c,00000003e4aa6b08,00 -1017,1017,0,00000003e4aa6b09,1c,00000003e4aa6b09,00 -1018,1018,0,00000003e4aa6b0a,1c,00000003e4aa6b0a,00 -1019,1019,0,00000003e4aa6b0b,1c,00000003e4aa6b0b,00 -1020,1020,0,00000003e4aa6b0c,1c,00000003e4aa6b0c,00 -1021,1021,0,00000003e4aa6b0d,1c,00000003e4aa6b0d,00 -1022,1022,0,00000003e4aa6b0e,1c,00000003e4aa6b0e,00 -1023,1023,0,00000003e4aa6b0f,1c,00000003e4aa6b0f,00 -1024,1024,0,00000003e4aa6b10,1e,00000003e4aa6b10,0f -1025,1025,0,00000003e4aa6b11,1d,00000003e4aa6b11,10 -1026,1026,0,00000003e4aa6b12,1c,00000003e4aa6b12,00 -1027,1027,0,00000003e4aa6b13,1c,00000003e4aa6b13,00 -1028,1028,0,00000003e4aa6b14,1c,00000003e4aa6b14,00 -1029,1029,0,00000003e4aa6b15,1c,00000003e4aa6b15,00 -1030,1030,0,00000003e4aa6b16,1c,00000003e4aa6b16,00 -1031,1031,0,00000003e4aa6b17,1c,00000003e4aa6b17,00 -1032,1032,0,00000003e4aa6b18,1c,00000003e4aa6b18,00 -1033,1033,0,00000003e4aa6b19,1c,00000003e4aa6b19,00 -1034,1034,0,00000003e4aa6b1a,1c,00000003e4aa6b1a,00 -1035,1035,0,00000003e4aa6b1b,1c,00000003e4aa6b1b,00 -1036,1036,0,00000003e4aa6b1c,1c,00000003e4aa6b1c,00 -1037,1037,0,00000003e4aa6b1d,1c,00000003e4aa6b1d,00 -1038,1038,0,00000003e4aa6b1e,1c,00000003e4aa6b1e,00 -1039,1039,0,00000003e4aa6b1f,1c,00000003e4aa6b1f,00 -1040,1040,0,00000003e4aa6b20,1e,00000003e4aa6b20,0f -1041,1041,0,00000003e4aa6b21,1d,00000003e4aa6b21,10 -1042,1042,0,00000003e4aa6b22,1c,00000003e4aa6b22,00 -1043,1043,0,00000003e4aa6b23,1c,00000003e4aa6b23,00 -1044,1044,0,00000003e4aa6b24,1c,00000003e4aa6b24,00 -1045,1045,0,00000003e4aa6b25,1c,00000003e4aa6b25,00 -1046,1046,0,00000003e4aa6b26,1c,00000003e4aa6b26,00 -1047,1047,0,00000003e4aa6b27,1c,00000003e4aa6b27,00 -1048,1048,0,00000003e4aa6b28,1c,00000003e4aa6b28,00 -1049,1049,0,00000003e4aa6b29,1c,00000003e4aa6b29,00 -1050,1050,0,00000003e4aa6b2a,1c,00000003e4aa6b2a,00 -1051,1051,0,00000003e4aa6b2b,1c,00000003e4aa6b2b,00 -1052,1052,0,00000003e4aa6b2c,1c,00000003e4aa6b2c,00 -1053,1053,0,00000003e4aa6b2d,1c,00000003e4aa6b2d,00 -1054,1054,0,00000003e4aa6b2e,1c,00000003e4aa6b2e,00 -1055,1055,0,00000003e4aa6b2f,1c,00000003e4aa6b2f,00 -1056,1056,0,00000003e4aa6b30,1e,00000003e4aa6b30,0f -1057,1057,0,00000003e4aa6b31,1d,00000003e4aa6b31,10 -1058,1058,0,00000003e4aa6b32,1c,00000003e4aa6b32,00 -1059,1059,0,00000003e4aa6b33,1c,00000003e4aa6b33,00 -1060,1060,0,00000003e4aa6b34,1c,00000003e4aa6b34,00 -1061,1061,0,00000003e4aa6b35,1c,00000003e4aa6b35,00 -1062,1062,0,00000003e4aa6b36,1c,00000003e4aa6b36,00 -1063,1063,0,00000003e4aa6b37,1c,00000003e4aa6b37,00 -1064,1064,0,00000003e4aa6b38,1c,00000003e4aa6b38,00 -1065,1065,0,00000003e4aa6b39,1c,00000003e4aa6b39,00 -1066,1066,0,00000003e4aa6b3a,1c,00000003e4aa6b3a,00 -1067,1067,0,00000003e4aa6b3b,1c,00000003e4aa6b3b,00 -1068,1068,0,00000003e4aa6b3c,1c,00000003e4aa6b3c,00 -1069,1069,0,00000003e4aa6b3d,1c,00000003e4aa6b3d,00 -1070,1070,0,00000003e4aa6b3e,1c,00000003e4aa6b3e,00 -1071,1071,0,00000003e4aa6b3f,1c,00000003e4aa6b3f,00 -1072,1072,0,00000003e4aa6b40,1e,00000003e4aa6b40,0f -1073,1073,0,00000003e4aa6b41,1d,00000003e4aa6b41,10 -1074,1074,0,00000003e4aa6b42,1c,00000003e4aa6b41,00 -1075,1075,0,00000003e4aa6b43,1c,00000003e4aa6b42,00 -1076,1076,0,00000003e4aa6b44,1c,00000003e4aa6b43,00 -1077,1077,0,00000003e4aa6b45,1c,00000003e4aa6b44,00 -1078,1078,0,00000003e4aa6b46,1c,00000003e4aa6b45,00 -1079,1079,0,00000003e4aa6b47,1c,00000003e4aa6b46,00 -1080,1080,0,00000003e4aa6b48,1c,00000003e4aa6b47,00 -1081,1081,0,00000003e4aa6b49,1c,00000003e4aa6b48,00 -1082,1082,0,00000003e4aa6b4a,1c,00000003e4aa6b49,00 -1083,1083,0,00000003e4aa6b4b,1c,00000003e4aa6b4a,00 -1084,1084,0,00000003e4aa6b4c,1c,00000003e4aa6b4b,00 -1085,1085,0,00000003e4aa6b4d,1c,00000003e4aa6b4c,00 -1086,1086,0,00000003e4aa6b4e,1c,00000003e4aa6b4d,00 -1087,1087,0,00000003e4aa6b4f,1c,00000003e4aa6b4e,00 -1088,1088,0,00000003e4aa6b50,1e,00000003e4aa6b4f,00 -1089,1089,0,00000003e4aa6b51,1d,00000003e4aa6b50,00 -1090,1090,0,00000003e4aa6b52,1c,00000003e4aa6b51,10 -1091,1091,0,00000003e4aa6b53,1c,00000003e4aa6b52,00 -1092,1092,0,00000003e4aa6b54,1c,00000003e4aa6b53,00 -1093,1093,0,00000003e4aa6b55,1c,00000003e4aa6b54,00 -1094,1094,0,00000003e4aa6b56,1c,00000003e4aa6b55,00 -1095,1095,0,00000003e4aa6b57,1c,00000003e4aa6b56,00 -1096,1096,0,00000003e4aa6b58,1c,00000003e4aa6b57,00 -1097,1097,0,00000003e4aa6b59,1c,00000003e4aa6b58,00 -1098,1098,0,00000003e4aa6b5a,1c,00000003e4aa6b59,00 -1099,1099,0,00000003e4aa6b5b,1c,00000003e4aa6b5a,00 -1100,1100,0,00000003e4aa6b5c,1c,00000003e4aa6b5b,00 -1101,1101,0,00000003e4aa6b5d,1c,00000003e4aa6b5c,00 -1102,1102,0,00000003e4aa6b5e,1c,00000003e4aa6b5d,00 -1103,1103,0,00000003e4aa6b5f,1c,00000003e4aa6b5e,00 -1104,1104,0,00000003e4aa6b60,1e,00000003e4aa6b5f,00 -1105,1105,0,00000003e4aa6b61,1d,00000003e4aa6b60,0f -1106,1106,0,00000003e4aa6b62,1c,00000003e4aa6b61,10 -1107,1107,0,00000003e4aa6b63,1c,00000003e4aa6b62,00 -1108,1108,0,00000003e4aa6b64,1c,00000003e4aa6b63,00 -1109,1109,0,00000003e4aa6b65,1c,00000003e4aa6b64,00 -1110,1110,0,00000003e4aa6b66,1c,00000003e4aa6b65,00 -1111,1111,0,00000003e4aa6b67,1c,00000003e4aa6b66,00 -1112,1112,0,00000003e4aa6b68,1c,00000003e4aa6b67,00 -1113,1113,0,00000003e4aa6b69,1c,00000003e4aa6b68,00 -1114,1114,0,00000003e4aa6b6a,1c,00000003e4aa6b69,00 -1115,1115,0,00000003e4aa6b6b,1c,00000003e4aa6b6a,00 -1116,1116,0,00000003e4aa6b6c,1c,00000003e4aa6b6b,00 -1117,1117,0,00000003e4aa6b6d,1c,00000003e4aa6b6c,00 -1118,1118,0,00000003e4aa6b6e,1c,00000003e4aa6b6d,00 -1119,1119,0,00000003e4aa6b6f,1c,00000003e4aa6b6e,00 -1120,1120,0,00000003e4aa6b70,1e,00000003e4aa6b6f,00 -1121,1121,0,00000003e4aa6b71,1d,00000003e4aa6b70,00 -1122,1122,0,00000003e4aa6b72,1c,00000003e4aa6b71,10 -1123,1123,0,00000003e4aa6b73,1c,00000003e4aa6b72,00 -1124,1124,0,00000003e4aa6b74,1c,00000003e4aa6b73,00 -1125,1125,0,00000003e4aa6b75,1c,00000003e4aa6b74,00 -1126,1126,0,00000003e4aa6b76,1c,00000003e4aa6b75,00 -1127,1127,0,00000003e4aa6b77,1c,00000003e4aa6b76,00 -1128,1128,0,00000003e4aa6b78,1c,00000003e4aa6b77,00 -1129,1129,0,00000003e4aa6b79,1c,00000003e4aa6b78,00 -1130,1130,0,00000003e4aa6b7a,1c,00000003e4aa6b79,00 -1131,1131,0,00000003e4aa6b7b,1c,00000003e4aa6b7a,00 -1132,1132,0,00000003e4aa6b7c,1c,00000003e4aa6b7b,00 -1133,1133,0,00000003e4aa6b7d,1c,00000003e4aa6b7c,00 -1134,1134,0,00000003e4aa6b7e,1c,00000003e4aa6b7d,00 -1135,1135,0,00000003e4aa6b7f,1c,00000003e4aa6b7e,00 -1136,1136,0,00000003e4aa6b80,1e,00000003e4aa6b7f,00 -1137,1137,0,00000003e4aa6b81,1d,00000003e4aa6b80,0f -1138,1138,0,00000003e4aa6b82,1c,00000003e4aa6b81,10 -1139,1139,0,00000003e4aa6b83,1c,00000003e4aa6b82,00 -1140,1140,0,00000003e4aa6b84,1c,00000003e4aa6b83,00 -1141,1141,0,00000003e4aa6b85,1c,00000003e4aa6b84,00 -1142,1142,0,00000003e4aa6b86,1c,00000003e4aa6b85,00 -1143,1143,0,00000003e4aa6b87,1c,00000003e4aa6b86,00 -1144,1144,0,00000003e4aa6b88,1c,00000003e4aa6b87,00 -1145,1145,0,00000003e4aa6b89,1c,00000003e4aa6b88,00 -1146,1146,0,00000003e4aa6b8a,1c,00000003e4aa6b89,00 -1147,1147,0,00000003e4aa6b8b,1c,00000003e4aa6b8a,00 -1148,1148,0,00000003e4aa6b8c,1c,00000003e4aa6b8b,00 -1149,1149,0,00000003e4aa6b8d,1c,00000003e4aa6b8c,00 -1150,1150,0,00000003e4aa6b8e,1c,00000003e4aa6b8d,00 -1151,1151,0,00000003e4aa6b8f,1c,00000003e4aa6b8e,00 -1152,1152,0,00000003e4aa6b90,1e,00000003e4aa6b8f,00 -1153,1153,0,00000003e4aa6b91,1d,00000003e4aa6b90,0f -1154,1154,0,00000003e4aa6b92,1c,00000003e4aa6b91,10 -1155,1155,0,00000003e4aa6b93,1c,00000003e4aa6b91,00 -1156,1156,0,00000003e4aa6b94,1c,00000003e4aa6b92,00 -1157,1157,0,00000003e4aa6b95,1c,00000003e4aa6b93,00 -1158,1158,0,00000003e4aa6b96,1c,00000003e4aa6b94,00 -1159,1159,0,00000003e4aa6b97,1c,00000003e4aa6b95,00 -1160,1160,0,00000003e4aa6b98,1c,00000003e4aa6b96,00 -1161,1161,0,00000003e4aa6b99,1c,00000003e4aa6b97,00 -1162,1162,0,00000003e4aa6b9a,1c,00000003e4aa6b98,00 -1163,1163,0,00000003e4aa6b9b,1c,00000003e4aa6b99,00 -1164,1164,0,00000003e4aa6b9c,1c,00000003e4aa6b9a,00 -1165,1165,0,00000003e4aa6b9d,1c,00000003e4aa6b9b,00 -1166,1166,0,00000003e4aa6b9e,1c,00000003e4aa6b9c,00 -1167,1167,0,00000003e4aa6b9f,1c,00000003e4aa6b9d,00 -1168,1168,0,00000003e4aa6ba0,1e,00000003e4aa6b9e,00 -1169,1169,0,00000003e4aa6ba1,1d,00000003e4aa6b9f,00 -1170,1170,0,00000003e4aa6ba2,1c,00000003e4aa6ba0,0f -1171,1171,0,00000003e4aa6ba3,1c,00000003e4aa6ba1,10 -1172,1172,0,00000003e4aa6ba4,1c,00000003e4aa6ba2,00 -1173,1173,0,00000003e4aa6ba5,1c,00000003e4aa6ba3,00 -1174,1174,0,00000003e4aa6ba6,1c,00000003e4aa6ba4,00 -1175,1175,0,00000003e4aa6ba7,1c,00000003e4aa6ba5,00 -1176,1176,0,00000003e4aa6ba8,1c,00000003e4aa6ba6,00 -1177,1177,0,00000003e4aa6ba9,1c,00000003e4aa6ba7,00 -1178,1178,0,00000003e4aa6baa,1c,00000003e4aa6ba8,00 -1179,1179,0,00000003e4aa6bab,1c,00000003e4aa6ba9,00 -1180,1180,0,00000003e4aa6bac,1c,00000003e4aa6baa,00 -1181,1181,0,00000003e4aa6bad,1c,00000003e4aa6bab,00 -1182,1182,0,00000003e4aa6bae,1c,00000003e4aa6bac,00 -1183,1183,0,00000003e4aa6baf,1c,00000003e4aa6bad,00 -1184,1184,0,00000003e4aa6bb0,1e,00000003e4aa6bae,00 -1185,1185,0,00000003e4aa6bb1,1d,00000003e4aa6baf,00 -1186,1186,0,00000003e4aa6bb2,1c,00000003e4aa6bb0,0f -1187,1187,0,00000003e4aa6bb3,1c,00000003e4aa6bb1,10 -1188,1188,0,00000003e4aa6bb4,1c,00000003e4aa6bb2,00 -1189,1189,0,00000003e4aa6bb5,1c,00000003e4aa6bb3,00 -1190,1190,0,00000003e4aa6bb6,1c,00000003e4aa6bb4,00 -1191,1191,0,00000003e4aa6bb7,1c,00000003e4aa6bb5,00 -1192,1192,0,00000003e4aa6bb8,1c,00000003e4aa6bb6,00 -1193,1193,0,00000003e4aa6bb9,1c,00000003e4aa6bb7,00 -1194,1194,0,00000003e4aa6bba,1c,00000003e4aa6bb8,00 -1195,1195,0,00000003e4aa6bbb,1c,00000003e4aa6bb9,00 -1196,1196,0,00000003e4aa6bbc,1c,00000003e4aa6bba,00 -1197,1197,0,00000003e4aa6bbd,1c,00000003e4aa6bbb,00 -1198,1198,0,00000003e4aa6bbe,1c,00000003e4aa6bbc,00 -1199,1199,0,00000003e4aa6bbf,1c,00000003e4aa6bbd,00 -1200,1200,0,00000003e4aa6bc0,1e,00000003e4aa6bbe,00 -1201,1201,0,00000003e4aa6bc1,1d,00000003e4aa6bbf,00 -1202,1202,0,00000003e4aa6bc2,1c,00000003e4aa6bc0,0f -1203,1203,0,00000003e4aa6bc3,1c,00000003e4aa6bc1,10 -1204,1204,0,00000003e4aa6bc4,1c,00000003e4aa6bc2,00 -1205,1205,0,00000003e4aa6bc5,1c,00000003e4aa6bc3,00 -1206,1206,0,00000003e4aa6bc6,1c,00000003e4aa6bc4,00 -1207,1207,0,00000003e4aa6bc7,1c,00000003e4aa6bc5,00 -1208,1208,0,00000003e4aa6bc8,1c,00000003e4aa6bc6,00 -1209,1209,0,00000003e4aa6bc9,1c,00000003e4aa6bc7,00 -1210,1210,0,00000003e4aa6bca,1c,00000003e4aa6bc8,00 -1211,1211,0,00000003e4aa6bcb,1c,00000003e4aa6bc9,00 -1212,1212,0,00000003e4aa6bcc,1c,00000003e4aa6bca,00 -1213,1213,0,00000003e4aa6bcd,1c,00000003e4aa6bcb,00 -1214,1214,0,00000003e4aa6bce,1c,00000003e4aa6bcc,00 -1215,1215,0,00000003e4aa6bcf,1c,00000003e4aa6bcd,00 -1216,1216,0,00000003e4aa6bd0,1e,00000003e4aa6bce,00 -1217,1217,0,00000003e4aa6bd1,1d,00000003e4aa6bcf,00 -1218,1218,0,00000003e4aa6bd2,1c,00000003e4aa6bd0,0f -1219,1219,0,00000003e4aa6bd3,1c,00000003e4aa6bd1,10 -1220,1220,0,00000003e4aa6bd4,1c,00000003e4aa6bd2,00 -1221,1221,0,00000003e4aa6bd5,1c,00000003e4aa6bd3,00 -1222,1222,0,00000003e4aa6bd6,1c,00000003e4aa6bd4,00 -1223,1223,0,00000003e4aa6bd7,1c,00000003e4aa6bd5,00 -1224,1224,0,00000003e4aa6bd8,1c,00000003e4aa6bd6,00 -1225,1225,0,00000003e4aa6bd9,1c,00000003e4aa6bd7,00 -1226,1226,0,00000003e4aa6bda,1c,00000003e4aa6bd8,00 -1227,1227,0,00000003e4aa6bdb,1c,00000003e4aa6bd9,00 -1228,1228,0,00000003e4aa6bdc,1c,00000003e4aa6bda,00 -1229,1229,0,00000003e4aa6bdd,1c,00000003e4aa6bdb,00 -1230,1230,0,00000003e4aa6bde,1c,00000003e4aa6bdc,00 -1231,1231,0,00000003e4aa6bdf,1c,00000003e4aa6bdd,00 -1232,1232,0,00000003e4aa6be0,1e,00000003e4aa6bde,00 -1233,1233,0,00000003e4aa6be1,1d,00000003e4aa6bdf,00 -1234,1234,0,00000003e4aa6be2,1c,00000003e4aa6be0,0f -1235,1235,0,00000003e4aa6be3,1c,00000003e4aa6be1,10 -1236,1236,0,00000003e4aa6be4,1c,00000003e4aa6be2,00 -1237,1237,0,00000003e4aa6be5,1c,00000003e4aa6be3,00 -1238,1238,0,00000003e4aa6be6,1c,00000003e4aa6be4,00 -1239,1239,0,00000003e4aa6be7,1c,00000003e4aa6be5,00 -1240,1240,0,00000003e4aa6be8,1c,00000003e4aa6be6,00 -1241,1241,0,00000003e4aa6be9,1c,00000003e4aa6be7,00 -1242,1242,0,00000003e4aa6bea,1c,00000003e4aa6be8,00 -1243,1243,0,00000003e4aa6beb,1c,00000003e4aa6be9,00 -1244,1244,0,00000003e4aa6bec,1c,00000003e4aa6bea,00 -1245,1245,0,00000003e4aa6bed,1c,00000003e4aa6beb,00 -1246,1246,0,00000003e4aa6bee,1c,00000003e4aa6bec,00 -1247,1247,0,00000003e4aa6bef,1c,00000003e4aa6bed,00 -1248,1248,0,00000003e4aa6bf0,1e,00000003e4aa6bee,00 -1249,1249,0,00000003e4aa6bf1,1d,00000003e4aa6bef,00 -1250,1250,0,00000003e4aa6bf2,1c,00000003e4aa6bf0,0f -1251,1251,0,00000003e4aa6bf3,1c,00000003e4aa6bf1,10 -1252,1252,0,00000003e4aa6bf4,1c,00000003e4aa6bf2,00 -1253,1253,0,00000003e4aa6bf5,1c,00000003e4aa6bf3,00 -1254,1254,0,00000003e4aa6bf6,1c,00000003e4aa6bf4,00 -1255,1255,0,00000003e4aa6bf7,1c,00000003e4aa6bf5,00 -1256,1256,0,00000003e4aa6bf8,1c,00000003e4aa6bf6,00 -1257,1257,0,00000003e4aa6bf9,1c,00000003e4aa6bf7,00 -1258,1258,0,00000003e4aa6bfa,1c,00000003e4aa6bf8,00 -1259,1259,0,00000003e4aa6bfb,1c,00000003e4aa6bf9,00 -1260,1260,0,00000003e4aa6bfc,1c,00000003e4aa6bfa,00 -1261,1261,0,00000003e4aa6bfd,1c,00000003e4aa6bfb,00 -1262,1262,0,00000003e4aa6bfe,1c,00000003e4aa6bfc,00 -1263,1263,0,00000003e4aa6bff,1c,00000003e4aa6bfd,00 -1264,1264,0,00000003e4aa6c00,1e,00000003e4aa6bfe,00 -1265,1265,0,00000003e4aa6c01,1d,00000003e4aa6bff,00 -1266,1266,0,00000003e4aa6c02,1c,00000003e4aa6c00,0f -1267,1267,0,00000003e4aa6c03,1c,00000003e4aa6c01,10 -1268,1268,0,00000003e4aa6c04,1c,00000003e4aa6c02,00 -1269,1269,0,00000003e4aa6c05,1c,00000003e4aa6c03,00 -1270,1270,0,00000003e4aa6c06,1c,00000003e4aa6c04,00 -1271,1271,0,00000003e4aa6c07,1c,00000003e4aa6c05,00 -1272,1272,0,00000003e4aa6c08,1c,00000003e4aa6c06,00 -1273,1273,0,00000003e4aa6c09,1c,00000003e4aa6c07,00 -1274,1274,0,00000003e4aa6c0a,1c,00000003e4aa6c08,00 -1275,1275,0,00000003e4aa6c0b,1c,00000003e4aa6c09,00 -1276,1276,0,00000003e4aa6c0c,1c,00000003e4aa6c0a,00 -1277,1277,0,00000003e4aa6c0d,1c,00000003e4aa6c0b,00 -1278,1278,0,00000003e4aa6c0e,1c,00000003e4aa6c0c,00 -1279,1279,0,00000003e4aa6c0f,1c,00000003e4aa6c0d,00 -1280,1280,0,00000003e4aa6c10,1e,00000003e4aa6c0e,00 -1281,1281,0,00000003e4aa6c11,1d,00000003e4aa6c0f,00 -1282,1282,0,00000003e4aa6c12,1c,00000003e4aa6c10,0f -1283,1283,0,00000003e4aa6c13,1c,00000003e4aa6c10,0f -1284,1284,0,00000003e4aa6c14,1c,00000003e4aa6c10,0f -1285,1285,0,00000003e4aa6c15,1c,00000003e4aa6c10,0f -1286,1286,0,00000003e4aa6c16,1c,00000003e4aa6c10,0f -1287,1287,0,00000003e4aa6c17,1c,00000003e4aa6c10,0f -1288,1288,0,00000003e4aa6c18,1c,00000003e4aa6c10,0f -1289,1289,0,00000003e4aa6c19,1c,00000003e4aa6c10,0f -1290,1290,0,00000003e4aa6c1a,1c,00000003e4aa6c10,0f -1291,1291,0,00000003e4aa6c1b,1c,00000003e4aa6c10,0f -1292,1292,0,00000003e4aa6c1c,1c,00000003e4aa6c10,0f -1293,1293,0,00000003e4aa6c1d,1c,00000003e4aa6c10,0f -1294,1294,0,00000003e4aa6c1e,1c,00000003e4aa6c10,0f -1295,1295,0,00000003e4aa6c1f,1c,00000003e4aa6c10,0f -1296,1296,0,00000003e4aa6c20,1e,00000003e4aa6c21,10 -1297,1297,0,00000003e4aa6c21,1d,00000003e4aa6c22,00 -1298,1298,0,00000003e4aa6c22,1c,00000003e4aa6c23,00 -1299,1299,0,00000003e4aa6c23,1c,00000003e4aa6c23,00 -1300,1300,0,00000003e4aa6c24,1c,00000003e4aa6c24,00 -1301,1301,0,00000003e4aa6c25,1c,00000003e4aa6c25,00 -1302,1302,0,00000003e4aa6c26,1c,00000003e4aa6c26,00 -1303,1303,0,00000003e4aa6c27,1c,00000003e4aa6c27,00 -1304,1304,0,00000003e4aa6c28,1c,00000003e4aa6c28,00 -1305,1305,0,00000003e4aa6c29,1c,00000003e4aa6c29,00 -1306,1306,0,00000003e4aa6c2a,1c,00000003e4aa6c2a,00 -1307,1307,0,00000003e4aa6c2b,1c,00000003e4aa6c2b,00 -1308,1308,0,00000003e4aa6c2c,1c,00000003e4aa6c2c,00 -1309,1309,0,00000003e4aa6c2d,1c,00000003e4aa6c2d,00 -1310,1310,0,00000003e4aa6c2e,1c,00000003e4aa6c2e,00 -1311,1311,0,00000003e4aa6c2f,1c,00000003e4aa6c2f,00 -1312,1312,0,00000003e4aa6c30,1e,00000003e4aa6c30,0f -1313,1313,0,00000003e4aa6c31,1d,00000003e4aa6c31,10 -1314,1314,0,00000003e4aa6c32,1c,00000003e4aa6c32,00 -1315,1315,0,00000003e4aa6c33,1c,00000003e4aa6c33,00 -1316,1316,0,00000003e4aa6c34,1c,00000003e4aa6c34,00 -1317,1317,0,00000003e4aa6c35,1c,00000003e4aa6c35,00 -1318,1318,0,00000003e4aa6c36,1c,00000003e4aa6c36,00 -1319,1319,0,00000003e4aa6c37,1c,00000003e4aa6c37,00 -1320,1320,0,00000003e4aa6c38,1c,00000003e4aa6c38,00 -1321,1321,0,00000003e4aa6c39,1c,00000003e4aa6c39,00 -1322,1322,0,00000003e4aa6c3a,1c,00000003e4aa6c3a,00 -1323,1323,0,00000003e4aa6c3b,1c,00000003e4aa6c3b,00 -1324,1324,0,00000003e4aa6c3c,1c,00000003e4aa6c3c,00 -1325,1325,0,00000003e4aa6c3d,1c,00000003e4aa6c3d,00 -1326,1326,0,00000003e4aa6c3e,1c,00000003e4aa6c3e,00 -1327,1327,0,00000003e4aa6c3f,1c,00000003e4aa6c3f,00 -1328,1328,0,00000003e4aa6c40,1e,00000003e4aa6c40,0f -1329,1329,0,00000003e4aa6c41,1d,00000003e4aa6c41,10 -1330,1330,0,00000003e4aa6c42,1c,00000003e4aa6c42,00 -1331,1331,0,00000003e4aa6c43,1c,00000003e4aa6c43,00 -1332,1332,0,00000003e4aa6c44,1c,00000003e4aa6c44,00 -1333,1333,0,00000003e4aa6c45,1c,00000003e4aa6c45,00 -1334,1334,0,00000003e4aa6c46,1c,00000003e4aa6c46,00 -1335,1335,0,00000003e4aa6c47,1c,00000003e4aa6c47,00 -1336,1336,0,00000003e4aa6c48,1c,00000003e4aa6c48,00 -1337,1337,0,00000003e4aa6c49,1c,00000003e4aa6c49,00 -1338,1338,0,00000003e4aa6c4a,1c,00000003e4aa6c4a,00 -1339,1339,0,00000003e4aa6c4b,1c,00000003e4aa6c4b,00 -1340,1340,0,00000003e4aa6c4c,1c,00000003e4aa6c4c,00 -1341,1341,0,00000003e4aa6c4d,1c,00000003e4aa6c4d,00 -1342,1342,0,00000003e4aa6c4e,1c,00000003e4aa6c4e,00 -1343,1343,0,00000003e4aa6c4f,1c,00000003e4aa6c4f,00 -1344,1344,0,00000003e4aa6c50,1e,00000003e4aa6c50,00 -1345,1345,0,00000003e4aa6c51,1d,00000003e4aa6c51,10 -1346,1346,0,00000003e4aa6c52,1c,00000003e4aa6c52,00 -1347,1347,0,00000003e4aa6c53,1c,00000003e4aa6c53,00 -1348,1348,0,00000003e4aa6c54,1c,00000003e4aa6c54,00 -1349,1349,0,00000003e4aa6c55,1c,00000003e4aa6c55,00 -1350,1350,0,00000003e4aa6c56,1c,00000003e4aa6c56,00 -1351,1351,0,00000003e4aa6c57,1c,00000003e4aa6c57,00 -1352,1352,0,00000003e4aa6c58,1c,00000003e4aa6c58,00 -1353,1353,0,00000003e4aa6c59,1c,00000003e4aa6c59,00 -1354,1354,0,00000003e4aa6c5a,1c,00000003e4aa6c5a,00 -1355,1355,0,00000003e4aa6c5b,1c,00000003e4aa6c5b,00 -1356,1356,0,00000003e4aa6c5c,1c,00000003e4aa6c5c,00 -1357,1357,0,00000003e4aa6c5d,1c,00000003e4aa6c5d,00 -1358,1358,0,00000003e4aa6c5e,1c,00000003e4aa6c5e,00 -1359,1359,0,00000003e4aa6c5f,1c,00000003e4aa6c5f,00 -1360,1360,0,00000003e4aa6c60,1e,00000003e4aa6c60,00 -1361,1361,0,00000003e4aa6c61,1d,00000003e4aa6c61,00 -1362,1362,0,00000003e4aa6c62,1c,00000003e4aa6c62,00 -1363,1363,0,00000003e4aa6c63,1c,00000003e4aa6c63,00 -1364,1364,0,00000003e4aa6c64,1c,00000003e4aa6c64,00 -1365,1365,0,00000003e4aa6c65,1c,00000003e4aa6c65,00 -1366,1366,0,00000003e4aa6c66,1c,00000003e4aa6c66,00 -1367,1367,0,00000003e4aa6c67,1c,00000003e4aa6c67,00 -1368,1368,0,00000003e4aa6c68,1c,00000003e4aa6c68,00 -1369,1369,0,00000003e4aa6c69,1c,00000003e4aa6c69,00 -1370,1370,0,00000003e4aa6c6a,1c,00000003e4aa6c6a,00 -1371,1371,0,00000003e4aa6c6b,1c,00000003e4aa6c6b,00 -1372,1372,0,00000003e4aa6c6c,1c,00000003e4aa6c6c,00 -1373,1373,0,00000003e4aa6c6d,1c,00000003e4aa6c6d,00 -1374,1374,0,00000003e4aa6c6e,1c,00000003e4aa6c6e,00 -1375,1375,0,00000003e4aa6c6f,1c,00000003e4aa6c6f,00 -1376,1376,0,00000003e4aa6c70,1e,00000003e4aa6c70,0f -1377,1377,0,00000003e4aa6c71,1d,00000003e4aa6c71,10 -1378,1378,0,00000003e4aa6c72,1c,00000003e4aa6c72,00 -1379,1379,0,00000003e4aa6c73,1c,00000003e4aa6c73,00 -1380,1380,0,00000003e4aa6c74,1c,00000003e4aa6c74,00 -1381,1381,0,00000003e4aa6c75,1c,00000003e4aa6c75,00 -1382,1382,0,00000003e4aa6c76,1c,00000003e4aa6c76,00 -1383,1383,0,00000003e4aa6c77,1c,00000003e4aa6c77,00 -1384,1384,0,00000003e4aa6c78,1c,00000003e4aa6c78,00 -1385,1385,0,00000003e4aa6c79,1c,00000003e4aa6c79,00 -1386,1386,0,00000003e4aa6c7a,1c,00000003e4aa6c7a,00 -1387,1387,0,00000003e4aa6c7b,1c,00000003e4aa6c7b,00 -1388,1388,0,00000003e4aa6c7c,1c,00000003e4aa6c7c,00 -1389,1389,0,00000003e4aa6c7d,1c,00000003e4aa6c7d,00 -1390,1390,0,00000003e4aa6c7e,1c,00000003e4aa6c7e,00 -1391,1391,0,00000003e4aa6c7f,1c,00000003e4aa6c7f,00 -1392,1392,0,00000003e4aa6c80,1e,00000003e4aa6c80,0f -1393,1393,0,00000003e4aa6c81,1d,00000003e4aa6c81,10 -1394,1394,0,00000003e4aa6c82,1c,00000003e4aa6c82,00 -1395,1395,0,00000003e4aa6c83,1c,00000003e4aa6c83,00 -1396,1396,0,00000003e4aa6c84,1c,00000003e4aa6c84,00 -1397,1397,0,00000003e4aa6c85,1c,00000003e4aa6c85,00 -1398,1398,0,00000003e4aa6c86,1c,00000003e4aa6c86,00 -1399,1399,0,00000003e4aa6c87,1c,00000003e4aa6c87,00 -1400,1400,0,00000003e4aa6c88,1c,00000003e4aa6c88,00 -1401,1401,0,00000003e4aa6c89,1c,00000003e4aa6c89,00 -1402,1402,0,00000003e4aa6c8a,1c,00000003e4aa6c8a,00 -1403,1403,0,00000003e4aa6c8b,1c,00000003e4aa6c8b,00 -1404,1404,0,00000003e4aa6c8c,1c,00000003e4aa6c8c,00 -1405,1405,0,00000003e4aa6c8d,1c,00000003e4aa6c8d,00 -1406,1406,0,00000003e4aa6c8e,1c,00000003e4aa6c8e,00 -1407,1407,0,00000003e4aa6c8f,1c,00000003e4aa6c8f,00 -1408,1408,0,00000003e4aa6c90,1e,00000003e4aa6c90,0f -1409,1409,0,00000003e4aa6c91,1d,00000003e4aa6c91,10 -1410,1410,0,00000003e4aa6c92,1c,00000003e4aa6c92,00 -1411,1411,0,00000003e4aa6c93,1c,00000003e4aa6c93,00 -1412,1412,0,00000003e4aa6c94,1c,00000003e4aa6c94,00 -1413,1413,0,00000003e4aa6c95,1c,00000003e4aa6c95,00 -1414,1414,0,00000003e4aa6c96,1c,00000003e4aa6c96,00 -1415,1415,0,00000003e4aa6c97,1c,00000003e4aa6c97,00 -1416,1416,0,00000003e4aa6c98,1c,00000003e4aa6c98,00 -1417,1417,0,00000003e4aa6c99,1c,00000003e4aa6c99,00 -1418,1418,0,00000003e4aa6c9a,1c,00000003e4aa6c9a,00 -1419,1419,0,00000003e4aa6c9b,1c,00000003e4aa6c9b,00 -1420,1420,0,00000003e4aa6c9c,1c,00000003e4aa6c9c,00 -1421,1421,0,00000003e4aa6c9d,1c,00000003e4aa6c9d,00 -1422,1422,0,00000003e4aa6c9e,1c,00000003e4aa6c9e,00 -1423,1423,0,00000003e4aa6c9f,1c,00000003e4aa6c9f,00 -1424,1424,0,00000003e4aa6ca0,1e,00000003e4aa6ca0,00 -1425,1425,0,00000003e4aa6ca1,1d,00000003e4aa6ca1,10 -1426,1426,0,00000003e4aa6ca2,1c,00000003e4aa6ca2,00 -1427,1427,0,00000003e4aa6ca3,1c,00000003e4aa6ca3,00 -1428,1428,0,00000003e4aa6ca4,1c,00000003e4aa6ca4,00 -1429,1429,0,00000003e4aa6ca5,1c,00000003e4aa6ca5,00 -1430,1430,0,00000003e4aa6ca6,1c,00000003e4aa6ca6,00 -1431,1431,0,00000003e4aa6ca7,1c,00000003e4aa6ca7,00 -1432,1432,0,00000003e4aa6ca8,1c,00000003e4aa6ca8,00 -1433,1433,0,00000003e4aa6ca9,1c,00000003e4aa6ca9,00 -1434,1434,0,00000003e4aa6caa,1c,00000003e4aa6caa,00 -1435,1435,0,00000003e4aa6cab,1c,00000003e4aa6cab,00 -1436,1436,0,00000003e4aa6cac,1c,00000003e4aa6cac,00 -1437,1437,0,00000003e4aa6cad,1c,00000003e4aa6cad,00 -1438,1438,0,00000003e4aa6cae,1c,00000003e4aa6cae,00 -1439,1439,0,00000003e4aa6caf,1c,00000003e4aa6caf,00 -1440,1440,0,00000003e4aa6cb0,1e,00000003e4aa6cb0,00 -1441,1441,0,00000003e4aa6cb1,1d,00000003e4aa6cb1,00 -1442,1442,0,00000003e4aa6cb2,1c,00000003e4aa6cb2,00 -1443,1443,0,00000003e4aa6cb3,1c,00000003e4aa6cb3,00 -1444,1444,0,00000003e4aa6cb4,1c,00000003e4aa6cb4,00 -1445,1445,0,00000003e4aa6cb5,1c,00000003e4aa6cb5,00 -1446,1446,0,00000003e4aa6cb6,1c,00000003e4aa6cb6,00 -1447,1447,0,00000003e4aa6cb7,1c,00000003e4aa6cb7,00 -1448,1448,0,00000003e4aa6cb8,1c,00000003e4aa6cb8,00 -1449,1449,0,00000003e4aa6cb9,1c,00000003e4aa6cb9,00 -1450,1450,0,00000003e4aa6cba,1c,00000003e4aa6cba,00 -1451,1451,0,00000003e4aa6cbb,1c,00000003e4aa6cbb,00 -1452,1452,0,00000003e4aa6cbc,1c,00000003e4aa6cbc,00 -1453,1453,0,00000003e4aa6cbd,1c,00000003e4aa6cbd,00 -1454,1454,0,00000003e4aa6cbe,1c,00000003e4aa6cbe,00 -1455,1455,0,00000003e4aa6cbf,1c,00000003e4aa6cbf,00 -1456,1456,0,00000003e4aa6cc0,1e,00000003e4aa6cc0,0f -1457,1457,0,00000003e4aa6cc1,1d,00000003e4aa6cc1,10 -1458,1458,0,00000003e4aa6cc2,1c,00000003e4aa6cc2,00 -1459,1459,0,00000003e4aa6cc3,1c,00000003e4aa6cc3,00 -1460,1460,0,00000003e4aa6cc4,1c,00000003e4aa6cc4,00 -1461,1461,0,00000003e4aa6cc5,1c,00000003e4aa6cc5,00 -1462,1462,0,00000003e4aa6cc6,1c,00000003e4aa6cc6,00 -1463,1463,0,00000003e4aa6cc7,1c,00000003e4aa6cc7,00 -1464,1464,0,00000003e4aa6cc8,1c,00000003e4aa6cc8,00 -1465,1465,0,00000003e4aa6cc9,1c,00000003e4aa6cc9,00 -1466,1466,0,00000003e4aa6cca,1c,00000003e4aa6cca,00 -1467,1467,0,00000003e4aa6ccb,1c,00000003e4aa6ccb,00 -1468,1468,0,00000003e4aa6ccc,1c,00000003e4aa6ccc,00 -1469,1469,0,00000003e4aa6ccd,1c,00000003e4aa6ccd,00 -1470,1470,0,00000003e4aa6cce,1c,00000003e4aa6cce,00 -1471,1471,0,00000003e4aa6ccf,1c,00000003e4aa6ccf,00 -1472,1472,0,00000003e4aa6cd0,1e,00000003e4aa6cd0,0f -1473,1473,0,00000003e4aa6cd1,1d,00000003e4aa6cd1,10 -1474,1474,0,00000003e4aa6cd2,1c,00000003e4aa6cd2,00 -1475,1475,0,00000003e4aa6cd3,1c,00000003e4aa6cd3,00 -1476,1476,0,00000003e4aa6cd4,1c,00000003e4aa6cd4,00 -1477,1477,0,00000003e4aa6cd5,1c,00000003e4aa6cd5,00 -1478,1478,0,00000003e4aa6cd6,1c,00000003e4aa6cd6,00 -1479,1479,0,00000003e4aa6cd7,1c,00000003e4aa6cd7,00 -1480,1480,0,00000003e4aa6cd8,1c,00000003e4aa6cd8,00 -1481,1481,0,00000003e4aa6cd9,1c,00000003e4aa6cd9,00 -1482,1482,0,00000003e4aa6cda,1c,00000003e4aa6cda,00 -1483,1483,0,00000003e4aa6cdb,1c,00000003e4aa6cdb,00 -1484,1484,0,00000003e4aa6cdc,1c,00000003e4aa6cdc,00 -1485,1485,0,00000003e4aa6cdd,1c,00000003e4aa6cdd,00 -1486,1486,0,00000003e4aa6cde,1c,00000003e4aa6cde,00 -1487,1487,0,00000003e4aa6cdf,1c,00000003e4aa6cdf,00 -1488,1488,0,00000003e4aa6ce0,1e,00000003e4aa6ce0,0f -1489,1489,0,00000003e4aa6ce1,1d,00000003e4aa6ce1,10 -1490,1490,0,00000003e4aa6ce2,1c,00000003e4aa6ce2,00 -1491,1491,0,00000003e4aa6ce3,1c,00000003e4aa6ce3,00 -1492,1492,0,00000003e4aa6ce4,1c,00000003e4aa6ce4,00 -1493,1493,0,00000003e4aa6ce5,1c,00000003e4aa6ce5,00 -1494,1494,0,00000003e4aa6ce6,1c,00000003e4aa6ce6,00 -1495,1495,0,00000003e4aa6ce7,1c,00000003e4aa6ce7,00 -1496,1496,0,00000003e4aa6ce8,1c,00000003e4aa6ce8,00 -1497,1497,0,00000003e4aa6ce9,1c,00000003e4aa6ce9,00 -1498,1498,0,00000003e4aa6cea,1c,00000003e4aa6cea,00 -1499,1499,0,00000003e4aa6ceb,1c,00000003e4aa6ceb,00 -1500,1500,0,00000003e4aa6cec,1c,00000003e4aa6cec,00 -1501,1501,0,00000003e4aa6ced,1c,00000003e4aa6ced,00 -1502,1502,0,00000003e4aa6cee,1c,00000003e4aa6cee,00 -1503,1503,0,00000003e4aa6cef,1c,00000003e4aa6cef,00 -1504,1504,0,00000003e4aa6cf0,1e,00000003e4aa6cf0,0f -1505,1505,0,00000003e4aa6cf1,1d,00000003e4aa6cf1,10 -1506,1506,0,00000003e4aa6cf2,1c,00000003e4aa6cf2,00 -1507,1507,0,00000003e4aa6cf3,1c,00000003e4aa6cf3,00 -1508,1508,0,00000003e4aa6cf4,1c,00000003e4aa6cf4,00 -1509,1509,0,00000003e4aa6cf5,1c,00000003e4aa6cf5,00 -1510,1510,0,00000003e4aa6cf6,1c,00000003e4aa6cf6,00 -1511,1511,0,00000003e4aa6cf7,1c,00000003e4aa6cf7,00 -1512,1512,0,00000003e4aa6cf8,1c,00000003e4aa6cf8,00 -1513,1513,0,00000003e4aa6cf9,1c,00000003e4aa6cf9,00 -1514,1514,0,00000003e4aa6cfa,1c,00000003e4aa6cfa,00 -1515,1515,0,00000003e4aa6cfb,1c,00000003e4aa6cfb,00 -1516,1516,0,00000003e4aa6cfc,1c,00000003e4aa6cfc,00 -1517,1517,0,00000003e4aa6cfd,1c,00000003e4aa6cfd,00 -1518,1518,0,00000003e4aa6cfe,1c,00000003e4aa6cfe,00 -1519,1519,0,00000003e4aa6cff,1c,00000003e4aa6cff,00 -1520,1520,0,00000003e4aa6d00,1e,00000003e4aa6d00,0f -1521,1521,0,00000003e4aa6d01,1d,00000003e4aa6d01,10 -1522,1522,0,00000003e4aa6d02,1c,00000003e4aa6d02,00 -1523,1523,0,00000003e4aa6d03,1c,00000003e4aa6d03,00 -1524,1524,0,00000003e4aa6d04,1c,00000003e4aa6d04,00 -1525,1525,0,00000003e4aa6d05,1c,00000003e4aa6d05,00 -1526,1526,0,00000003e4aa6d06,1c,00000003e4aa6d06,00 -1527,1527,0,00000003e4aa6d07,1c,00000003e4aa6d07,00 -1528,1528,0,00000003e4aa6d08,1c,00000003e4aa6d08,00 -1529,1529,0,00000003e4aa6d09,1c,00000003e4aa6d09,00 -1530,1530,0,00000003e4aa6d0a,1c,00000003e4aa6d0a,00 -1531,1531,0,00000003e4aa6d0b,1c,00000003e4aa6d0b,00 -1532,1532,0,00000003e4aa6d0c,1c,00000003e4aa6d0c,00 -1533,1533,0,00000003e4aa6d0d,1c,00000003e4aa6d0d,00 -1534,1534,0,00000003e4aa6d0e,1c,00000003e4aa6d0e,00 -1535,1535,0,00000003e4aa6d0f,1c,00000003e4aa6d0f,00 -1536,1536,0,00000003e4aa6d10,1e,00000003e4aa6d10,00 -1537,1537,0,00000003e4aa6d11,1d,00000003e4aa6d11,10 -1538,1538,0,00000003e4aa6d12,1c,00000003e4aa6d12,00 -1539,1539,0,00000003e4aa6d13,1c,00000003e4aa6d13,00 -1540,1540,0,00000003e4aa6d14,1c,00000003e4aa6d14,00 -1541,1541,0,00000003e4aa6d15,1c,00000003e4aa6d15,00 -1542,1542,0,00000003e4aa6d16,1c,00000003e4aa6d16,00 -1543,1543,0,00000003e4aa6d17,1c,00000003e4aa6d17,00 -1544,1544,0,00000003e4aa6d18,1c,00000003e4aa6d18,00 -1545,1545,0,00000003e4aa6d19,1c,00000003e4aa6d19,00 -1546,1546,0,00000003e4aa6d1a,1c,00000003e4aa6d1a,00 -1547,1547,0,00000003e4aa6d1b,1c,00000003e4aa6d1b,00 -1548,1548,0,00000003e4aa6d1c,1c,00000003e4aa6d1c,00 -1549,1549,0,00000003e4aa6d1d,1c,00000003e4aa6d1d,00 -1550,1550,0,00000003e4aa6d1e,1c,00000003e4aa6d1e,00 -1551,1551,0,00000003e4aa6d1f,1c,00000003e4aa6d1f,00 -1552,1552,0,00000003e4aa6d20,1e,00000003e4aa6d20,0f -1553,1553,0,00000003e4aa6d21,1d,00000003e4aa6d21,10 -1554,1554,0,00000003e4aa6d22,1c,00000003e4aa6d22,00 -1555,1555,0,00000003e4aa6d23,1c,00000003e4aa6d23,00 -1556,1556,0,00000003e4aa6d24,1c,00000003e4aa6d24,00 -1557,1557,0,00000003e4aa6d25,1c,00000003e4aa6d25,00 -1558,1558,0,00000003e4aa6d26,1c,00000003e4aa6d26,00 -1559,1559,0,00000003e4aa6d27,1c,00000003e4aa6d27,00 -1560,1560,0,00000003e4aa6d28,1c,00000003e4aa6d28,00 -1561,1561,0,00000003e4aa6d29,1c,00000003e4aa6d29,00 -1562,1562,0,00000003e4aa6d2a,1c,00000003e4aa6d2a,00 -1563,1563,0,00000003e4aa6d2b,1c,00000003e4aa6d2b,00 -1564,1564,0,00000003e4aa6d2c,1c,00000003e4aa6d2c,00 -1565,1565,0,00000003e4aa6d2d,1c,00000003e4aa6d2d,00 -1566,1566,0,00000003e4aa6d2e,1c,00000003e4aa6d2e,00 -1567,1567,0,00000003e4aa6d2f,1c,00000003e4aa6d2f,00 -1568,1568,0,00000003e4aa6d30,1e,00000003e4aa6d30,00 -1569,1569,0,00000003e4aa6d31,1d,00000003e4aa6d31,10 -1570,1570,0,00000003e4aa6d32,1c,00000003e4aa6d32,00 -1571,1571,0,00000003e4aa6d33,1c,00000003e4aa6d33,00 -1572,1572,0,00000003e4aa6d34,1c,00000003e4aa6d34,00 -1573,1573,0,00000003e4aa6d35,1c,00000003e4aa6d35,00 -1574,1574,0,00000003e4aa6d36,1c,00000003e4aa6d36,00 -1575,1575,0,00000003e4aa6d37,1c,00000003e4aa6d37,00 -1576,1576,0,00000003e4aa6d38,1c,00000003e4aa6d38,00 -1577,1577,0,00000003e4aa6d39,1c,00000003e4aa6d39,00 -1578,1578,0,00000003e4aa6d3a,1c,00000003e4aa6d3a,00 -1579,1579,0,00000003e4aa6d3b,1c,00000003e4aa6d3b,00 -1580,1580,0,00000003e4aa6d3c,1c,00000003e4aa6d3c,00 -1581,1581,0,00000003e4aa6d3d,1c,00000003e4aa6d3d,00 -1582,1582,0,00000003e4aa6d3e,1c,00000003e4aa6d3e,00 -1583,1583,0,00000003e4aa6d3f,1c,00000003e4aa6d3f,00 -1584,1584,0,00000003e4aa6d40,1e,00000003e4aa6d40,00 -1585,1585,0,00000003e4aa6d41,1d,00000003e4aa6d41,10 -1586,1586,0,00000003e4aa6d42,1c,00000003e4aa6d42,00 -1587,1587,0,00000003e4aa6d43,1c,00000003e4aa6d43,00 -1588,1588,0,00000003e4aa6d44,1c,00000003e4aa6d44,00 -1589,1589,0,00000003e4aa6d45,1c,00000003e4aa6d45,00 -1590,1590,0,00000003e4aa6d46,1c,00000003e4aa6d46,00 -1591,1591,0,00000003e4aa6d47,1c,00000003e4aa6d47,00 -1592,1592,0,00000003e4aa6d48,1c,00000003e4aa6d48,00 -1593,1593,0,00000003e4aa6d49,1c,00000003e4aa6d49,00 -1594,1594,0,00000003e4aa6d4a,1c,00000003e4aa6d4a,00 -1595,1595,0,00000003e4aa6d4b,1c,00000003e4aa6d4b,00 -1596,1596,0,00000003e4aa6d4c,1c,00000003e4aa6d4c,00 -1597,1597,0,00000003e4aa6d4d,1c,00000003e4aa6d4d,00 -1598,1598,0,00000003e4aa6d4e,1c,00000003e4aa6d4e,00 -1599,1599,0,00000003e4aa6d4f,1c,00000003e4aa6d4f,00 -1600,1600,0,00000003e4aa6d50,1e,00000003e4aa6d50,00 -1601,1601,0,00000003e4aa6d51,1d,00000003e4aa6d51,10 -1602,1602,0,00000003e4aa6d52,1c,00000003e4aa6d52,00 -1603,1603,0,00000003e4aa6d53,1c,00000003e4aa6d53,00 -1604,1604,0,00000003e4aa6d54,1c,00000003e4aa6d54,00 -1605,1605,0,00000003e4aa6d55,1c,00000003e4aa6d55,00 -1606,1606,0,00000003e4aa6d56,1c,00000003e4aa6d56,00 -1607,1607,0,00000003e4aa6d57,1c,00000003e4aa6d57,00 -1608,1608,0,00000003e4aa6d58,1c,00000003e4aa6d58,00 -1609,1609,0,00000003e4aa6d59,1c,00000003e4aa6d59,00 -1610,1610,0,00000003e4aa6d5a,1c,00000003e4aa6d5a,00 -1611,1611,0,00000003e4aa6d5b,1c,00000003e4aa6d5b,00 -1612,1612,0,00000003e4aa6d5c,1c,00000003e4aa6d5c,00 -1613,1613,0,00000003e4aa6d5d,1c,00000003e4aa6d5d,00 -1614,1614,0,00000003e4aa6d5e,1c,00000003e4aa6d5e,00 -1615,1615,0,00000003e4aa6d5f,1c,00000003e4aa6d5f,00 -1616,1616,0,00000003e4aa6d60,1e,00000003e4aa6d60,0f -1617,1617,0,00000003e4aa6d61,1d,00000003e4aa6d61,10 -1618,1618,0,00000003e4aa6d62,1c,00000003e4aa6d62,00 -1619,1619,0,00000003e4aa6d63,1c,00000003e4aa6d63,00 -1620,1620,0,00000003e4aa6d64,1c,00000003e4aa6d64,00 -1621,1621,0,00000003e4aa6d65,1c,00000003e4aa6d65,00 -1622,1622,0,00000003e4aa6d66,1c,00000003e4aa6d66,00 -1623,1623,0,00000003e4aa6d67,1c,00000003e4aa6d67,00 -1624,1624,0,00000003e4aa6d68,1c,00000003e4aa6d68,00 -1625,1625,0,00000003e4aa6d69,1c,00000003e4aa6d69,00 -1626,1626,0,00000003e4aa6d6a,1c,00000003e4aa6d6a,00 -1627,1627,0,00000003e4aa6d6b,1c,00000003e4aa6d6b,00 -1628,1628,0,00000003e4aa6d6c,1c,00000003e4aa6d6c,00 -1629,1629,0,00000003e4aa6d6d,1c,00000003e4aa6d6d,00 -1630,1630,0,00000003e4aa6d6e,1c,00000003e4aa6d6e,00 -1631,1631,0,00000003e4aa6d6f,1c,00000003e4aa6d6f,00 -1632,1632,0,00000003e4aa6d70,1e,00000003e4aa6d70,0f -1633,1633,0,00000003e4aa6d71,1d,00000003e4aa6d71,10 -1634,1634,0,00000003e4aa6d72,1c,00000003e4aa6d72,00 -1635,1635,0,00000003e4aa6d73,1c,00000003e4aa6d73,00 -1636,1636,0,00000003e4aa6d74,1c,00000003e4aa6d74,00 -1637,1637,0,00000003e4aa6d75,1c,00000003e4aa6d75,00 -1638,1638,0,00000003e4aa6d76,1c,00000003e4aa6d76,00 -1639,1639,0,00000003e4aa6d77,1c,00000003e4aa6d77,00 -1640,1640,0,00000003e4aa6d78,1c,00000003e4aa6d78,00 -1641,1641,0,00000003e4aa6d79,1c,00000003e4aa6d79,00 -1642,1642,0,00000003e4aa6d7a,1c,00000003e4aa6d7a,00 -1643,1643,0,00000003e4aa6d7b,1c,00000003e4aa6d7b,00 -1644,1644,0,00000003e4aa6d7c,1c,00000003e4aa6d7c,00 -1645,1645,0,00000003e4aa6d7d,1c,00000003e4aa6d7d,00 -1646,1646,0,00000003e4aa6d7e,1c,00000003e4aa6d7e,00 -1647,1647,0,00000003e4aa6d7f,1c,00000003e4aa6d7f,00 -1648,1648,0,00000003e4aa6d80,1e,00000003e4aa6d80,0f -1649,1649,0,00000003e4aa6d81,1d,00000003e4aa6d81,10 -1650,1650,0,00000003e4aa6d82,1c,00000003e4aa6d82,00 -1651,1651,0,00000003e4aa6d83,1c,00000003e4aa6d83,00 -1652,1652,0,00000003e4aa6d84,1c,00000003e4aa6d84,00 -1653,1653,0,00000003e4aa6d85,1c,00000003e4aa6d85,00 -1654,1654,0,00000003e4aa6d86,1c,00000003e4aa6d86,00 -1655,1655,0,00000003e4aa6d87,1c,00000003e4aa6d87,00 -1656,1656,0,00000003e4aa6d88,1c,00000003e4aa6d88,00 -1657,1657,0,00000003e4aa6d89,1c,00000003e4aa6d89,00 -1658,1658,0,00000003e4aa6d8a,1c,00000003e4aa6d8a,00 -1659,1659,0,00000003e4aa6d8b,1c,00000003e4aa6d8b,00 -1660,1660,0,00000003e4aa6d8c,1c,00000003e4aa6d8c,00 -1661,1661,0,00000003e4aa6d8d,1c,00000003e4aa6d8d,00 -1662,1662,0,00000003e4aa6d8e,1c,00000003e4aa6d8e,00 -1663,1663,0,00000003e4aa6d8f,1c,00000003e4aa6d8f,00 -1664,1664,0,00000003e4aa6d90,1e,00000003e4aa6d90,00 -1665,1665,0,00000003e4aa6d91,1d,00000003e4aa6d91,10 -1666,1666,0,00000003e4aa6d92,1c,00000003e4aa6d92,00 -1667,1667,0,00000003e4aa6d93,1c,00000003e4aa6d93,00 -1668,1668,0,00000003e4aa6d94,1c,00000003e4aa6d94,00 -1669,1669,0,00000003e4aa6d95,1c,00000003e4aa6d95,00 -1670,1670,0,00000003e4aa6d96,1c,00000003e4aa6d96,00 -1671,1671,0,00000003e4aa6d97,1c,00000003e4aa6d97,00 -1672,1672,0,00000003e4aa6d98,1c,00000003e4aa6d98,00 -1673,1673,0,00000003e4aa6d99,1c,00000003e4aa6d99,00 -1674,1674,0,00000003e4aa6d9a,1c,00000003e4aa6d9a,00 -1675,1675,0,00000003e4aa6d9b,1c,00000003e4aa6d9b,00 -1676,1676,0,00000003e4aa6d9c,1c,00000003e4aa6d9c,00 -1677,1677,0,00000003e4aa6d9d,1c,00000003e4aa6d9d,00 -1678,1678,0,00000003e4aa6d9e,1c,00000003e4aa6d9e,00 -1679,1679,0,00000003e4aa6d9f,1c,00000003e4aa6d9f,00 -1680,1680,0,00000003e4aa6da0,1e,00000003e4aa6da0,0f -1681,1681,0,00000003e4aa6da1,1d,00000003e4aa6da1,10 -1682,1682,0,00000003e4aa6da2,1c,00000003e4aa6da2,00 -1683,1683,0,00000003e4aa6da3,1c,00000003e4aa6da3,00 -1684,1684,0,00000003e4aa6da4,1c,00000003e4aa6da4,00 -1685,1685,0,00000003e4aa6da5,1c,00000003e4aa6da5,00 -1686,1686,0,00000003e4aa6da6,1c,00000003e4aa6da6,00 -1687,1687,0,00000003e4aa6da7,1c,00000003e4aa6da7,00 -1688,1688,0,00000003e4aa6da8,1c,00000003e4aa6da8,00 -1689,1689,0,00000003e4aa6da9,1c,00000003e4aa6da9,00 -1690,1690,0,00000003e4aa6daa,1c,00000003e4aa6daa,00 -1691,1691,0,00000003e4aa6dab,1c,00000003e4aa6dab,00 -1692,1692,0,00000003e4aa6dac,1c,00000003e4aa6dac,00 -1693,1693,0,00000003e4aa6dad,1c,00000003e4aa6dad,00 -1694,1694,0,00000003e4aa6dae,1c,00000003e4aa6dae,00 -1695,1695,0,00000003e4aa6daf,1c,00000003e4aa6daf,00 -1696,1696,0,00000003e4aa6db0,1e,00000003e4aa6db0,0f -1697,1697,0,00000003e4aa6db1,1d,00000003e4aa6db1,10 -1698,1698,0,00000003e4aa6db2,1c,00000003e4aa6db2,00 -1699,1699,0,00000003e4aa6db3,1c,00000003e4aa6db3,00 -1700,1700,0,00000003e4aa6db4,1c,00000003e4aa6db4,00 -1701,1701,0,00000003e4aa6db5,1c,00000003e4aa6db5,00 -1702,1702,0,00000003e4aa6db6,1c,00000003e4aa6db6,00 -1703,1703,0,00000003e4aa6db7,1c,00000003e4aa6db7,00 -1704,1704,0,00000003e4aa6db8,1c,00000003e4aa6db8,00 -1705,1705,0,00000003e4aa6db9,1c,00000003e4aa6db9,00 -1706,1706,0,00000003e4aa6dba,1c,00000003e4aa6dba,00 -1707,1707,0,00000003e4aa6dbb,1c,00000003e4aa6dbb,00 -1708,1708,0,00000003e4aa6dbc,1c,00000003e4aa6dbc,00 -1709,1709,0,00000003e4aa6dbd,1c,00000003e4aa6dbd,00 -1710,1710,0,00000003e4aa6dbe,1c,00000003e4aa6dbe,00 -1711,1711,0,00000003e4aa6dbf,1c,00000003e4aa6dbf,00 -1712,1712,0,00000003e4aa6dc0,1e,00000003e4aa6dc0,0f -1713,1713,0,00000003e4aa6dc1,1d,00000003e4aa6dc1,10 -1714,1714,0,00000003e4aa6dc2,1c,00000003e4aa6dc2,00 -1715,1715,0,00000003e4aa6dc3,1c,00000003e4aa6dc3,00 -1716,1716,0,00000003e4aa6dc4,1c,00000003e4aa6dc4,00 -1717,1717,0,00000003e4aa6dc5,1c,00000003e4aa6dc5,00 -1718,1718,0,00000003e4aa6dc6,1c,00000003e4aa6dc6,00 -1719,1719,0,00000003e4aa6dc7,1c,00000003e4aa6dc7,00 -1720,1720,0,00000003e4aa6dc8,1c,00000003e4aa6dc8,00 -1721,1721,0,00000003e4aa6dc9,1c,00000003e4aa6dc9,00 -1722,1722,0,00000003e4aa6dca,1c,00000003e4aa6dca,00 -1723,1723,0,00000003e4aa6dcb,1c,00000003e4aa6dcb,00 -1724,1724,0,00000003e4aa6dcc,1c,00000003e4aa6dcc,00 -1725,1725,0,00000003e4aa6dcd,1c,00000003e4aa6dcd,00 -1726,1726,0,00000003e4aa6dce,1c,00000003e4aa6dce,00 -1727,1727,0,00000003e4aa6dcf,1c,00000003e4aa6dcf,00 -1728,1728,0,00000003e4aa6dd0,1e,00000003e4aa6dd0,00 -1729,1729,0,00000003e4aa6dd1,1d,00000003e4aa6dd1,10 -1730,1730,0,00000003e4aa6dd2,1c,00000003e4aa6dd2,00 -1731,1731,0,00000003e4aa6dd3,1c,00000003e4aa6dd3,00 -1732,1732,0,00000003e4aa6dd4,1c,00000003e4aa6dd4,00 -1733,1733,0,00000003e4aa6dd5,1c,00000003e4aa6dd5,00 -1734,1734,0,00000003e4aa6dd6,1c,00000003e4aa6dd6,00 -1735,1735,0,00000003e4aa6dd7,1c,00000003e4aa6dd7,00 -1736,1736,0,00000003e4aa6dd8,1c,00000003e4aa6dd8,00 -1737,1737,0,00000003e4aa6dd9,1c,00000003e4aa6dd9,00 -1738,1738,0,00000003e4aa6dda,1c,00000003e4aa6dda,00 -1739,1739,0,00000003e4aa6ddb,1c,00000003e4aa6ddb,00 -1740,1740,0,00000003e4aa6ddc,1c,00000003e4aa6ddc,00 -1741,1741,0,00000003e4aa6ddd,1c,00000003e4aa6ddd,00 -1742,1742,0,00000003e4aa6dde,1c,00000003e4aa6dde,00 -1743,1743,0,00000003e4aa6ddf,1c,00000003e4aa6ddf,00 -1744,1744,0,00000003e4aa6de0,1e,00000003e4aa6de0,0f -1745,1745,0,00000003e4aa6de1,1d,00000003e4aa6de1,10 -1746,1746,0,00000003e4aa6de2,1c,00000003e4aa6de2,00 -1747,1747,0,00000003e4aa6de3,1c,00000003e4aa6de3,00 -1748,1748,0,00000003e4aa6de4,1c,00000003e4aa6de4,00 -1749,1749,0,00000003e4aa6de5,1c,00000003e4aa6de5,00 -1750,1750,0,00000003e4aa6de6,1c,00000003e4aa6de6,00 -1751,1751,0,00000003e4aa6de7,1c,00000003e4aa6de7,00 -1752,1752,0,00000003e4aa6de8,1c,00000003e4aa6de8,00 -1753,1753,0,00000003e4aa6de9,1c,00000003e4aa6de9,00 -1754,1754,0,00000003e4aa6dea,1c,00000003e4aa6dea,00 -1755,1755,0,00000003e4aa6deb,1c,00000003e4aa6deb,00 -1756,1756,0,00000003e4aa6dec,1c,00000003e4aa6dec,00 -1757,1757,0,00000003e4aa6ded,1c,00000003e4aa6ded,00 -1758,1758,0,00000003e4aa6dee,1c,00000003e4aa6dee,00 -1759,1759,0,00000003e4aa6def,1c,00000003e4aa6def,00 -1760,1760,0,00000003e4aa6df0,1e,00000003e4aa6df0,0f -1761,1761,0,00000003e4aa6df1,1d,00000003e4aa6df1,10 -1762,1762,0,00000003e4aa6df2,1c,00000003e4aa6df2,00 -1763,1763,0,00000003e4aa6df3,1c,00000003e4aa6df3,00 -1764,1764,0,00000003e4aa6df4,1c,00000003e4aa6df4,00 -1765,1765,0,00000003e4aa6df5,1c,00000003e4aa6df5,00 -1766,1766,0,00000003e4aa6df6,1c,00000003e4aa6df6,00 -1767,1767,0,00000003e4aa6df7,1c,00000003e4aa6df7,00 -1768,1768,0,00000003e4aa6df8,1c,00000003e4aa6df8,00 -1769,1769,0,00000003e4aa6df9,1c,00000003e4aa6df9,00 -1770,1770,0,00000003e4aa6dfa,1c,00000003e4aa6dfa,00 -1771,1771,0,00000003e4aa6dfb,1c,00000003e4aa6dfb,00 -1772,1772,0,00000003e4aa6dfc,1c,00000003e4aa6dfc,00 -1773,1773,0,00000003e4aa6dfd,1c,00000003e4aa6dfd,00 -1774,1774,0,00000003e4aa6dfe,1c,00000003e4aa6dfe,00 -1775,1775,0,00000003e4aa6dff,1c,00000003e4aa6dff,00 -1776,1776,0,00000003e4aa6e00,1e,00000003e4aa6e00,00 -1777,1777,0,00000003e4aa6e01,1d,00000003e4aa6e01,10 -1778,1778,0,00000003e4aa6e02,1c,00000003e4aa6e02,00 -1779,1779,0,00000003e4aa6e03,1c,00000003e4aa6e03,00 -1780,1780,0,00000003e4aa6e04,1c,00000003e4aa6e04,00 -1781,1781,0,00000003e4aa6e05,1c,00000003e4aa6e05,00 -1782,1782,0,00000003e4aa6e06,1c,00000003e4aa6e06,00 -1783,1783,0,00000003e4aa6e07,1c,00000003e4aa6e07,00 -1784,1784,0,00000003e4aa6e08,1c,00000003e4aa6e08,00 -1785,1785,0,00000003e4aa6e09,1c,00000003e4aa6e09,00 -1786,1786,0,00000003e4aa6e0a,1c,00000003e4aa6e0a,00 -1787,1787,0,00000003e4aa6e0b,1c,00000003e4aa6e0b,00 -1788,1788,0,00000003e4aa6e0c,1c,00000003e4aa6e0c,00 -1789,1789,0,00000003e4aa6e0d,1c,00000003e4aa6e0d,00 -1790,1790,0,00000003e4aa6e0e,1c,00000003e4aa6e0e,00 -1791,1791,0,00000003e4aa6e0f,1c,00000003e4aa6e0f,00 -1792,1792,0,00000003e4aa6e10,1e,00000003e4aa6e10,00 -1793,1793,0,00000003e4aa6e11,1d,00000003e4aa6e11,10 -1794,1794,0,00000003e4aa6e12,1c,00000003e4aa6e12,00 -1795,1795,0,00000003e4aa6e13,1c,00000003e4aa6e13,00 -1796,1796,0,00000003e4aa6e14,1c,00000003e4aa6e14,00 -1797,1797,0,00000003e4aa6e15,1c,00000003e4aa6e15,00 -1798,1798,0,00000003e4aa6e16,1c,00000003e4aa6e16,00 -1799,1799,0,00000003e4aa6e17,1c,00000003e4aa6e17,00 -1800,1800,0,00000003e4aa6e18,1c,00000003e4aa6e18,00 -1801,1801,0,00000003e4aa6e19,1c,00000003e4aa6e19,00 -1802,1802,0,00000003e4aa6e1a,1c,00000003e4aa6e1a,00 -1803,1803,0,00000003e4aa6e1b,1c,00000003e4aa6e1b,00 -1804,1804,0,00000003e4aa6e1c,1c,00000003e4aa6e1c,00 -1805,1805,0,00000003e4aa6e1d,1c,00000003e4aa6e1d,00 -1806,1806,0,00000003e4aa6e1e,1c,00000003e4aa6e1e,00 -1807,1807,0,00000003e4aa6e1f,1c,00000003e4aa6e1f,00 -1808,1808,0,00000003e4aa6e20,1e,00000003e4aa6e20,00 -1809,1809,0,00000003e4aa6e21,1d,00000003e4aa6e21,10 -1810,1810,0,00000003e4aa6e22,1c,00000003e4aa6e22,00 -1811,1811,0,00000003e4aa6e23,1c,00000003e4aa6e23,00 -1812,1812,0,00000003e4aa6e24,1c,00000003e4aa6e24,00 -1813,1813,0,00000003e4aa6e25,1c,00000003e4aa6e25,00 -1814,1814,0,00000003e4aa6e26,1c,00000003e4aa6e26,00 -1815,1815,0,00000003e4aa6e27,1c,00000003e4aa6e27,00 -1816,1816,0,00000003e4aa6e28,1c,00000003e4aa6e28,00 -1817,1817,0,00000003e4aa6e29,1c,00000003e4aa6e29,00 -1818,1818,0,00000003e4aa6e2a,1c,00000003e4aa6e2a,00 -1819,1819,0,00000003e4aa6e2b,1c,00000003e4aa6e2b,00 -1820,1820,0,00000003e4aa6e2c,1c,00000003e4aa6e2c,00 -1821,1821,0,00000003e4aa6e2d,1c,00000003e4aa6e2d,00 -1822,1822,0,00000003e4aa6e2e,1c,00000003e4aa6e2e,00 -1823,1823,0,00000003e4aa6e2f,1c,00000003e4aa6e2f,00 -1824,1824,0,00000003e4aa6e30,1e,00000003e4aa6e30,0f -1825,1825,0,00000003e4aa6e31,1d,00000003e4aa6e31,10 -1826,1826,0,00000003e4aa6e32,1c,00000003e4aa6e32,00 -1827,1827,0,00000003e4aa6e33,1c,00000003e4aa6e33,00 -1828,1828,0,00000003e4aa6e34,1c,00000003e4aa6e34,00 -1829,1829,0,00000003e4aa6e35,1c,00000003e4aa6e35,00 -1830,1830,0,00000003e4aa6e36,1c,00000003e4aa6e36,00 -1831,1831,0,00000003e4aa6e37,1c,00000003e4aa6e37,00 -1832,1832,0,00000003e4aa6e38,1c,00000003e4aa6e38,00 -1833,1833,0,00000003e4aa6e39,1c,00000003e4aa6e39,00 -1834,1834,0,00000003e4aa6e3a,1c,00000003e4aa6e3a,00 -1835,1835,0,00000003e4aa6e3b,1c,00000003e4aa6e3b,00 -1836,1836,0,00000003e4aa6e3c,1c,00000003e4aa6e3c,00 -1837,1837,0,00000003e4aa6e3d,1c,00000003e4aa6e3d,00 -1838,1838,0,00000003e4aa6e3e,1c,00000003e4aa6e3e,00 -1839,1839,0,00000003e4aa6e3f,1c,00000003e4aa6e3f,00 -1840,1840,0,00000003e4aa6e40,1e,00000003e4aa6e40,0f -1841,1841,0,00000003e4aa6e41,1d,00000003e4aa6e41,10 -1842,1842,0,00000003e4aa6e42,1c,00000003e4aa6e42,00 -1843,1843,0,00000003e4aa6e43,1c,00000003e4aa6e43,00 -1844,1844,0,00000003e4aa6e44,1c,00000003e4aa6e44,00 -1845,1845,0,00000003e4aa6e45,1c,00000003e4aa6e45,00 -1846,1846,0,00000003e4aa6e46,1c,00000003e4aa6e46,00 -1847,1847,0,00000003e4aa6e47,1c,00000003e4aa6e47,00 -1848,1848,0,00000003e4aa6e48,1c,00000003e4aa6e48,00 -1849,1849,0,00000003e4aa6e49,1c,00000003e4aa6e49,00 -1850,1850,0,00000003e4aa6e4a,1c,00000003e4aa6e4a,00 -1851,1851,0,00000003e4aa6e4b,1c,00000003e4aa6e4b,00 -1852,1852,0,00000003e4aa6e4c,1c,00000003e4aa6e4c,00 -1853,1853,0,00000003e4aa6e4d,1c,00000003e4aa6e4d,00 -1854,1854,0,00000003e4aa6e4e,1c,00000003e4aa6e4e,00 -1855,1855,0,00000003e4aa6e4f,1c,00000003e4aa6e4f,00 -1856,1856,0,00000003e4aa6e50,1e,00000003e4aa6e50,0f -1857,1857,0,00000003e4aa6e51,1d,00000003e4aa6e51,10 -1858,1858,0,00000003e4aa6e52,1c,00000003e4aa6e52,00 -1859,1859,0,00000003e4aa6e53,1c,00000003e4aa6e53,00 -1860,1860,0,00000003e4aa6e54,1c,00000003e4aa6e54,00 -1861,1861,0,00000003e4aa6e55,1c,00000003e4aa6e55,00 -1862,1862,0,00000003e4aa6e56,1c,00000003e4aa6e56,00 -1863,1863,0,00000003e4aa6e57,1c,00000003e4aa6e57,00 -1864,1864,0,00000003e4aa6e58,1c,00000003e4aa6e58,00 -1865,1865,0,00000003e4aa6e59,1c,00000003e4aa6e59,00 -1866,1866,0,00000003e4aa6e5a,1c,00000003e4aa6e5a,00 -1867,1867,0,00000003e4aa6e5b,1c,00000003e4aa6e5b,00 -1868,1868,0,00000003e4aa6e5c,1c,00000003e4aa6e5c,00 -1869,1869,0,00000003e4aa6e5d,1c,00000003e4aa6e5d,00 -1870,1870,0,00000003e4aa6e5e,1c,00000003e4aa6e5e,00 -1871,1871,0,00000003e4aa6e5f,1c,00000003e4aa6e5f,00 -1872,1872,0,00000003e4aa6e60,1e,00000003e4aa6e60,0f -1873,1873,0,00000003e4aa6e61,1d,00000003e4aa6e61,10 -1874,1874,0,00000003e4aa6e62,1c,00000003e4aa6e61,00 -1875,1875,0,00000003e4aa6e63,1c,00000003e4aa6e62,00 -1876,1876,0,00000003e4aa6e64,1c,00000003e4aa6e63,00 -1877,1877,0,00000003e4aa6e65,1c,00000003e4aa6e64,00 -1878,1878,0,00000003e4aa6e66,1c,00000003e4aa6e65,00 -1879,1879,0,00000003e4aa6e67,1c,00000003e4aa6e66,00 -1880,1880,0,00000003e4aa6e68,1c,00000003e4aa6e67,00 -1881,1881,0,00000003e4aa6e69,1c,00000003e4aa6e68,00 -1882,1882,0,00000003e4aa6e6a,1c,00000003e4aa6e69,00 -1883,1883,0,00000003e4aa6e6b,1c,00000003e4aa6e6a,00 -1884,1884,0,00000003e4aa6e6c,1c,00000003e4aa6e6b,00 -1885,1885,0,00000003e4aa6e6d,1c,00000003e4aa6e6c,00 -1886,1886,0,00000003e4aa6e6e,1c,00000003e4aa6e6d,00 -1887,1887,0,00000003e4aa6e6f,1c,00000003e4aa6e6e,00 -1888,1888,0,00000003e4aa6e70,1e,00000003e4aa6e6f,00 -1889,1889,0,00000003e4aa6e71,1d,00000003e4aa6e70,0f -1890,1890,0,00000003e4aa6e72,1c,00000003e4aa6e71,10 -1891,1891,0,00000003e4aa6e73,1c,00000003e4aa6e72,00 -1892,1892,0,00000003e4aa6e74,1c,00000003e4aa6e73,00 -1893,1893,0,00000003e4aa6e75,1c,00000003e4aa6e74,00 -1894,1894,0,00000003e4aa6e76,1c,00000003e4aa6e75,00 -1895,1895,0,00000003e4aa6e77,1c,00000003e4aa6e76,00 -1896,1896,0,00000003e4aa6e78,1c,00000003e4aa6e77,00 -1897,1897,0,00000003e4aa6e79,1c,00000003e4aa6e78,00 -1898,1898,0,00000003e4aa6e7a,1c,00000003e4aa6e79,00 -1899,1899,0,00000003e4aa6e7b,1c,00000003e4aa6e7a,00 -1900,1900,0,00000003e4aa6e7c,1c,00000003e4aa6e7b,00 -1901,1901,0,00000003e4aa6e7d,1c,00000003e4aa6e7c,00 -1902,1902,0,00000003e4aa6e7e,1c,00000003e4aa6e7d,00 -1903,1903,0,00000003e4aa6e7f,1c,00000003e4aa6e7e,00 -1904,1904,0,00000003e4aa6e80,1e,00000003e4aa6e7f,00 -1905,1905,0,00000003e4aa6e81,1d,00000003e4aa6e80,0f -1906,1906,0,00000003e4aa6e82,1c,00000003e4aa6e81,10 -1907,1907,0,00000003e4aa6e83,1c,00000003e4aa6e82,00 -1908,1908,0,00000003e4aa6e84,1c,00000003e4aa6e83,00 -1909,1909,0,00000003e4aa6e85,1c,00000003e4aa6e84,00 -1910,1910,0,00000003e4aa6e86,1c,00000003e4aa6e85,00 -1911,1911,0,00000003e4aa6e87,1c,00000003e4aa6e86,00 -1912,1912,0,00000003e4aa6e88,1c,00000003e4aa6e87,00 -1913,1913,0,00000003e4aa6e89,1c,00000003e4aa6e88,00 -1914,1914,0,00000003e4aa6e8a,1c,00000003e4aa6e89,00 -1915,1915,0,00000003e4aa6e8b,1c,00000003e4aa6e8a,00 -1916,1916,0,00000003e4aa6e8c,1c,00000003e4aa6e8b,00 -1917,1917,0,00000003e4aa6e8d,1c,00000003e4aa6e8c,00 -1918,1918,0,00000003e4aa6e8e,1c,00000003e4aa6e8d,00 -1919,1919,0,00000003e4aa6e8f,1c,00000003e4aa6e8e,00 -1920,1920,0,00000003e4aa6e90,1e,00000003e4aa6e8f,00 -1921,1921,0,00000003e4aa6e91,1d,00000003e4aa6e90,00 -1922,1922,0,00000003e4aa6e92,1c,00000003e4aa6e91,00 -1923,1923,0,00000003e4aa6e93,1c,00000003e4aa6e92,00 -1924,1924,0,00000003e4aa6e94,1c,00000003e4aa6e93,00 -1925,1925,0,00000003e4aa6e95,1c,00000003e4aa6e94,00 -1926,1926,0,00000003e4aa6e96,1c,00000003e4aa6e95,00 -1927,1927,0,00000003e4aa6e97,1c,00000003e4aa6e96,00 -1928,1928,0,00000003e4aa6e98,1c,00000003e4aa6e97,00 -1929,1929,0,00000003e4aa6e99,1c,00000003e4aa6e98,00 -1930,1930,0,00000003e4aa6e9a,1c,00000003e4aa6e99,00 -1931,1931,0,00000003e4aa6e9b,1c,00000003e4aa6e9a,00 -1932,1932,0,00000003e4aa6e9c,1c,00000003e4aa6e9b,00 -1933,1933,0,00000003e4aa6e9d,1c,00000003e4aa6e9c,00 -1934,1934,0,00000003e4aa6e9e,1c,00000003e4aa6e9d,00 -1935,1935,0,00000003e4aa6e9f,1c,00000003e4aa6e9e,00 -1936,1936,0,00000003e4aa6ea0,1e,00000003e4aa6e9f,00 -1937,1937,0,00000003e4aa6ea1,1d,00000003e4aa6ea0,0f -1938,1938,0,00000003e4aa6ea2,1c,00000003e4aa6ea0,0f -1939,1939,0,00000003e4aa6ea3,1c,00000003e4aa6ea0,0f -1940,1940,0,00000003e4aa6ea4,1c,00000003e4aa6ea0,0f -1941,1941,0,00000003e4aa6ea5,1c,00000003e4aa6ea0,0f -1942,1942,0,00000003e4aa6ea6,1c,00000003e4aa6ea0,0f -1943,1943,0,00000003e4aa6ea7,1c,00000003e4aa6ea0,0f -1944,1944,0,00000003e4aa6ea8,1c,00000003e4aa6ea0,0f -1945,1945,0,00000003e4aa6ea9,1c,00000003e4aa6ea0,0f -1946,1946,0,00000003e4aa6eaa,1c,00000003e4aa6ea0,0f -1947,1947,0,00000003e4aa6eab,1c,00000003e4aa6ea0,0f -1948,1948,0,00000003e4aa6eac,1c,00000003e4aa6ea0,0f -1949,1949,0,00000003e4aa6ead,1c,00000003e4aa6ea0,0f -1950,1950,0,00000003e4aa6eae,1c,00000003e4aa6ea0,0f -1951,1951,0,00000003e4aa6eaf,1c,00000003e4aa6ea0,0f -1952,1952,0,00000003e4aa6eb0,1e,00000003e4aa6eb1,10 -1953,1953,0,00000003e4aa6eb1,1d,00000003e4aa6eb2,00 -1954,1954,0,00000003e4aa6eb2,1c,00000003e4aa6eb3,00 -1955,1955,0,00000003e4aa6eb3,1c,00000003e4aa6eb4,00 -1956,1956,0,00000003e4aa6eb4,1c,00000003e4aa6eb5,00 -1957,1957,0,00000003e4aa6eb5,1c,00000003e4aa6eb6,00 -1958,1958,0,00000003e4aa6eb6,1c,00000003e4aa6eb7,00 -1959,1959,0,00000003e4aa6eb7,1c,00000003e4aa6eb8,00 -1960,1960,0,00000003e4aa6eb8,1c,00000003e4aa6eb9,00 -1961,1961,0,00000003e4aa6eb9,1c,00000003e4aa6eba,00 -1962,1962,0,00000003e4aa6eba,1c,00000003e4aa6ebb,00 -1963,1963,0,00000003e4aa6ebb,1c,00000003e4aa6ebc,00 -1964,1964,0,00000003e4aa6ebc,1c,00000003e4aa6ebd,00 -1965,1965,0,00000003e4aa6ebd,1c,00000003e4aa6ebe,00 -1966,1966,0,00000003e4aa6ebe,1c,00000003e4aa6ebf,00 -1967,1967,0,00000003e4aa6ebf,1c,00000003e4aa6ec0,0f -1968,1968,0,00000003e4aa6ec0,1e,00000003e4aa6ec0,0f -1969,1969,0,00000003e4aa6ec1,1d,00000003e4aa6ec1,10 -1970,1970,0,00000003e4aa6ec2,1c,00000003e4aa6ec2,00 -1971,1971,0,00000003e4aa6ec3,1c,00000003e4aa6ec3,00 -1972,1972,0,00000003e4aa6ec4,1c,00000003e4aa6ec4,00 -1973,1973,0,00000003e4aa6ec5,1c,00000003e4aa6ec5,00 -1974,1974,0,00000003e4aa6ec6,1c,00000003e4aa6ec6,00 -1975,1975,0,00000003e4aa6ec7,1c,00000003e4aa6ec7,00 -1976,1976,0,00000003e4aa6ec8,1c,00000003e4aa6ec8,00 -1977,1977,0,00000003e4aa6ec9,1c,00000003e4aa6ec9,00 -1978,1978,0,00000003e4aa6eca,1c,00000003e4aa6eca,00 -1979,1979,0,00000003e4aa6ecb,1c,00000003e4aa6ecb,00 -1980,1980,0,00000003e4aa6ecc,1c,00000003e4aa6ecc,00 -1981,1981,0,00000003e4aa6ecd,1c,00000003e4aa6ecd,00 -1982,1982,0,00000003e4aa6ece,1c,00000003e4aa6ece,00 -1983,1983,0,00000003e4aa6ecf,1c,00000003e4aa6ecf,00 -1984,1984,0,00000003e4aa6ed0,1e,00000003e4aa6ed0,0f -1985,1985,0,00000003e4aa6ed1,1d,00000003e4aa6ed1,10 -1986,1986,0,00000003e4aa6ed2,1c,00000003e4aa6ed2,00 -1987,1987,0,00000003e4aa6ed3,1c,00000003e4aa6ed3,00 -1988,1988,0,00000003e4aa6ed4,1c,00000003e4aa6ed4,00 -1989,1989,0,00000003e4aa6ed5,1c,00000003e4aa6ed5,00 -1990,1990,0,00000003e4aa6ed6,1c,00000003e4aa6ed6,00 -1991,1991,0,00000003e4aa6ed7,1c,00000003e4aa6ed7,00 -1992,1992,0,00000003e4aa6ed8,1c,00000003e4aa6ed8,00 -1993,1993,0,00000003e4aa6ed9,1c,00000003e4aa6ed9,00 -1994,1994,0,00000003e4aa6eda,1c,00000003e4aa6eda,00 -1995,1995,0,00000003e4aa6edb,1c,00000003e4aa6edb,00 -1996,1996,0,00000003e4aa6edc,1c,00000003e4aa6edc,00 -1997,1997,0,00000003e4aa6edd,1c,00000003e4aa6edd,00 -1998,1998,0,00000003e4aa6ede,1c,00000003e4aa6ede,00 -1999,1999,0,00000003e4aa6edf,1c,00000003e4aa6edf,00 -2000,2000,0,00000003e4aa6ee0,1e,00000003e4aa6ee0,00 -2001,2001,0,00000003e4aa6ee1,1d,00000003e4aa6ee1,10 -2002,2002,0,00000003e4aa6ee2,1c,00000003e4aa6ee2,00 -2003,2003,0,00000003e4aa6ee3,1c,00000003e4aa6ee3,00 -2004,2004,0,00000003e4aa6ee4,1c,00000003e4aa6ee4,00 -2005,2005,0,00000003e4aa6ee5,1c,00000003e4aa6ee5,00 -2006,2006,0,00000003e4aa6ee6,1c,00000003e4aa6ee6,00 -2007,2007,0,00000003e4aa6ee7,1c,00000003e4aa6ee7,00 -2008,2008,0,00000003e4aa6ee8,1c,00000003e4aa6ee8,00 -2009,2009,0,00000003e4aa6ee9,1c,00000003e4aa6ee9,00 -2010,2010,0,00000003e4aa6eea,1c,00000003e4aa6eea,00 -2011,2011,0,00000003e4aa6eeb,1c,00000003e4aa6eeb,00 -2012,2012,0,00000003e4aa6eec,1c,00000003e4aa6eec,00 -2013,2013,0,00000003e4aa6eed,1c,00000003e4aa6eed,00 -2014,2014,0,00000003e4aa6eee,1c,00000003e4aa6eee,00 -2015,2015,0,00000003e4aa6eef,1c,00000003e4aa6eef,00 -2016,2016,0,00000003e4aa6ef0,1e,00000003e4aa6ef0,00 -2017,2017,0,00000003e4aa6ef1,1d,00000003e4aa6ef1,00 -2018,2018,0,00000003e4aa6ef2,1c,00000003e4aa6ef2,00 -2019,2019,0,00000003e4aa6ef3,1c,00000003e4aa6ef3,00 -2020,2020,0,00000003e4aa6ef4,1c,00000003e4aa6ef4,00 -2021,2021,0,00000003e4aa6ef5,1c,00000003e4aa6ef5,00 -2022,2022,0,00000003e4aa6ef6,1c,00000003e4aa6ef6,00 -2023,2023,0,00000003e4aa6ef7,1c,00000003e4aa6ef7,00 -2024,2024,0,00000003e4aa6ef8,1c,00000003e4aa6ef8,00 -2025,2025,0,00000003e4aa6ef9,1c,00000003e4aa6ef9,00 -2026,2026,0,00000003e4aa6efa,1c,00000003e4aa6efa,00 -2027,2027,0,00000003e4aa6efb,1c,00000003e4aa6efb,00 -2028,2028,0,00000003e4aa6efc,1c,00000003e4aa6efc,00 -2029,2029,0,00000003e4aa6efd,1c,00000003e4aa6efd,00 -2030,2030,0,00000003e4aa6efe,1c,00000003e4aa6efe,00 -2031,2031,0,00000003e4aa6eff,1c,00000003e4aa6eff,00 -2032,2032,0,00000003e4aa6f00,1e,00000003e4aa6f00,0f -2033,2033,0,00000003e4aa6f01,1d,00000003e4aa6f01,10 -2034,2034,0,00000003e4aa6f02,1c,00000003e4aa6f02,00 -2035,2035,0,00000003e4aa6f03,1c,00000003e4aa6f03,00 -2036,2036,0,00000003e4aa6f04,1c,00000003e4aa6f04,00 -2037,2037,0,00000003e4aa6f05,1c,00000003e4aa6f05,00 -2038,2038,0,00000003e4aa6f06,1c,00000003e4aa6f06,00 -2039,2039,0,00000003e4aa6f07,1c,00000003e4aa6f07,00 -2040,2040,0,00000003e4aa6f08,1c,00000003e4aa6f08,00 -2041,2041,0,00000003e4aa6f09,1c,00000003e4aa6f09,00 -2042,2042,0,00000003e4aa6f0a,1c,00000003e4aa6f0a,00 -2043,2043,0,00000003e4aa6f0b,1c,00000003e4aa6f0b,00 -2044,2044,0,00000003e4aa6f0c,1c,00000003e4aa6f0c,00 -2045,2045,0,00000003e4aa6f0d,1c,00000003e4aa6f0d,00 -2046,2046,0,00000003e4aa6f0e,1c,00000003e4aa6f0e,00 -2047,2047,0,00000003e4aa6f0f,1c,00000003e4aa6f0f,00 -2048,2048,0,00000003e4aa6f10,1e,00000003e4aa6f10,0f -2049,2049,0,00000003e4aa6f11,1d,00000003e4aa6f11,10 -2050,2050,0,00000003e4aa6f12,1c,00000003e4aa6f12,00 -2051,2051,0,00000003e4aa6f13,1c,00000003e4aa6f13,00 -2052,2052,0,00000003e4aa6f14,1c,00000003e4aa6f14,00 -2053,2053,0,00000003e4aa6f15,1c,00000003e4aa6f15,00 -2054,2054,0,00000003e4aa6f16,1c,00000003e4aa6f16,00 -2055,2055,0,00000003e4aa6f17,1c,00000003e4aa6f17,00 -2056,2056,0,00000003e4aa6f18,1c,00000003e4aa6f18,00 -2057,2057,0,00000003e4aa6f19,1c,00000003e4aa6f19,00 -2058,2058,0,00000003e4aa6f1a,1c,00000003e4aa6f1a,00 -2059,2059,0,00000003e4aa6f1b,1c,00000003e4aa6f1b,00 -2060,2060,0,00000003e4aa6f1c,1c,00000003e4aa6f1c,00 -2061,2061,0,00000003e4aa6f1d,1c,00000003e4aa6f1d,00 -2062,2062,0,00000003e4aa6f1e,1c,00000003e4aa6f1e,00 -2063,2063,0,00000003e4aa6f1f,1c,00000003e4aa6f1f,00 -2064,2064,0,00000003e4aa6f20,1e,00000003e4aa6f20,0f -2065,2065,0,00000003e4aa6f21,1d,00000003e4aa6f21,10 -2066,2066,0,00000003e4aa6f22,1c,00000003e4aa6f22,00 -2067,2067,0,00000003e4aa6f23,1c,00000003e4aa6f23,00 -2068,2068,0,00000003e4aa6f24,1c,00000003e4aa6f24,00 -2069,2069,0,00000003e4aa6f25,1c,00000003e4aa6f25,00 -2070,2070,0,00000003e4aa6f26,1c,00000003e4aa6f26,00 -2071,2071,0,00000003e4aa6f27,1c,00000003e4aa6f27,00 -2072,2072,0,00000003e4aa6f28,1c,00000003e4aa6f28,00 -2073,2073,0,00000003e4aa6f29,1c,00000003e4aa6f29,00 -2074,2074,0,00000003e4aa6f2a,1c,00000003e4aa6f2a,00 -2075,2075,0,00000003e4aa6f2b,1c,00000003e4aa6f2b,00 -2076,2076,0,00000003e4aa6f2c,1c,00000003e4aa6f2c,00 -2077,2077,0,00000003e4aa6f2d,1c,00000003e4aa6f2d,00 -2078,2078,0,00000003e4aa6f2e,1c,00000003e4aa6f2e,00 -2079,2079,0,00000003e4aa6f2f,1c,00000003e4aa6f2f,00 -2080,2080,0,00000003e4aa6f30,1e,00000003e4aa6f30,0f -2081,2081,0,00000003e4aa6f31,1d,00000003e4aa6f31,10 -2082,2082,0,00000003e4aa6f32,1c,00000003e4aa6f32,00 -2083,2083,0,00000003e4aa6f33,1c,00000003e4aa6f33,00 -2084,2084,0,00000003e4aa6f34,1c,00000003e4aa6f34,00 -2085,2085,0,00000003e4aa6f35,1c,00000003e4aa6f35,00 -2086,2086,0,00000003e4aa6f36,1c,00000003e4aa6f36,00 -2087,2087,0,00000003e4aa6f37,1c,00000003e4aa6f37,00 -2088,2088,0,00000003e4aa6f38,1c,00000003e4aa6f38,00 -2089,2089,0,00000003e4aa6f39,1c,00000003e4aa6f39,00 -2090,2090,0,00000003e4aa6f3a,1c,00000003e4aa6f3a,00 -2091,2091,0,00000003e4aa6f3b,1c,00000003e4aa6f3b,00 -2092,2092,0,00000003e4aa6f3c,1c,00000003e4aa6f3c,00 -2093,2093,0,00000003e4aa6f3d,1c,00000003e4aa6f3d,00 -2094,2094,0,00000003e4aa6f3e,1c,00000003e4aa6f3e,00 -2095,2095,0,00000003e4aa6f3f,1c,00000003e4aa6f3f,00 -2096,2096,0,00000003e4aa6f40,1e,00000003e4aa6f40,0f -2097,2097,0,00000003e4aa6f41,1d,00000003e4aa6f40,0f -2098,2098,0,00000003e4aa6f42,1c,00000003e4aa6f40,0f -2099,2099,0,00000003e4aa6f43,1c,00000003e4aa6f40,0f -2100,2100,0,00000003e4aa6f44,1c,00000003e4aa6f40,0f -2101,2101,0,00000003e4aa6f45,1c,00000003e4aa6f40,0f -2102,2102,0,00000003e4aa6f46,1c,00000003e4aa6f40,0f -2103,2103,0,00000003e4aa6f47,1c,00000003e4aa6f40,0f -2104,2104,0,00000003e4aa6f48,1c,00000003e4aa6f40,0f -2105,2105,0,00000003e4aa6f49,1c,00000003e4aa6f40,0f -2106,2106,0,00000003e4aa6f4a,1c,00000003e4aa6f40,0f -2107,2107,0,00000003e4aa6f4b,1c,00000003e4aa6f40,0f -2108,2108,0,00000003e4aa6f4c,1c,00000003e4aa6f40,0f -2109,2109,0,00000003e4aa6f4d,1c,00000003e4aa6f40,0f -2110,2110,0,00000003e4aa6f4e,1c,00000003e4aa6f40,0f -2111,2111,0,00000003e4aa6f4f,1c,00000003e4aa6f40,0f -2112,2112,0,00000003e4aa6f50,1e,00000003e4aa6f40,0f -2113,2113,0,00000003e4aa6f51,1d,00000003e4aa6f51,10 -2114,2114,0,00000003e4aa6f52,1c,00000003e4aa6f52,00 -2115,2115,0,00000003e4aa6f53,1c,00000003e4aa6f53,00 -2116,2116,0,00000003e4aa6f54,1c,00000003e4aa6f54,00 -2117,2117,0,00000003e4aa6f55,1c,00000003e4aa6f55,00 -2118,2118,0,00000003e4aa6f56,1c,00000003e4aa6f56,00 -2119,2119,0,00000003e4aa6f57,1c,00000003e4aa6f57,00 -2120,2120,0,00000003e4aa6f58,1c,00000003e4aa6f58,00 -2121,2121,0,00000003e4aa6f59,1c,00000003e4aa6f59,00 -2122,2122,0,00000003e4aa6f5a,1c,00000003e4aa6f5a,00 -2123,2123,0,00000003e4aa6f5b,1c,00000003e4aa6f5b,00 -2124,2124,0,00000003e4aa6f5c,1c,00000003e4aa6f5c,00 -2125,2125,0,00000003e4aa6f5d,1c,00000003e4aa6f5d,00 -2126,2126,0,00000003e4aa6f5e,1c,00000003e4aa6f5e,00 -2127,2127,0,00000003e4aa6f5f,1c,00000003e4aa6f5f,00 -2128,2128,0,00000003e4aa6f60,1e,00000003e4aa6f60,0f -2129,2129,0,00000003e4aa6f61,1d,00000003e4aa6f61,10 -2130,2130,0,00000003e4aa6f62,1c,00000003e4aa6f62,00 -2131,2131,0,00000003e4aa6f63,1c,00000003e4aa6f63,00 -2132,2132,0,00000003e4aa6f64,1c,00000003e4aa6f64,00 -2133,2133,0,00000003e4aa6f65,1c,00000003e4aa6f65,00 -2134,2134,0,00000003e4aa6f66,1c,00000003e4aa6f66,00 -2135,2135,0,00000003e4aa6f67,1c,00000003e4aa6f67,00 -2136,2136,0,00000003e4aa6f68,1c,00000003e4aa6f68,00 -2137,2137,0,00000003e4aa6f69,1c,00000003e4aa6f69,00 -2138,2138,0,00000003e4aa6f6a,1c,00000003e4aa6f6a,00 -2139,2139,0,00000003e4aa6f6b,1c,00000003e4aa6f6b,00 -2140,2140,0,00000003e4aa6f6c,1c,00000003e4aa6f6c,00 -2141,2141,0,00000003e4aa6f6d,1c,00000003e4aa6f6d,00 -2142,2142,0,00000003e4aa6f6e,1c,00000003e4aa6f6e,00 -2143,2143,0,00000003e4aa6f6f,1c,00000003e4aa6f6f,00 -2144,2144,0,00000003e4aa6f70,1e,00000003e4aa6f70,0f -2145,2145,0,00000003e4aa6f71,1d,00000003e4aa6f71,10 -2146,2146,0,00000003e4aa6f72,1c,00000003e4aa6f72,00 -2147,2147,0,00000003e4aa6f73,1c,00000003e4aa6f73,00 -2148,2148,0,00000003e4aa6f74,1c,00000003e4aa6f74,00 -2149,2149,0,00000003e4aa6f75,1c,00000003e4aa6f75,00 -2150,2150,0,00000003e4aa6f76,1c,00000003e4aa6f76,00 -2151,2151,0,00000003e4aa6f77,1c,00000003e4aa6f77,00 -2152,2152,0,00000003e4aa6f78,1c,00000003e4aa6f78,00 -2153,2153,0,00000003e4aa6f79,1c,00000003e4aa6f79,00 -2154,2154,0,00000003e4aa6f7a,1c,00000003e4aa6f7a,00 -2155,2155,0,00000003e4aa6f7b,1c,00000003e4aa6f7b,00 -2156,2156,0,00000003e4aa6f7c,1c,00000003e4aa6f7c,00 -2157,2157,0,00000003e4aa6f7d,1c,00000003e4aa6f7d,00 -2158,2158,0,00000003e4aa6f7e,1c,00000003e4aa6f7e,00 -2159,2159,0,00000003e4aa6f7f,1c,00000003e4aa6f7f,00 -2160,2160,0,00000003e4aa6f80,1e,00000003e4aa6f80,0f -2161,2161,0,00000003e4aa6f81,1d,00000003e4aa6f81,10 -2162,2162,0,00000003e4aa6f82,1c,00000003e4aa6f82,00 -2163,2163,0,00000003e4aa6f83,1c,00000003e4aa6f83,00 -2164,2164,0,00000003e4aa6f84,1c,00000003e4aa6f84,00 -2165,2165,0,00000003e4aa6f85,1c,00000003e4aa6f85,00 -2166,2166,0,00000003e4aa6f86,1c,00000003e4aa6f86,00 -2167,2167,0,00000003e4aa6f87,1c,00000003e4aa6f87,00 -2168,2168,0,00000003e4aa6f88,1c,00000003e4aa6f88,00 -2169,2169,0,00000003e4aa6f89,1c,00000003e4aa6f89,00 -2170,2170,0,00000003e4aa6f8a,1c,00000003e4aa6f8a,00 -2171,2171,0,00000003e4aa6f8b,1c,00000003e4aa6f8b,00 -2172,2172,0,00000003e4aa6f8c,1c,00000003e4aa6f8c,00 -2173,2173,0,00000003e4aa6f8d,1c,00000003e4aa6f8d,00 -2174,2174,0,00000003e4aa6f8e,1c,00000003e4aa6f8e,00 -2175,2175,0,00000003e4aa6f8f,1c,00000003e4aa6f8f,00 -2176,2176,0,00000003e4aa6f90,1e,00000003e4aa6f90,0f -2177,2177,0,00000003e4aa6f91,1d,00000003e4aa6f91,10 -2178,2178,0,00000003e4aa6f92,1c,00000003e4aa6f92,00 -2179,2179,0,00000003e4aa6f93,1c,00000003e4aa6f93,00 -2180,2180,0,00000003e4aa6f94,1c,00000003e4aa6f94,00 -2181,2181,0,00000003e4aa6f95,1c,00000003e4aa6f95,00 -2182,2182,0,00000003e4aa6f96,1c,00000003e4aa6f96,00 -2183,2183,0,00000003e4aa6f97,1c,00000003e4aa6f97,00 -2184,2184,0,00000003e4aa6f98,1c,00000003e4aa6f98,00 -2185,2185,0,00000003e4aa6f99,1c,00000003e4aa6f99,00 -2186,2186,0,00000003e4aa6f9a,1c,00000003e4aa6f9a,00 -2187,2187,0,00000003e4aa6f9b,1c,00000003e4aa6f9b,00 -2188,2188,0,00000003e4aa6f9c,1c,00000003e4aa6f9c,00 -2189,2189,0,00000003e4aa6f9d,1c,00000003e4aa6f9d,00 -2190,2190,0,00000003e4aa6f9e,1c,00000003e4aa6f9e,00 -2191,2191,0,00000003e4aa6f9f,1c,00000003e4aa6f9f,00 -2192,2192,0,00000003e4aa6fa0,1e,00000003e4aa6fa0,00 -2193,2193,0,00000003e4aa6fa1,1d,00000003e4aa6fa1,10 -2194,2194,0,00000003e4aa6fa2,1c,00000003e4aa6fa2,00 -2195,2195,0,00000003e4aa6fa3,1c,00000003e4aa6fa3,00 -2196,2196,0,00000003e4aa6fa4,1c,00000003e4aa6fa4,00 -2197,2197,0,00000003e4aa6fa5,1c,00000003e4aa6fa5,00 -2198,2198,0,00000003e4aa6fa6,1c,00000003e4aa6fa6,00 -2199,2199,0,00000003e4aa6fa7,1c,00000003e4aa6fa7,00 -2200,2200,0,00000003e4aa6fa8,1c,00000003e4aa6fa8,00 -2201,2201,0,00000003e4aa6fa9,1c,00000003e4aa6fa9,00 -2202,2202,0,00000003e4aa6faa,1c,00000003e4aa6faa,00 -2203,2203,0,00000003e4aa6fab,1c,00000003e4aa6fab,00 -2204,2204,0,00000003e4aa6fac,1c,00000003e4aa6fac,00 -2205,2205,0,00000003e4aa6fad,1c,00000003e4aa6fad,00 -2206,2206,0,00000003e4aa6fae,1c,00000003e4aa6fae,00 -2207,2207,0,00000003e4aa6faf,1c,00000003e4aa6faf,00 -2208,2208,0,00000003e4aa6fb0,1e,00000003e4aa6fb0,0f -2209,2209,0,00000003e4aa6fb1,1d,00000003e4aa6fb1,10 -2210,2210,0,00000003e4aa6fb2,1c,00000003e4aa6fb2,00 -2211,2211,0,00000003e4aa6fb3,1c,00000003e4aa6fb3,00 -2212,2212,0,00000003e4aa6fb4,1c,00000003e4aa6fb4,00 -2213,2213,0,00000003e4aa6fb5,1c,00000003e4aa6fb5,00 -2214,2214,0,00000003e4aa6fb6,1c,00000003e4aa6fb6,00 -2215,2215,0,00000003e4aa6fb7,1c,00000003e4aa6fb7,00 -2216,2216,0,00000003e4aa6fb8,1c,00000003e4aa6fb8,00 -2217,2217,0,00000003e4aa6fb9,1c,00000003e4aa6fb9,00 -2218,2218,0,00000003e4aa6fba,1c,00000003e4aa6fba,00 -2219,2219,0,00000003e4aa6fbb,1c,00000003e4aa6fbb,00 -2220,2220,0,00000003e4aa6fbc,1c,00000003e4aa6fbc,00 -2221,2221,0,00000003e4aa6fbd,1c,00000003e4aa6fbd,00 -2222,2222,0,00000003e4aa6fbe,1c,00000003e4aa6fbe,00 -2223,2223,0,00000003e4aa6fbf,1c,00000003e4aa6fbf,00 -2224,2224,0,00000003e4aa6fc0,1e,00000003e4aa6fc0,0f -2225,2225,0,00000003e4aa6fc1,1d,00000003e4aa6fc1,10 -2226,2226,0,00000003e4aa6fc2,1c,00000003e4aa6fc2,00 -2227,2227,0,00000003e4aa6fc3,1c,00000003e4aa6fc3,00 -2228,2228,0,00000003e4aa6fc4,1c,00000003e4aa6fc4,00 -2229,2229,0,00000003e4aa6fc5,1c,00000003e4aa6fc5,00 -2230,2230,0,00000003e4aa6fc6,1c,00000003e4aa6fc6,00 -2231,2231,0,00000003e4aa6fc7,1c,00000003e4aa6fc7,00 -2232,2232,0,00000003e4aa6fc8,1c,00000003e4aa6fc8,00 -2233,2233,0,00000003e4aa6fc9,1c,00000003e4aa6fc9,00 -2234,2234,0,00000003e4aa6fca,1c,00000003e4aa6fca,00 -2235,2235,0,00000003e4aa6fcb,1c,00000003e4aa6fcb,00 -2236,2236,0,00000003e4aa6fcc,1c,00000003e4aa6fcc,00 -2237,2237,0,00000003e4aa6fcd,1c,00000003e4aa6fcd,00 -2238,2238,0,00000003e4aa6fce,1c,00000003e4aa6fce,00 -2239,2239,0,00000003e4aa6fcf,1c,00000003e4aa6fcf,00 -2240,2240,0,00000003e4aa6fd0,1e,00000003e4aa6fd0,0f -2241,2241,0,00000003e4aa6fd1,1d,00000003e4aa6fd1,10 -2242,2242,0,00000003e4aa6fd2,1c,00000003e4aa6fd2,00 -2243,2243,0,00000003e4aa6fd3,1c,00000003e4aa6fd3,00 -2244,2244,0,00000003e4aa6fd4,1c,00000003e4aa6fd4,00 -2245,2245,0,00000003e4aa6fd5,1c,00000003e4aa6fd5,00 -2246,2246,0,00000003e4aa6fd6,1c,00000003e4aa6fd6,00 -2247,2247,0,00000003e4aa6fd7,1c,00000003e4aa6fd7,00 -2248,2248,0,00000003e4aa6fd8,1c,00000003e4aa6fd8,00 -2249,2249,0,00000003e4aa6fd9,1c,00000003e4aa6fd9,00 -2250,2250,0,00000003e4aa6fda,1c,00000003e4aa6fda,00 -2251,2251,0,00000003e4aa6fdb,1c,00000003e4aa6fdb,00 -2252,2252,0,00000003e4aa6fdc,1c,00000003e4aa6fdc,00 -2253,2253,0,00000003e4aa6fdd,1c,00000003e4aa6fdd,00 -2254,2254,0,00000003e4aa6fde,1c,00000003e4aa6fde,00 -2255,2255,0,00000003e4aa6fdf,1c,00000003e4aa6fdf,00 -2256,2256,0,00000003e4aa6fe0,1e,00000003e4aa6fe0,0f -2257,2257,0,00000003e4aa6fe1,1d,00000003e4aa6fe1,10 -2258,2258,0,00000003e4aa6fe2,1c,00000003e4aa6fe2,00 -2259,2259,0,00000003e4aa6fe3,1c,00000003e4aa6fe3,00 -2260,2260,0,00000003e4aa6fe4,1c,00000003e4aa6fe4,00 -2261,2261,0,00000003e4aa6fe5,1c,00000003e4aa6fe5,00 -2262,2262,0,00000003e4aa6fe6,1c,00000003e4aa6fe6,00 -2263,2263,0,00000003e4aa6fe7,1c,00000003e4aa6fe7,00 -2264,2264,0,00000003e4aa6fe8,1c,00000003e4aa6fe8,00 -2265,2265,0,00000003e4aa6fe9,1c,00000003e4aa6fe9,00 -2266,2266,0,00000003e4aa6fea,1c,00000003e4aa6fea,00 -2267,2267,0,00000003e4aa6feb,1c,00000003e4aa6feb,00 -2268,2268,0,00000003e4aa6fec,1c,00000003e4aa6fec,00 -2269,2269,0,00000003e4aa6fed,1c,00000003e4aa6fed,00 -2270,2270,0,00000003e4aa6fee,1c,00000003e4aa6fee,00 -2271,2271,0,00000003e4aa6fef,1c,00000003e4aa6fef,00 -2272,2272,0,00000003e4aa6ff0,1e,00000003e4aa6ff0,0f -2273,2273,0,00000003e4aa6ff1,1d,00000003e4aa6ff1,10 -2274,2274,0,00000003e4aa6ff2,1c,00000003e4aa6ff2,00 -2275,2275,0,00000003e4aa6ff3,1c,00000003e4aa6ff3,00 -2276,2276,0,00000003e4aa6ff4,1c,00000003e4aa6ff4,00 -2277,2277,0,00000003e4aa6ff5,1c,00000003e4aa6ff5,00 -2278,2278,0,00000003e4aa6ff6,1c,00000003e4aa6ff6,00 -2279,2279,0,00000003e4aa6ff7,1c,00000003e4aa6ff7,00 -2280,2280,0,00000003e4aa6ff8,1c,00000003e4aa6ff8,00 -2281,2281,0,00000003e4aa6ff9,1c,00000003e4aa6ff9,00 -2282,2282,0,00000003e4aa6ffa,1c,00000003e4aa6ffa,00 -2283,2283,0,00000003e4aa6ffb,1c,00000003e4aa6ffb,00 -2284,2284,0,00000003e4aa6ffc,1c,00000003e4aa6ffc,00 -2285,2285,0,00000003e4aa6ffd,1c,00000003e4aa6ffd,00 -2286,2286,0,00000003e4aa6ffe,1c,00000003e4aa6ffe,00 -2287,2287,0,00000003e4aa6fff,1c,00000003e4aa6fff,00 -2288,2288,0,00000003e4aa7000,1e,00000003e4aa7000,0f -2289,2289,0,00000003e4aa7001,1d,00000003e4aa7001,10 -2290,2290,0,00000003e4aa7002,1c,00000003e4aa7002,00 -2291,2291,0,00000003e4aa7003,1c,00000003e4aa7003,00 -2292,2292,0,00000003e4aa7004,1c,00000003e4aa7004,00 -2293,2293,0,00000003e4aa7005,1c,00000003e4aa7005,00 -2294,2294,0,00000003e4aa7006,1c,00000003e4aa7006,00 -2295,2295,0,00000003e4aa7007,1c,00000003e4aa7007,00 -2296,2296,0,00000003e4aa7008,1c,00000003e4aa7008,00 -2297,2297,0,00000003e4aa7009,1c,00000003e4aa7009,00 -2298,2298,0,00000003e4aa700a,1c,00000003e4aa700a,00 -2299,2299,0,00000003e4aa700b,1c,00000003e4aa700b,00 -2300,2300,0,00000003e4aa700c,1c,00000003e4aa700c,00 -2301,2301,0,00000003e4aa700d,1c,00000003e4aa700d,00 -2302,2302,0,00000003e4aa700e,1c,00000003e4aa700e,00 -2303,2303,0,00000003e4aa700f,1c,00000003e4aa700f,00 -2304,2304,0,00000003e4aa7010,1e,00000003e4aa7010,0f -2305,2305,0,00000003e4aa7011,1d,00000003e4aa7011,10 -2306,2306,0,00000003e4aa7012,1c,00000003e4aa7012,00 -2307,2307,0,00000003e4aa7013,1c,00000003e4aa7013,00 -2308,2308,0,00000003e4aa7014,1c,00000003e4aa7014,00 -2309,2309,0,00000003e4aa7015,1c,00000003e4aa7015,00 -2310,2310,0,00000003e4aa7016,1c,00000003e4aa7016,00 -2311,2311,0,00000003e4aa7017,1c,00000003e4aa7017,00 -2312,2312,0,00000003e4aa7018,1c,00000003e4aa7018,00 -2313,2313,0,00000003e4aa7019,1c,00000003e4aa7019,00 -2314,2314,0,00000003e4aa701a,1c,00000003e4aa701a,00 -2315,2315,0,00000003e4aa701b,1c,00000003e4aa701b,00 -2316,2316,0,00000003e4aa701c,1c,00000003e4aa701c,00 -2317,2317,0,00000003e4aa701d,1c,00000003e4aa701d,00 -2318,2318,0,00000003e4aa701e,1c,00000003e4aa701e,00 -2319,2319,0,00000003e4aa701f,1c,00000003e4aa701f,00 -2320,2320,0,00000003e4aa7020,1e,00000003e4aa7020,00 -2321,2321,0,00000003e4aa7021,1d,00000003e4aa7021,10 -2322,2322,0,00000003e4aa7022,1c,00000003e4aa7022,00 -2323,2323,0,00000003e4aa7023,1c,00000003e4aa7023,00 -2324,2324,0,00000003e4aa7024,1c,00000003e4aa7024,00 -2325,2325,0,00000003e4aa7025,1c,00000003e4aa7025,00 -2326,2326,0,00000003e4aa7026,1c,00000003e4aa7026,00 -2327,2327,0,00000003e4aa7027,1c,00000003e4aa7027,00 -2328,2328,0,00000003e4aa7028,1c,00000003e4aa7028,00 -2329,2329,0,00000003e4aa7029,1c,00000003e4aa7029,00 -2330,2330,0,00000003e4aa702a,1c,00000003e4aa702a,00 -2331,2331,0,00000003e4aa702b,1c,00000003e4aa702b,00 -2332,2332,0,00000003e4aa702c,1c,00000003e4aa702c,00 -2333,2333,0,00000003e4aa702d,1c,00000003e4aa702d,00 -2334,2334,0,00000003e4aa702e,1c,00000003e4aa702e,00 -2335,2335,0,00000003e4aa702f,1c,00000003e4aa702f,00 -2336,2336,0,00000003e4aa7030,1e,00000003e4aa7030,0f -2337,2337,0,00000003e4aa7031,1d,00000003e4aa7031,10 -2338,2338,0,00000003e4aa7032,1c,00000003e4aa7032,00 -2339,2339,0,00000003e4aa7033,1c,00000003e4aa7033,00 -2340,2340,0,00000003e4aa7034,1c,00000003e4aa7034,00 -2341,2341,0,00000003e4aa7035,1c,00000003e4aa7035,00 -2342,2342,0,00000003e4aa7036,1c,00000003e4aa7036,00 -2343,2343,0,00000003e4aa7037,1c,00000003e4aa7037,00 -2344,2344,0,00000003e4aa7038,1c,00000003e4aa7038,00 -2345,2345,0,00000003e4aa7039,1c,00000003e4aa7039,00 -2346,2346,0,00000003e4aa703a,1c,00000003e4aa703a,00 -2347,2347,0,00000003e4aa703b,1c,00000003e4aa703b,00 -2348,2348,0,00000003e4aa703c,1c,00000003e4aa703c,00 -2349,2349,0,00000003e4aa703d,1c,00000003e4aa703d,00 -2350,2350,0,00000003e4aa703e,1c,00000003e4aa703e,00 -2351,2351,0,00000003e4aa703f,1c,00000003e4aa703f,00 -2352,2352,0,00000003e4aa7040,1e,00000003e4aa7040,0f -2353,2353,0,00000003e4aa7041,1d,00000003e4aa7041,10 -2354,2354,0,00000003e4aa7042,1c,00000003e4aa7042,00 -2355,2355,0,00000003e4aa7043,1c,00000003e4aa7043,00 -2356,2356,0,00000003e4aa7044,1c,00000003e4aa7044,00 -2357,2357,0,00000003e4aa7045,1c,00000003e4aa7045,00 -2358,2358,0,00000003e4aa7046,1c,00000003e4aa7046,00 -2359,2359,0,00000003e4aa7047,1c,00000003e4aa7047,00 -2360,2360,0,00000003e4aa7048,1c,00000003e4aa7048,00 -2361,2361,0,00000003e4aa7049,1c,00000003e4aa7049,00 -2362,2362,0,00000003e4aa704a,1c,00000003e4aa704a,00 -2363,2363,0,00000003e4aa704b,1c,00000003e4aa704b,00 -2364,2364,0,00000003e4aa704c,1c,00000003e4aa704c,00 -2365,2365,0,00000003e4aa704d,1c,00000003e4aa704d,00 -2366,2366,0,00000003e4aa704e,1c,00000003e4aa704e,00 -2367,2367,0,00000003e4aa704f,1c,00000003e4aa704f,00 -2368,2368,0,00000003e4aa7050,1e,00000003e4aa7050,0f -2369,2369,0,00000003e4aa7051,1d,00000003e4aa7051,10 -2370,2370,0,00000003e4aa7052,1c,00000003e4aa7052,00 -2371,2371,0,00000003e4aa7053,1c,00000003e4aa7053,00 -2372,2372,0,00000003e4aa7054,1c,00000003e4aa7054,00 -2373,2373,0,00000003e4aa7055,1c,00000003e4aa7055,00 -2374,2374,0,00000003e4aa7056,1c,00000003e4aa7056,00 -2375,2375,0,00000003e4aa7057,1c,00000003e4aa7057,00 -2376,2376,0,00000003e4aa7058,1c,00000003e4aa7058,00 -2377,2377,0,00000003e4aa7059,1c,00000003e4aa7059,00 -2378,2378,0,00000003e4aa705a,1c,00000003e4aa705a,00 -2379,2379,0,00000003e4aa705b,1c,00000003e4aa705b,00 -2380,2380,0,00000003e4aa705c,1c,00000003e4aa705c,00 -2381,2381,0,00000003e4aa705d,1c,00000003e4aa705d,00 -2382,2382,0,00000003e4aa705e,1c,00000003e4aa705e,00 -2383,2383,0,00000003e4aa705f,1c,00000003e4aa705f,00 -2384,2384,0,00000003e4aa7060,1e,00000003e4aa7060,00 -2385,2385,0,00000003e4aa7061,1d,00000003e4aa7061,10 -2386,2386,0,00000003e4aa7062,1c,00000003e4aa7062,00 -2387,2387,0,00000003e4aa7063,1c,00000003e4aa7063,00 -2388,2388,0,00000003e4aa7064,1c,00000003e4aa7064,00 -2389,2389,0,00000003e4aa7065,1c,00000003e4aa7065,00 -2390,2390,0,00000003e4aa7066,1c,00000003e4aa7066,00 -2391,2391,0,00000003e4aa7067,1c,00000003e4aa7067,00 -2392,2392,0,00000003e4aa7068,1c,00000003e4aa7068,00 -2393,2393,0,00000003e4aa7069,1c,00000003e4aa7069,00 -2394,2394,0,00000003e4aa706a,1c,00000003e4aa706a,00 -2395,2395,0,00000003e4aa706b,1c,00000003e4aa706b,00 -2396,2396,0,00000003e4aa706c,1c,00000003e4aa706c,00 -2397,2397,0,00000003e4aa706d,1c,00000003e4aa706d,00 -2398,2398,0,00000003e4aa706e,1c,00000003e4aa706e,00 -2399,2399,0,00000003e4aa706f,1c,00000003e4aa706f,00 -2400,2400,0,00000003e4aa7070,1e,00000003e4aa7070,0f -2401,2401,0,00000003e4aa7071,1d,00000003e4aa7071,10 -2402,2402,0,00000003e4aa7072,1c,00000003e4aa7072,00 -2403,2403,0,00000003e4aa7073,1c,00000003e4aa7073,00 -2404,2404,0,00000003e4aa7074,1c,00000003e4aa7074,00 -2405,2405,0,00000003e4aa7075,1c,00000003e4aa7075,00 -2406,2406,0,00000003e4aa7076,1c,00000003e4aa7076,00 -2407,2407,0,00000003e4aa7077,1c,00000003e4aa7077,00 -2408,2408,0,00000003e4aa7078,1c,00000003e4aa7078,00 -2409,2409,0,00000003e4aa7079,1c,00000003e4aa7079,00 -2410,2410,0,00000003e4aa707a,1c,00000003e4aa707a,00 -2411,2411,0,00000003e4aa707b,1c,00000003e4aa707b,00 -2412,2412,0,00000003e4aa707c,1c,00000003e4aa707c,00 -2413,2413,0,00000003e4aa707d,1c,00000003e4aa707d,00 -2414,2414,0,00000003e4aa707e,1c,00000003e4aa707e,00 -2415,2415,0,00000003e4aa707f,1c,00000003e4aa707f,00 -2416,2416,0,00000003e4aa7080,1e,00000003e4aa7080,0f -2417,2417,0,00000003e4aa7081,1d,00000003e4aa7081,10 -2418,2418,0,00000003e4aa7082,1c,00000003e4aa7082,00 -2419,2419,0,00000003e4aa7083,1c,00000003e4aa7083,00 -2420,2420,0,00000003e4aa7084,1c,00000003e4aa7084,00 -2421,2421,0,00000003e4aa7085,1c,00000003e4aa7085,00 -2422,2422,0,00000003e4aa7086,1c,00000003e4aa7086,00 -2423,2423,0,00000003e4aa7087,1c,00000003e4aa7087,00 -2424,2424,0,00000003e4aa7088,1c,00000003e4aa7088,00 -2425,2425,0,00000003e4aa7089,1c,00000003e4aa7089,00 -2426,2426,0,00000003e4aa708a,1c,00000003e4aa708a,00 -2427,2427,0,00000003e4aa708b,1c,00000003e4aa708b,00 -2428,2428,0,00000003e4aa708c,1c,00000003e4aa708c,00 -2429,2429,0,00000003e4aa708d,1c,00000003e4aa708d,00 -2430,2430,0,00000003e4aa708e,1c,00000003e4aa708e,00 -2431,2431,0,00000003e4aa708f,1c,00000003e4aa708f,00 -2432,2432,0,00000003e4aa7090,1e,00000003e4aa7090,00 -2433,2433,0,00000003e4aa7091,1d,00000003e4aa7091,10 -2434,2434,0,00000003e4aa7092,1c,00000003e4aa7092,00 -2435,2435,0,00000003e4aa7093,1c,00000003e4aa7093,00 -2436,2436,0,00000003e4aa7094,1c,00000003e4aa7094,00 -2437,2437,0,00000003e4aa7095,1c,00000003e4aa7095,00 -2438,2438,0,00000003e4aa7096,1c,00000003e4aa7096,00 -2439,2439,0,00000003e4aa7097,1c,00000003e4aa7097,00 -2440,2440,0,00000003e4aa7098,1c,00000003e4aa7098,00 -2441,2441,0,00000003e4aa7099,1c,00000003e4aa7099,00 -2442,2442,0,00000003e4aa709a,1c,00000003e4aa709a,00 -2443,2443,0,00000003e4aa709b,1c,00000003e4aa709b,00 -2444,2444,0,00000003e4aa709c,1c,00000003e4aa709c,00 -2445,2445,0,00000003e4aa709d,1c,00000003e4aa709d,00 -2446,2446,0,00000003e4aa709e,1c,00000003e4aa709e,00 -2447,2447,0,00000003e4aa709f,1c,00000003e4aa709f,00 -2448,2448,0,00000003e4aa70a0,1e,00000003e4aa70a0,00 -2449,2449,0,00000003e4aa70a1,1d,00000003e4aa70a1,10 -2450,2450,0,00000003e4aa70a2,1c,00000003e4aa70a2,00 -2451,2451,0,00000003e4aa70a3,1c,00000003e4aa70a3,00 -2452,2452,0,00000003e4aa70a4,1c,00000003e4aa70a4,00 -2453,2453,0,00000003e4aa70a5,1c,00000003e4aa70a5,00 -2454,2454,0,00000003e4aa70a6,1c,00000003e4aa70a6,00 -2455,2455,0,00000003e4aa70a7,1c,00000003e4aa70a7,00 -2456,2456,0,00000003e4aa70a8,1c,00000003e4aa70a8,00 -2457,2457,0,00000003e4aa70a9,1c,00000003e4aa70a9,00 -2458,2458,0,00000003e4aa70aa,1c,00000003e4aa70aa,00 -2459,2459,0,00000003e4aa70ab,1c,00000003e4aa70ab,00 -2460,2460,0,00000003e4aa70ac,1c,00000003e4aa70ac,00 -2461,2461,0,00000003e4aa70ad,1c,00000003e4aa70ad,00 -2462,2462,0,00000003e4aa70ae,1c,00000003e4aa70ae,00 -2463,2463,0,00000003e4aa70af,1c,00000003e4aa70af,00 -2464,2464,0,00000003e4aa70b0,1e,00000003e4aa70b0,00 -2465,2465,0,00000003e4aa70b1,1d,00000003e4aa70b1,10 -2466,2466,0,00000003e4aa70b2,1c,00000003e4aa70b2,00 -2467,2467,0,00000003e4aa70b3,1c,00000003e4aa70b3,00 -2468,2468,0,00000003e4aa70b4,1c,00000003e4aa70b4,00 -2469,2469,0,00000003e4aa70b5,1c,00000003e4aa70b5,00 -2470,2470,0,00000003e4aa70b6,1c,00000003e4aa70b6,00 -2471,2471,0,00000003e4aa70b7,1c,00000003e4aa70b7,00 -2472,2472,0,00000003e4aa70b8,1c,00000003e4aa70b8,00 -2473,2473,0,00000003e4aa70b9,1c,00000003e4aa70b9,00 -2474,2474,0,00000003e4aa70ba,1c,00000003e4aa70ba,00 -2475,2475,0,00000003e4aa70bb,1c,00000003e4aa70bb,00 -2476,2476,0,00000003e4aa70bc,1c,00000003e4aa70bc,00 -2477,2477,0,00000003e4aa70bd,1c,00000003e4aa70bd,00 -2478,2478,0,00000003e4aa70be,1c,00000003e4aa70be,00 -2479,2479,0,00000003e4aa70bf,1c,00000003e4aa70bf,00 -2480,2480,0,00000003e4aa70c0,1e,00000003e4aa70c0,0f -2481,2481,0,00000003e4aa70c1,1d,00000003e4aa70c1,10 -2482,2482,0,00000003e4aa70c2,1c,00000003e4aa70c2,00 -2483,2483,0,00000003e4aa70c3,1c,00000003e4aa70c3,00 -2484,2484,0,00000003e4aa70c4,1c,00000003e4aa70c4,00 -2485,2485,0,00000003e4aa70c5,1c,00000003e4aa70c5,00 -2486,2486,0,00000003e4aa70c6,1c,00000003e4aa70c6,00 -2487,2487,0,00000003e4aa70c7,1c,00000003e4aa70c7,00 -2488,2488,0,00000003e4aa70c8,1c,00000003e4aa70c8,00 -2489,2489,0,00000003e4aa70c9,1c,00000003e4aa70c9,00 -2490,2490,0,00000003e4aa70ca,1c,00000003e4aa70ca,00 -2491,2491,0,00000003e4aa70cb,1c,00000003e4aa70cb,00 -2492,2492,0,00000003e4aa70cc,1c,00000003e4aa70cc,00 -2493,2493,0,00000003e4aa70cd,1c,00000003e4aa70cd,00 -2494,2494,0,00000003e4aa70ce,1c,00000003e4aa70ce,00 -2495,2495,0,00000003e4aa70cf,1c,00000003e4aa70cf,00 -2496,2496,0,00000003e4aa70d0,1e,00000003e4aa70d0,0f -2497,2497,0,00000003e4aa70d1,1d,00000003e4aa70d1,10 -2498,2498,0,00000003e4aa70d2,1c,00000003e4aa70d2,00 -2499,2499,0,00000003e4aa70d3,1c,00000003e4aa70d3,00 -2500,2500,0,00000003e4aa70d4,1c,00000003e4aa70d4,00 -2501,2501,0,00000003e4aa70d5,1c,00000003e4aa70d5,00 -2502,2502,0,00000003e4aa70d6,1c,00000003e4aa70d6,00 -2503,2503,0,00000003e4aa70d7,1c,00000003e4aa70d7,00 -2504,2504,0,00000003e4aa70d8,1c,00000003e4aa70d8,00 -2505,2505,0,00000003e4aa70d9,1c,00000003e4aa70d9,00 -2506,2506,0,00000003e4aa70da,1c,00000003e4aa70da,00 -2507,2507,0,00000003e4aa70db,1c,00000003e4aa70db,00 -2508,2508,0,00000003e4aa70dc,1c,00000003e4aa70dc,00 -2509,2509,0,00000003e4aa70dd,1c,00000003e4aa70dd,00 -2510,2510,0,00000003e4aa70de,1c,00000003e4aa70de,00 -2511,2511,0,00000003e4aa70df,1c,00000003e4aa70df,00 -2512,2512,0,00000003e4aa70e0,1e,00000003e4aa70e0,0f -2513,2513,0,00000003e4aa70e1,1d,00000003e4aa70e1,10 -2514,2514,0,00000003e4aa70e2,1c,00000003e4aa70e2,00 -2515,2515,0,00000003e4aa70e3,1c,00000003e4aa70e3,00 -2516,2516,0,00000003e4aa70e4,1c,00000003e4aa70e4,00 -2517,2517,0,00000003e4aa70e5,1c,00000003e4aa70e5,00 -2518,2518,0,00000003e4aa70e6,1c,00000003e4aa70e6,00 -2519,2519,0,00000003e4aa70e7,1c,00000003e4aa70e7,00 -2520,2520,0,00000003e4aa70e8,1c,00000003e4aa70e8,00 -2521,2521,0,00000003e4aa70e9,1c,00000003e4aa70e9,00 -2522,2522,0,00000003e4aa70ea,1c,00000003e4aa70ea,00 -2523,2523,0,00000003e4aa70eb,1c,00000003e4aa70eb,00 -2524,2524,0,00000003e4aa70ec,1c,00000003e4aa70ec,00 -2525,2525,0,00000003e4aa70ed,1c,00000003e4aa70ed,00 -2526,2526,0,00000003e4aa70ee,1c,00000003e4aa70ee,00 -2527,2527,0,00000003e4aa70ef,1c,00000003e4aa70ef,00 -2528,2528,0,00000003e4aa70f0,1e,00000003e4aa70f0,00 -2529,2529,0,00000003e4aa70f1,1d,00000003e4aa70f1,10 -2530,2530,0,00000003e4aa70f2,1c,00000003e4aa70f2,00 -2531,2531,0,00000003e4aa70f3,1c,00000003e4aa70f3,00 -2532,2532,0,00000003e4aa70f4,1c,00000003e4aa70f4,00 -2533,2533,0,00000003e4aa70f5,1c,00000003e4aa70f5,00 -2534,2534,0,00000003e4aa70f6,1c,00000003e4aa70f6,00 -2535,2535,0,00000003e4aa70f7,1c,00000003e4aa70f7,00 -2536,2536,0,00000003e4aa70f8,1c,00000003e4aa70f8,00 -2537,2537,0,00000003e4aa70f9,1c,00000003e4aa70f9,00 -2538,2538,0,00000003e4aa70fa,1c,00000003e4aa70fa,00 -2539,2539,0,00000003e4aa70fb,1c,00000003e4aa70fb,00 -2540,2540,0,00000003e4aa70fc,1c,00000003e4aa70fc,00 -2541,2541,0,00000003e4aa70fd,1c,00000003e4aa70fd,00 -2542,2542,0,00000003e4aa70fe,1c,00000003e4aa70fe,00 -2543,2543,0,00000003e4aa70ff,1c,00000003e4aa70ff,00 -2544,2544,0,00000003e4aa7100,1e,00000003e4aa7100,0f -2545,2545,0,00000003e4aa7101,1d,00000003e4aa7101,10 -2546,2546,0,00000003e4aa7102,1c,00000003e4aa7102,00 -2547,2547,0,00000003e4aa7103,1c,00000003e4aa7103,00 -2548,2548,0,00000003e4aa7104,1c,00000003e4aa7104,00 -2549,2549,0,00000003e4aa7105,1c,00000003e4aa7105,00 -2550,2550,0,00000003e4aa7106,1c,00000003e4aa7106,00 -2551,2551,0,00000003e4aa7107,1c,00000003e4aa7107,00 -2552,2552,0,00000003e4aa7108,1c,00000003e4aa7108,00 -2553,2553,0,00000003e4aa7109,1c,00000003e4aa7109,00 -2554,2554,0,00000003e4aa710a,1c,00000003e4aa710a,00 -2555,2555,0,00000003e4aa710b,1c,00000003e4aa710b,00 -2556,2556,0,00000003e4aa710c,1c,00000003e4aa710c,00 -2557,2557,0,00000003e4aa710d,1c,00000003e4aa710d,00 -2558,2558,0,00000003e4aa710e,1c,00000003e4aa710e,00 -2559,2559,0,00000003e4aa710f,1c,00000003e4aa710f,00 -2560,2560,0,00000003e4aa7110,1e,00000003e4aa7110,0f -2561,2561,0,00000003e4aa7111,1d,00000003e4aa7110,0f -2562,2562,0,00000003e4aa7112,1c,00000003e4aa7110,0f -2563,2563,0,00000003e4aa7113,1c,00000003e4aa7110,0f -2564,2564,0,00000003e4aa7114,1c,00000003e4aa7110,0f -2565,2565,0,00000003e4aa7115,1c,00000003e4aa7110,0f -2566,2566,0,00000003e4aa7116,1c,00000003e4aa7110,0f -2567,2567,0,00000003e4aa7117,1c,00000003e4aa7110,0f -2568,2568,0,00000003e4aa7118,1c,00000003e4aa7110,0f -2569,2569,0,00000003e4aa7119,1c,00000003e4aa7110,0f -2570,2570,0,00000003e4aa711a,1c,00000003e4aa7110,0f -2571,2571,0,00000003e4aa711b,1c,00000003e4aa7110,0f -2572,2572,0,00000003e4aa711c,1c,00000003e4aa7110,0f -2573,2573,0,00000003e4aa711d,1c,00000003e4aa7110,0f -2574,2574,0,00000003e4aa711e,1c,00000003e4aa7110,0f -2575,2575,0,00000003e4aa711f,1c,00000003e4aa7110,0f -2576,2576,0,00000003e4aa7120,1e,00000003e4aa7121,10 -2577,2577,0,00000003e4aa7121,1d,00000003e4aa7122,00 -2578,2578,0,00000003e4aa7122,1c,00000003e4aa7123,00 -2579,2579,0,00000003e4aa7123,1c,00000003e4aa7123,00 -2580,2580,0,00000003e4aa7124,1c,00000003e4aa7124,00 -2581,2581,0,00000003e4aa7125,1c,00000003e4aa7125,00 -2582,2582,0,00000003e4aa7126,1c,00000003e4aa7126,00 -2583,2583,0,00000003e4aa7127,1c,00000003e4aa7127,00 -2584,2584,0,00000003e4aa7128,1c,00000003e4aa7128,00 -2585,2585,0,00000003e4aa7129,1c,00000003e4aa7129,00 -2586,2586,0,00000003e4aa712a,1c,00000003e4aa712a,00 -2587,2587,0,00000003e4aa712b,1c,00000003e4aa712b,00 -2588,2588,0,00000003e4aa712c,1c,00000003e4aa712c,00 -2589,2589,0,00000003e4aa712d,1c,00000003e4aa712d,00 -2590,2590,0,00000003e4aa712e,1c,00000003e4aa712e,00 -2591,2591,0,00000003e4aa712f,1c,00000003e4aa712f,00 -2592,2592,0,00000003e4aa7130,1e,00000003e4aa7130,0f -2593,2593,0,00000003e4aa7131,1d,00000003e4aa7131,10 -2594,2594,0,00000003e4aa7132,1c,00000003e4aa7132,00 -2595,2595,0,00000003e4aa7133,1c,00000003e4aa7133,00 -2596,2596,0,00000003e4aa7134,1c,00000003e4aa7134,00 -2597,2597,0,00000003e4aa7135,1c,00000003e4aa7135,00 -2598,2598,0,00000003e4aa7136,1c,00000003e4aa7136,00 -2599,2599,0,00000003e4aa7137,1c,00000003e4aa7137,00 -2600,2600,0,00000003e4aa7138,1c,00000003e4aa7138,00 -2601,2601,0,00000003e4aa7139,1c,00000003e4aa7139,00 -2602,2602,0,00000003e4aa713a,1c,00000003e4aa713a,00 -2603,2603,0,00000003e4aa713b,1c,00000003e4aa713b,00 -2604,2604,0,00000003e4aa713c,1c,00000003e4aa713c,00 -2605,2605,0,00000003e4aa713d,1c,00000003e4aa713d,00 -2606,2606,0,00000003e4aa713e,1c,00000003e4aa713e,00 -2607,2607,0,00000003e4aa713f,1c,00000003e4aa713f,00 -2608,2608,0,00000003e4aa7140,1e,00000003e4aa7140,0f -2609,2609,0,00000003e4aa7141,1d,00000003e4aa7141,10 -2610,2610,0,00000003e4aa7142,1c,00000003e4aa7142,00 -2611,2611,0,00000003e4aa7143,1c,00000003e4aa7143,00 -2612,2612,0,00000003e4aa7144,1c,00000003e4aa7144,00 -2613,2613,0,00000003e4aa7145,1c,00000003e4aa7145,00 -2614,2614,0,00000003e4aa7146,1c,00000003e4aa7146,00 -2615,2615,0,00000003e4aa7147,1c,00000003e4aa7147,00 -2616,2616,0,00000003e4aa7148,1c,00000003e4aa7148,00 -2617,2617,0,00000003e4aa7149,1c,00000003e4aa7149,00 -2618,2618,0,00000003e4aa714a,1c,00000003e4aa714a,00 -2619,2619,0,00000003e4aa714b,1c,00000003e4aa714b,00 -2620,2620,0,00000003e4aa714c,1c,00000003e4aa714c,00 -2621,2621,0,00000003e4aa714d,1c,00000003e4aa714d,00 -2622,2622,0,00000003e4aa714e,1c,00000003e4aa714e,00 -2623,2623,0,00000003e4aa714f,1c,00000003e4aa714f,00 -2624,2624,0,00000003e4aa7150,1e,00000003e4aa7150,0f -2625,2625,0,00000003e4aa7151,1d,00000003e4aa7151,10 -2626,2626,0,00000003e4aa7152,1c,00000003e4aa7152,00 -2627,2627,0,00000003e4aa7153,1c,00000003e4aa7153,00 -2628,2628,0,00000003e4aa7154,1c,00000003e4aa7154,00 -2629,2629,0,00000003e4aa7155,1c,00000003e4aa7155,00 -2630,2630,0,00000003e4aa7156,1c,00000003e4aa7156,00 -2631,2631,0,00000003e4aa7157,1c,00000003e4aa7157,00 -2632,2632,0,00000003e4aa7158,1c,00000003e4aa7158,00 -2633,2633,0,00000003e4aa7159,1c,00000003e4aa7159,00 -2634,2634,0,00000003e4aa715a,1c,00000003e4aa715a,00 -2635,2635,0,00000003e4aa715b,1c,00000003e4aa715b,00 -2636,2636,0,00000003e4aa715c,1c,00000003e4aa715c,00 -2637,2637,0,00000003e4aa715d,1c,00000003e4aa715d,00 -2638,2638,0,00000003e4aa715e,1c,00000003e4aa715e,00 -2639,2639,0,00000003e4aa715f,1c,00000003e4aa715f,00 -2640,2640,0,00000003e4aa7160,1e,00000003e4aa7160,00 -2641,2641,0,00000003e4aa7161,1d,00000003e4aa7161,00 -2642,2642,0,00000003e4aa7162,1c,00000003e4aa7162,00 -2643,2643,0,00000003e4aa7163,1c,00000003e4aa7163,00 -2644,2644,0,00000003e4aa7164,1c,00000003e4aa7164,00 -2645,2645,0,00000003e4aa7165,1c,00000003e4aa7165,00 -2646,2646,0,00000003e4aa7166,1c,00000003e4aa7166,00 -2647,2647,0,00000003e4aa7167,1c,00000003e4aa7167,00 -2648,2648,0,00000003e4aa7168,1c,00000003e4aa7168,00 -2649,2649,0,00000003e4aa7169,1c,00000003e4aa7169,00 -2650,2650,0,00000003e4aa716a,1c,00000003e4aa716a,00 -2651,2651,0,00000003e4aa716b,1c,00000003e4aa716b,00 -2652,2652,0,00000003e4aa716c,1c,00000003e4aa716c,00 -2653,2653,0,00000003e4aa716d,1c,00000003e4aa716d,00 -2654,2654,0,00000003e4aa716e,1c,00000003e4aa716e,00 -2655,2655,0,00000003e4aa716f,1c,00000003e4aa716f,00 -2656,2656,0,00000003e4aa7170,1e,00000003e4aa7170,0f -2657,2657,0,00000003e4aa7171,1d,00000003e4aa7171,10 -2658,2658,0,00000003e4aa7172,1c,00000003e4aa7172,00 -2659,2659,0,00000003e4aa7173,1c,00000003e4aa7173,00 -2660,2660,0,00000003e4aa7174,1c,00000003e4aa7174,00 -2661,2661,0,00000003e4aa7175,1c,00000003e4aa7175,00 -2662,2662,0,00000003e4aa7176,1c,00000003e4aa7176,00 -2663,2663,0,00000003e4aa7177,1c,00000003e4aa7177,00 -2664,2664,0,00000003e4aa7178,1c,00000003e4aa7178,00 -2665,2665,0,00000003e4aa7179,1c,00000003e4aa7179,00 -2666,2666,0,00000003e4aa717a,1c,00000003e4aa717a,00 -2667,2667,0,00000003e4aa717b,1c,00000003e4aa717b,00 -2668,2668,0,00000003e4aa717c,1c,00000003e4aa717c,00 -2669,2669,0,00000003e4aa717d,1c,00000003e4aa717d,00 -2670,2670,0,00000003e4aa717e,1c,00000003e4aa717e,00 -2671,2671,0,00000003e4aa717f,1c,00000003e4aa717f,00 -2672,2672,0,00000003e4aa7180,1e,00000003e4aa7180,0f -2673,2673,0,00000003e4aa7181,1d,00000003e4aa7181,10 -2674,2674,0,00000003e4aa7182,1c,00000003e4aa7182,00 -2675,2675,0,00000003e4aa7183,1c,00000003e4aa7183,00 -2676,2676,0,00000003e4aa7184,1c,00000003e4aa7184,00 -2677,2677,0,00000003e4aa7185,1c,00000003e4aa7185,00 -2678,2678,0,00000003e4aa7186,1c,00000003e4aa7186,00 -2679,2679,0,00000003e4aa7187,1c,00000003e4aa7187,00 -2680,2680,0,00000003e4aa7188,1c,00000003e4aa7188,00 -2681,2681,0,00000003e4aa7189,1c,00000003e4aa7189,00 -2682,2682,0,00000003e4aa718a,1c,00000003e4aa718a,00 -2683,2683,0,00000003e4aa718b,1c,00000003e4aa718b,00 -2684,2684,0,00000003e4aa718c,1c,00000003e4aa718c,00 -2685,2685,0,00000003e4aa718d,1c,00000003e4aa718d,00 -2686,2686,0,00000003e4aa718e,1c,00000003e4aa718e,00 -2687,2687,0,00000003e4aa718f,1c,00000003e4aa718f,00 -2688,2688,0,00000003e4aa7190,1e,00000003e4aa7190,00 -2689,2689,0,00000003e4aa7191,1d,00000003e4aa7191,00 -2690,2690,0,00000003e4aa7192,1c,00000003e4aa7192,00 -2691,2691,0,00000003e4aa7193,1c,00000003e4aa7193,00 -2692,2692,0,00000003e4aa7194,1c,00000003e4aa7194,00 -2693,2693,0,00000003e4aa7195,1c,00000003e4aa7195,00 -2694,2694,0,00000003e4aa7196,1c,00000003e4aa7196,00 -2695,2695,0,00000003e4aa7197,1c,00000003e4aa7197,00 -2696,2696,0,00000003e4aa7198,1c,00000003e4aa7198,00 -2697,2697,0,00000003e4aa7199,1c,00000003e4aa7199,00 -2698,2698,0,00000003e4aa719a,1c,00000003e4aa719a,00 -2699,2699,0,00000003e4aa719b,1c,00000003e4aa719b,00 -2700,2700,0,00000003e4aa719c,1c,00000003e4aa719c,00 -2701,2701,0,00000003e4aa719d,1c,00000003e4aa719d,00 -2702,2702,0,00000003e4aa719e,1c,00000003e4aa719e,00 -2703,2703,0,00000003e4aa719f,1c,00000003e4aa719f,00 -2704,2704,0,00000003e4aa71a0,1e,00000003e4aa71a0,0f -2705,2705,0,00000003e4aa71a1,1d,00000003e4aa71a1,10 -2706,2706,0,00000003e4aa71a2,1c,00000003e4aa71a2,00 -2707,2707,0,00000003e4aa71a3,1c,00000003e4aa71a3,00 -2708,2708,0,00000003e4aa71a4,1c,00000003e4aa71a4,00 -2709,2709,0,00000003e4aa71a5,1c,00000003e4aa71a5,00 -2710,2710,0,00000003e4aa71a6,1c,00000003e4aa71a6,00 -2711,2711,0,00000003e4aa71a7,1c,00000003e4aa71a7,00 -2712,2712,0,00000003e4aa71a8,1c,00000003e4aa71a8,00 -2713,2713,0,00000003e4aa71a9,1c,00000003e4aa71a9,00 -2714,2714,0,00000003e4aa71aa,1c,00000003e4aa71aa,00 -2715,2715,0,00000003e4aa71ab,1c,00000003e4aa71ab,00 -2716,2716,0,00000003e4aa71ac,1c,00000003e4aa71ac,00 -2717,2717,0,00000003e4aa71ad,1c,00000003e4aa71ad,00 -2718,2718,0,00000003e4aa71ae,1c,00000003e4aa71ae,00 -2719,2719,0,00000003e4aa71af,1c,00000003e4aa71af,00 -2720,2720,0,00000003e4aa71b0,1e,00000003e4aa71b0,00 -2721,2721,0,00000003e4aa71b1,1d,00000003e4aa71b1,00 -2722,2722,0,00000003e4aa71b2,1c,00000003e4aa71b2,00 -2723,2723,0,00000003e4aa71b3,1c,00000003e4aa71b3,00 -2724,2724,0,00000003e4aa71b4,1c,00000003e4aa71b4,00 -2725,2725,0,00000003e4aa71b5,1c,00000003e4aa71b5,00 -2726,2726,0,00000003e4aa71b6,1c,00000003e4aa71b6,00 -2727,2727,0,00000003e4aa71b7,1c,00000003e4aa71b7,00 -2728,2728,0,00000003e4aa71b8,1c,00000003e4aa71b8,00 -2729,2729,0,00000003e4aa71b9,1c,00000003e4aa71b9,00 -2730,2730,0,00000003e4aa71ba,1c,00000003e4aa71ba,00 -2731,2731,0,00000003e4aa71bb,1c,00000003e4aa71bb,00 -2732,2732,0,00000003e4aa71bc,1c,00000003e4aa71bc,00 -2733,2733,0,00000003e4aa71bd,1c,00000003e4aa71bd,00 -2734,2734,0,00000003e4aa71be,1c,00000003e4aa71be,00 -2735,2735,0,00000003e4aa71bf,1c,00000003e4aa71bf,00 -2736,2736,0,00000003e4aa71c0,1e,00000003e4aa71c0,0f -2737,2737,0,00000003e4aa71c1,1d,00000003e4aa71c1,10 -2738,2738,0,00000003e4aa71c2,1c,00000003e4aa71c2,00 -2739,2739,0,00000003e4aa71c3,1c,00000003e4aa71c3,00 -2740,2740,0,00000003e4aa71c4,1c,00000003e4aa71c4,00 -2741,2741,0,00000003e4aa71c5,1c,00000003e4aa71c5,00 -2742,2742,0,00000003e4aa71c6,1c,00000003e4aa71c6,00 -2743,2743,0,00000003e4aa71c7,1c,00000003e4aa71c7,00 -2744,2744,0,00000003e4aa71c8,1c,00000003e4aa71c8,00 -2745,2745,0,00000003e4aa71c9,1c,00000003e4aa71c9,00 -2746,2746,0,00000003e4aa71ca,1c,00000003e4aa71ca,00 -2747,2747,0,00000003e4aa71cb,1c,00000003e4aa71cb,00 -2748,2748,0,00000003e4aa71cc,1c,00000003e4aa71cc,00 -2749,2749,0,00000003e4aa71cd,1c,00000003e4aa71cd,00 -2750,2750,0,00000003e4aa71ce,1c,00000003e4aa71ce,00 -2751,2751,0,00000003e4aa71cf,1c,00000003e4aa71cf,00 -2752,2752,0,00000003e4aa71d0,1e,00000003e4aa71d0,00 -2753,2753,0,00000003e4aa71d1,1d,00000003e4aa71d1,00 -2754,2754,0,00000003e4aa71d2,1c,00000003e4aa71d2,00 -2755,2755,0,00000003e4aa71d3,1c,00000003e4aa71d3,00 -2756,2756,0,00000003e4aa71d4,1c,00000003e4aa71d4,00 -2757,2757,0,00000003e4aa71d5,1c,00000003e4aa71d5,00 -2758,2758,0,00000003e4aa71d6,1c,00000003e4aa71d6,00 -2759,2759,0,00000003e4aa71d7,1c,00000003e4aa71d7,00 -2760,2760,0,00000003e4aa71d8,1c,00000003e4aa71d8,00 -2761,2761,0,00000003e4aa71d9,1c,00000003e4aa71d9,00 -2762,2762,0,00000003e4aa71da,1c,00000003e4aa71da,00 -2763,2763,0,00000003e4aa71db,1c,00000003e4aa71db,00 -2764,2764,0,00000003e4aa71dc,1c,00000003e4aa71dc,00 -2765,2765,0,00000003e4aa71dd,1c,00000003e4aa71dd,00 -2766,2766,0,00000003e4aa71de,1c,00000003e4aa71de,00 -2767,2767,0,00000003e4aa71df,1c,00000003e4aa71df,00 -2768,2768,0,00000003e4aa71e0,1e,00000003e4aa71e0,0f -2769,2769,0,00000003e4aa71e1,1d,00000003e4aa71e1,10 -2770,2770,0,00000003e4aa71e2,1c,00000003e4aa71e2,00 -2771,2771,0,00000003e4aa71e3,1c,00000003e4aa71e3,00 -2772,2772,0,00000003e4aa71e4,1c,00000003e4aa71e4,00 -2773,2773,0,00000003e4aa71e5,1c,00000003e4aa71e5,00 -2774,2774,0,00000003e4aa71e6,1c,00000003e4aa71e6,00 -2775,2775,0,00000003e4aa71e7,1c,00000003e4aa71e7,00 -2776,2776,0,00000003e4aa71e8,1c,00000003e4aa71e8,00 -2777,2777,0,00000003e4aa71e9,1c,00000003e4aa71e9,00 -2778,2778,0,00000003e4aa71ea,1c,00000003e4aa71ea,00 -2779,2779,0,00000003e4aa71eb,1c,00000003e4aa71eb,00 -2780,2780,0,00000003e4aa71ec,1c,00000003e4aa71ec,00 -2781,2781,0,00000003e4aa71ed,1c,00000003e4aa71ed,00 -2782,2782,0,00000003e4aa71ee,1c,00000003e4aa71ee,00 -2783,2783,0,00000003e4aa71ef,1c,00000003e4aa71ef,00 -2784,2784,0,00000003e4aa71f0,1e,00000003e4aa71f0,0f -2785,2785,0,00000003e4aa71f1,1d,00000003e4aa71f1,10 -2786,2786,0,00000003e4aa71f2,1c,00000003e4aa71f2,00 -2787,2787,0,00000003e4aa71f3,1c,00000003e4aa71f3,00 -2788,2788,0,00000003e4aa71f4,1c,00000003e4aa71f4,00 -2789,2789,0,00000003e4aa71f5,1c,00000003e4aa71f5,00 -2790,2790,0,00000003e4aa71f6,1c,00000003e4aa71f6,00 -2791,2791,0,00000003e4aa71f7,1c,00000003e4aa71f7,00 -2792,2792,0,00000003e4aa71f8,1c,00000003e4aa71f8,00 -2793,2793,0,00000003e4aa71f9,1c,00000003e4aa71f9,00 -2794,2794,0,00000003e4aa71fa,1c,00000003e4aa71fa,00 -2795,2795,0,00000003e4aa71fb,1c,00000003e4aa71fb,00 -2796,2796,0,00000003e4aa71fc,1c,00000003e4aa71fc,00 -2797,2797,0,00000003e4aa71fd,1c,00000003e4aa71fd,00 -2798,2798,0,00000003e4aa71fe,1c,00000003e4aa71fe,00 -2799,2799,0,00000003e4aa71ff,1c,00000003e4aa71ff,00 -2800,2800,0,00000003e4aa7200,1e,00000003e4aa7200,0f -2801,2801,0,00000003e4aa7201,1d,00000003e4aa7201,10 -2802,2802,0,00000003e4aa7202,1c,00000003e4aa7202,00 -2803,2803,0,00000003e4aa7203,1c,00000003e4aa7203,00 -2804,2804,0,00000003e4aa7204,1c,00000003e4aa7204,00 -2805,2805,0,00000003e4aa7205,1c,00000003e4aa7205,00 -2806,2806,0,00000003e4aa7206,1c,00000003e4aa7206,00 -2807,2807,0,00000003e4aa7207,1c,00000003e4aa7207,00 -2808,2808,0,00000003e4aa7208,1c,00000003e4aa7208,00 -2809,2809,0,00000003e4aa7209,1c,00000003e4aa7209,00 -2810,2810,0,00000003e4aa720a,1c,00000003e4aa720a,00 -2811,2811,0,00000003e4aa720b,1c,00000003e4aa720b,00 -2812,2812,0,00000003e4aa720c,1c,00000003e4aa720c,00 -2813,2813,0,00000003e4aa720d,1c,00000003e4aa720d,00 -2814,2814,0,00000003e4aa720e,1c,00000003e4aa720e,00 -2815,2815,0,00000003e4aa720f,1c,00000003e4aa720f,00 -2816,2816,0,00000003e4aa7210,1e,00000003e4aa7210,0f -2817,2817,0,00000003e4aa7211,1d,00000003e4aa7211,10 -2818,2818,0,00000003e4aa7212,1c,00000003e4aa7212,00 -2819,2819,0,00000003e4aa7213,1c,00000003e4aa7213,00 -2820,2820,0,00000003e4aa7214,1c,00000003e4aa7214,00 -2821,2821,0,00000003e4aa7215,1c,00000003e4aa7215,00 -2822,2822,0,00000003e4aa7216,1c,00000003e4aa7216,00 -2823,2823,0,00000003e4aa7217,1c,00000003e4aa7217,00 -2824,2824,0,00000003e4aa7218,1c,00000003e4aa7218,00 -2825,2825,0,00000003e4aa7219,1c,00000003e4aa7219,00 -2826,2826,0,00000003e4aa721a,1c,00000003e4aa721a,00 -2827,2827,0,00000003e4aa721b,1c,00000003e4aa721b,00 -2828,2828,0,00000003e4aa721c,1c,00000003e4aa721c,00 -2829,2829,0,00000003e4aa721d,1c,00000003e4aa721d,00 -2830,2830,0,00000003e4aa721e,1c,00000003e4aa721e,00 -2831,2831,0,00000003e4aa721f,1c,00000003e4aa721f,00 -2832,2832,0,00000003e4aa7220,1e,00000003e4aa7220,0f -2833,2833,0,00000003e4aa7221,1d,00000003e4aa7221,10 -2834,2834,0,00000003e4aa7222,1c,00000003e4aa7222,00 -2835,2835,0,00000003e4aa7223,1c,00000003e4aa7223,00 -2836,2836,0,00000003e4aa7224,1c,00000003e4aa7224,00 -2837,2837,0,00000003e4aa7225,1c,00000003e4aa7225,00 -2838,2838,0,00000003e4aa7226,1c,00000003e4aa7226,00 -2839,2839,0,00000003e4aa7227,1c,00000003e4aa7227,00 -2840,2840,0,00000003e4aa7228,1c,00000003e4aa7228,00 -2841,2841,0,00000003e4aa7229,1c,00000003e4aa7229,00 -2842,2842,0,00000003e4aa722a,1c,00000003e4aa722a,00 -2843,2843,0,00000003e4aa722b,1c,00000003e4aa722b,00 -2844,2844,0,00000003e4aa722c,1c,00000003e4aa722c,00 -2845,2845,0,00000003e4aa722d,1c,00000003e4aa722d,00 -2846,2846,0,00000003e4aa722e,1c,00000003e4aa722e,00 -2847,2847,0,00000003e4aa722f,1c,00000003e4aa722f,00 -2848,2848,0,00000003e4aa7230,1e,00000003e4aa7230,00 -2849,2849,0,00000003e4aa7231,1d,00000003e4aa7231,10 -2850,2850,0,00000003e4aa7232,1c,00000003e4aa7232,00 -2851,2851,0,00000003e4aa7233,1c,00000003e4aa7233,00 -2852,2852,0,00000003e4aa7234,1c,00000003e4aa7234,00 -2853,2853,0,00000003e4aa7235,1c,00000003e4aa7235,00 -2854,2854,0,00000003e4aa7236,1c,00000003e4aa7236,00 -2855,2855,0,00000003e4aa7237,1c,00000003e4aa7237,00 -2856,2856,0,00000003e4aa7238,1c,00000003e4aa7238,00 -2857,2857,0,00000003e4aa7239,1c,00000003e4aa7239,00 -2858,2858,0,00000003e4aa723a,1c,00000003e4aa723a,00 -2859,2859,0,00000003e4aa723b,1c,00000003e4aa723b,00 -2860,2860,0,00000003e4aa723c,1c,00000003e4aa723c,00 -2861,2861,0,00000003e4aa723d,1c,00000003e4aa723d,00 -2862,2862,0,00000003e4aa723e,1c,00000003e4aa723e,00 -2863,2863,0,00000003e4aa723f,1c,00000003e4aa723f,00 -2864,2864,0,00000003e4aa7240,1e,00000003e4aa7240,0f -2865,2865,0,00000003e4aa7241,1d,00000003e4aa7241,10 -2866,2866,0,00000003e4aa7242,1c,00000003e4aa7242,00 -2867,2867,0,00000003e4aa7243,1c,00000003e4aa7243,00 -2868,2868,0,00000003e4aa7244,1c,00000003e4aa7244,00 -2869,2869,0,00000003e4aa7245,1c,00000003e4aa7245,00 -2870,2870,0,00000003e4aa7246,1c,00000003e4aa7246,00 -2871,2871,0,00000003e4aa7247,1c,00000003e4aa7247,00 -2872,2872,0,00000003e4aa7248,1c,00000003e4aa7248,00 -2873,2873,0,00000003e4aa7249,1c,00000003e4aa7249,00 -2874,2874,0,00000003e4aa724a,1c,00000003e4aa724a,00 -2875,2875,0,00000003e4aa724b,1c,00000003e4aa724b,00 -2876,2876,0,00000003e4aa724c,1c,00000003e4aa724c,00 -2877,2877,0,00000003e4aa724d,1c,00000003e4aa724d,00 -2878,2878,0,00000003e4aa724e,1c,00000003e4aa724e,00 -2879,2879,0,00000003e4aa724f,1c,00000003e4aa724f,00 -2880,2880,0,00000003e4aa7250,1e,00000003e4aa7250,0f -2881,2881,0,00000003e4aa7251,1d,00000003e4aa7251,10 -2882,2882,0,00000003e4aa7252,1c,00000003e4aa7252,00 -2883,2883,0,00000003e4aa7253,1c,00000003e4aa7253,00 -2884,2884,0,00000003e4aa7254,1c,00000003e4aa7254,00 -2885,2885,0,00000003e4aa7255,1c,00000003e4aa7255,00 -2886,2886,0,00000003e4aa7256,1c,00000003e4aa7256,00 -2887,2887,0,00000003e4aa7257,1c,00000003e4aa7257,00 -2888,2888,0,00000003e4aa7258,1c,00000003e4aa7258,00 -2889,2889,0,00000003e4aa7259,1c,00000003e4aa7259,00 -2890,2890,0,00000003e4aa725a,1c,00000003e4aa725a,00 -2891,2891,0,00000003e4aa725b,1c,00000003e4aa725b,00 -2892,2892,0,00000003e4aa725c,1c,00000003e4aa725c,00 -2893,2893,0,00000003e4aa725d,1c,00000003e4aa725d,00 -2894,2894,0,00000003e4aa725e,1c,00000003e4aa725e,00 -2895,2895,0,00000003e4aa725f,1c,00000003e4aa725f,00 -2896,2896,0,00000003e4aa7260,1e,00000003e4aa7260,0f -2897,2897,0,00000003e4aa7261,1d,00000003e4aa7261,10 -2898,2898,0,00000003e4aa7262,1c,00000003e4aa7262,00 -2899,2899,0,00000003e4aa7263,1c,00000003e4aa7263,00 -2900,2900,0,00000003e4aa7264,1c,00000003e4aa7264,00 -2901,2901,0,00000003e4aa7265,1c,00000003e4aa7265,00 -2902,2902,0,00000003e4aa7266,1c,00000003e4aa7266,00 -2903,2903,0,00000003e4aa7267,1c,00000003e4aa7267,00 -2904,2904,0,00000003e4aa7268,1c,00000003e4aa7268,00 -2905,2905,0,00000003e4aa7269,1c,00000003e4aa7269,00 -2906,2906,0,00000003e4aa726a,1c,00000003e4aa726a,00 -2907,2907,0,00000003e4aa726b,1c,00000003e4aa726b,00 -2908,2908,0,00000003e4aa726c,1c,00000003e4aa726c,00 -2909,2909,0,00000003e4aa726d,1c,00000003e4aa726d,00 -2910,2910,0,00000003e4aa726e,1c,00000003e4aa726e,00 -2911,2911,0,00000003e4aa726f,1c,00000003e4aa726f,00 -2912,2912,0,00000003e4aa7270,1e,00000003e4aa7270,0f -2913,2913,0,00000003e4aa7271,1d,00000003e4aa7271,10 -2914,2914,0,00000003e4aa7272,1c,00000003e4aa7272,00 -2915,2915,0,00000003e4aa7273,1c,00000003e4aa7273,00 -2916,2916,0,00000003e4aa7274,1c,00000003e4aa7274,00 -2917,2917,0,00000003e4aa7275,1c,00000003e4aa7275,00 -2918,2918,0,00000003e4aa7276,1c,00000003e4aa7276,00 -2919,2919,0,00000003e4aa7277,1c,00000003e4aa7277,00 -2920,2920,0,00000003e4aa7278,1c,00000003e4aa7278,00 -2921,2921,0,00000003e4aa7279,1c,00000003e4aa7279,00 -2922,2922,0,00000003e4aa727a,1c,00000003e4aa727a,00 -2923,2923,0,00000003e4aa727b,1c,00000003e4aa727b,00 -2924,2924,0,00000003e4aa727c,1c,00000003e4aa727c,00 -2925,2925,0,00000003e4aa727d,1c,00000003e4aa727d,00 -2926,2926,0,00000003e4aa727e,1c,00000003e4aa727e,00 -2927,2927,0,00000003e4aa727f,1c,00000003e4aa727f,00 -2928,2928,0,00000003e4aa7280,1e,00000003e4aa7280,0f -2929,2929,0,00000003e4aa7281,1d,00000003e4aa7281,10 -2930,2930,0,00000003e4aa7282,1c,00000003e4aa7282,00 -2931,2931,0,00000003e4aa7283,1c,00000003e4aa7283,00 -2932,2932,0,00000003e4aa7284,1c,00000003e4aa7284,00 -2933,2933,0,00000003e4aa7285,1c,00000003e4aa7285,00 -2934,2934,0,00000003e4aa7286,1c,00000003e4aa7286,00 -2935,2935,0,00000003e4aa7287,1c,00000003e4aa7287,00 -2936,2936,0,00000003e4aa7288,1c,00000003e4aa7288,00 -2937,2937,0,00000003e4aa7289,1c,00000003e4aa7289,00 -2938,2938,0,00000003e4aa728a,1c,00000003e4aa728a,00 -2939,2939,0,00000003e4aa728b,1c,00000003e4aa728b,00 -2940,2940,0,00000003e4aa728c,1c,00000003e4aa728c,00 -2941,2941,0,00000003e4aa728d,1c,00000003e4aa728d,00 -2942,2942,0,00000003e4aa728e,1c,00000003e4aa728e,00 -2943,2943,0,00000003e4aa728f,1c,00000003e4aa728f,00 -2944,2944,0,00000003e4aa7290,1e,00000003e4aa7290,0f -2945,2945,0,00000003e4aa7291,1d,00000003e4aa7291,10 -2946,2946,0,00000003e4aa7292,1c,00000003e4aa7292,00 -2947,2947,0,00000003e4aa7293,1c,00000003e4aa7293,00 -2948,2948,0,00000003e4aa7294,1c,00000003e4aa7294,00 -2949,2949,0,00000003e4aa7295,1c,00000003e4aa7295,00 -2950,2950,0,00000003e4aa7296,1c,00000003e4aa7296,00 -2951,2951,0,00000003e4aa7297,1c,00000003e4aa7297,00 -2952,2952,0,00000003e4aa7298,1c,00000003e4aa7298,00 -2953,2953,0,00000003e4aa7299,1c,00000003e4aa7299,00 -2954,2954,0,00000003e4aa729a,1c,00000003e4aa729a,00 -2955,2955,0,00000003e4aa729b,1c,00000003e4aa729b,00 -2956,2956,0,00000003e4aa729c,1c,00000003e4aa729c,00 -2957,2957,0,00000003e4aa729d,1c,00000003e4aa729d,00 -2958,2958,0,00000003e4aa729e,1c,00000003e4aa729e,00 -2959,2959,0,00000003e4aa729f,1c,00000003e4aa729f,00 -2960,2960,0,00000003e4aa72a0,1e,00000003e4aa72a0,0f -2961,2961,0,00000003e4aa72a1,1d,00000003e4aa72a1,10 -2962,2962,0,00000003e4aa72a2,1c,00000003e4aa72a2,00 -2963,2963,0,00000003e4aa72a3,1c,00000003e4aa72a3,00 -2964,2964,0,00000003e4aa72a4,1c,00000003e4aa72a4,00 -2965,2965,0,00000003e4aa72a5,1c,00000003e4aa72a5,00 -2966,2966,0,00000003e4aa72a6,1c,00000003e4aa72a6,00 -2967,2967,0,00000003e4aa72a7,1c,00000003e4aa72a7,00 -2968,2968,0,00000003e4aa72a8,1c,00000003e4aa72a8,00 -2969,2969,0,00000003e4aa72a9,1c,00000003e4aa72a9,00 -2970,2970,0,00000003e4aa72aa,1c,00000003e4aa72aa,00 -2971,2971,0,00000003e4aa72ab,1c,00000003e4aa72ab,00 -2972,2972,0,00000003e4aa72ac,1c,00000003e4aa72ac,00 -2973,2973,0,00000003e4aa72ad,1c,00000003e4aa72ad,00 -2974,2974,0,00000003e4aa72ae,1c,00000003e4aa72ae,00 -2975,2975,0,00000003e4aa72af,1c,00000003e4aa72af,00 -2976,2976,0,00000003e4aa72b0,1e,00000003e4aa72b0,0f -2977,2977,0,00000003e4aa72b1,1d,00000003e4aa72b1,10 -2978,2978,0,00000003e4aa72b2,1c,00000003e4aa72b2,00 -2979,2979,0,00000003e4aa72b3,1c,00000003e4aa72b3,00 -2980,2980,0,00000003e4aa72b4,1c,00000003e4aa72b4,00 -2981,2981,0,00000003e4aa72b5,1c,00000003e4aa72b5,00 -2982,2982,0,00000003e4aa72b6,1c,00000003e4aa72b6,00 -2983,2983,0,00000003e4aa72b7,1c,00000003e4aa72b7,00 -2984,2984,0,00000003e4aa72b8,1c,00000003e4aa72b8,00 -2985,2985,0,00000003e4aa72b9,1c,00000003e4aa72b9,00 -2986,2986,0,00000003e4aa72ba,1c,00000003e4aa72ba,00 -2987,2987,0,00000003e4aa72bb,1c,00000003e4aa72bb,00 -2988,2988,0,00000003e4aa72bc,1c,00000003e4aa72bc,00 -2989,2989,0,00000003e4aa72bd,1c,00000003e4aa72bd,00 -2990,2990,0,00000003e4aa72be,1c,00000003e4aa72be,00 -2991,2991,0,00000003e4aa72bf,1c,00000003e4aa72bf,00 -2992,2992,0,00000003e4aa72c0,1e,00000003e4aa72c0,0f -2993,2993,0,00000003e4aa72c1,1d,00000003e4aa72c1,10 -2994,2994,0,00000003e4aa72c2,1c,00000003e4aa72c2,00 -2995,2995,0,00000003e4aa72c3,1c,00000003e4aa72c3,00 -2996,2996,0,00000003e4aa72c4,1c,00000003e4aa72c4,00 -2997,2997,0,00000003e4aa72c5,1c,00000003e4aa72c5,00 -2998,2998,0,00000003e4aa72c6,1c,00000003e4aa72c6,00 -2999,2999,0,00000003e4aa72c7,1c,00000003e4aa72c7,00 -3000,3000,0,00000003e4aa72c8,1c,00000003e4aa72c8,00 -3001,3001,0,00000003e4aa72c9,1c,00000003e4aa72c9,00 -3002,3002,0,00000003e4aa72ca,1c,00000003e4aa72ca,00 -3003,3003,0,00000003e4aa72cb,1c,00000003e4aa72cb,00 -3004,3004,0,00000003e4aa72cc,1c,00000003e4aa72cc,00 -3005,3005,0,00000003e4aa72cd,1c,00000003e4aa72cd,00 -3006,3006,0,00000003e4aa72ce,1c,00000003e4aa72ce,00 -3007,3007,0,00000003e4aa72cf,1c,00000003e4aa72cf,00 -3008,3008,0,00000003e4aa72d0,1e,00000003e4aa72d0,0f -3009,3009,0,00000003e4aa72d1,1d,00000003e4aa72d1,10 -3010,3010,0,00000003e4aa72d2,1c,00000003e4aa72d2,00 -3011,3011,0,00000003e4aa72d3,1c,00000003e4aa72d3,00 -3012,3012,0,00000003e4aa72d4,1c,00000003e4aa72d4,00 -3013,3013,0,00000003e4aa72d5,1c,00000003e4aa72d5,00 -3014,3014,0,00000003e4aa72d6,1c,00000003e4aa72d6,00 -3015,3015,0,00000003e4aa72d7,1c,00000003e4aa72d7,00 -3016,3016,0,00000003e4aa72d8,1c,00000003e4aa72d8,00 -3017,3017,0,00000003e4aa72d9,1c,00000003e4aa72d9,00 -3018,3018,0,00000003e4aa72da,1c,00000003e4aa72da,00 -3019,3019,0,00000003e4aa72db,1c,00000003e4aa72db,00 -3020,3020,0,00000003e4aa72dc,1c,00000003e4aa72dc,00 -3021,3021,0,00000003e4aa72dd,1c,00000003e4aa72dd,00 -3022,3022,0,00000003e4aa72de,1c,00000003e4aa72de,00 -3023,3023,0,00000003e4aa72df,1c,00000003e4aa72df,00 -3024,3024,0,00000003e4aa72e0,1e,00000003e4aa72e0,00 -3025,3025,0,00000003e4aa72e1,1d,00000003e4aa72e1,10 -3026,3026,0,00000003e4aa72e2,1c,00000003e4aa72e2,00 -3027,3027,0,00000003e4aa72e3,1c,00000003e4aa72e3,00 -3028,3028,0,00000003e4aa72e4,1c,00000003e4aa72e4,00 -3029,3029,0,00000003e4aa72e5,1c,00000003e4aa72e5,00 -3030,3030,0,00000003e4aa72e6,1c,00000003e4aa72e6,00 -3031,3031,0,00000003e4aa72e7,1c,00000003e4aa72e7,00 -3032,3032,0,00000003e4aa72e8,1c,00000003e4aa72e8,00 -3033,3033,0,00000003e4aa72e9,1c,00000003e4aa72e9,00 -3034,3034,0,00000003e4aa72ea,1c,00000003e4aa72ea,00 -3035,3035,0,00000003e4aa72eb,1c,00000003e4aa72eb,00 -3036,3036,0,00000003e4aa72ec,1c,00000003e4aa72ec,00 -3037,3037,0,00000003e4aa72ed,1c,00000003e4aa72ed,00 -3038,3038,0,00000003e4aa72ee,1c,00000003e4aa72ee,00 -3039,3039,0,00000003e4aa72ef,1c,00000003e4aa72ef,00 -3040,3040,0,00000003e4aa72f0,1e,00000003e4aa72f0,0f -3041,3041,0,00000003e4aa72f1,1d,00000003e4aa72f1,10 -3042,3042,0,00000003e4aa72f2,1c,00000003e4aa72f2,00 -3043,3043,0,00000003e4aa72f3,1c,00000003e4aa72f3,00 -3044,3044,0,00000003e4aa72f4,1c,00000003e4aa72f4,00 -3045,3045,0,00000003e4aa72f5,1c,00000003e4aa72f5,00 -3046,3046,0,00000003e4aa72f6,1c,00000003e4aa72f6,00 -3047,3047,0,00000003e4aa72f7,1c,00000003e4aa72f7,00 -3048,3048,0,00000003e4aa72f8,1c,00000003e4aa72f8,00 -3049,3049,0,00000003e4aa72f9,1c,00000003e4aa72f9,00 -3050,3050,0,00000003e4aa72fa,1c,00000003e4aa72fa,00 -3051,3051,0,00000003e4aa72fb,1c,00000003e4aa72fb,00 -3052,3052,0,00000003e4aa72fc,1c,00000003e4aa72fc,00 -3053,3053,0,00000003e4aa72fd,1c,00000003e4aa72fd,00 -3054,3054,0,00000003e4aa72fe,1c,00000003e4aa72fe,00 -3055,3055,0,00000003e4aa72ff,1c,00000003e4aa72ff,00 -3056,3056,0,00000003e4aa7300,1e,00000003e4aa7300,0f -3057,3057,0,00000003e4aa7301,1d,00000003e4aa7301,10 -3058,3058,0,00000003e4aa7302,1c,00000003e4aa7302,00 -3059,3059,0,00000003e4aa7303,1c,00000003e4aa7303,00 -3060,3060,0,00000003e4aa7304,1c,00000003e4aa7304,00 -3061,3061,0,00000003e4aa7305,1c,00000003e4aa7305,00 -3062,3062,0,00000003e4aa7306,1c,00000003e4aa7306,00 -3063,3063,0,00000003e4aa7307,1c,00000003e4aa7307,00 -3064,3064,0,00000003e4aa7308,1c,00000003e4aa7308,00 -3065,3065,0,00000003e4aa7309,1c,00000003e4aa7309,00 -3066,3066,0,00000003e4aa730a,1c,00000003e4aa730a,00 -3067,3067,0,00000003e4aa730b,1c,00000003e4aa730b,00 -3068,3068,0,00000003e4aa730c,1c,00000003e4aa730c,00 -3069,3069,0,00000003e4aa730d,1c,00000003e4aa730d,00 -3070,3070,0,00000003e4aa730e,1c,00000003e4aa730e,00 -3071,3071,0,00000003e4aa730f,1c,00000003e4aa730f,00 -3072,3072,0,00000003e4aa7310,1e,00000003e4aa7310,0f -3073,3073,0,00000003e4aa7311,1d,00000003e4aa7311,10 -3074,3074,0,00000003e4aa7312,1c,00000003e4aa7312,00 -3075,3075,0,00000003e4aa7313,1c,00000003e4aa7313,00 -3076,3076,0,00000003e4aa7314,1c,00000003e4aa7314,00 -3077,3077,0,00000003e4aa7315,1c,00000003e4aa7315,00 -3078,3078,0,00000003e4aa7316,1c,00000003e4aa7316,00 -3079,3079,0,00000003e4aa7317,1c,00000003e4aa7317,00 -3080,3080,0,00000003e4aa7318,1c,00000003e4aa7318,00 -3081,3081,0,00000003e4aa7319,1c,00000003e4aa7319,00 -3082,3082,0,00000003e4aa731a,1c,00000003e4aa731a,00 -3083,3083,0,00000003e4aa731b,1c,00000003e4aa731b,00 -3084,3084,0,00000003e4aa731c,1c,00000003e4aa731c,00 -3085,3085,0,00000003e4aa731d,1c,00000003e4aa731d,00 -3086,3086,0,00000003e4aa731e,1c,00000003e4aa731e,00 -3087,3087,0,00000003e4aa731f,1c,00000003e4aa731f,00 -3088,3088,0,00000003e4aa7320,1e,00000003e4aa7320,0f -3089,3089,0,00000003e4aa7321,1d,00000003e4aa7321,10 -3090,3090,0,00000003e4aa7322,1c,00000003e4aa7322,00 -3091,3091,0,00000003e4aa7323,1c,00000003e4aa7323,00 -3092,3092,0,00000003e4aa7324,1c,00000003e4aa7324,00 -3093,3093,0,00000003e4aa7325,1c,00000003e4aa7325,00 -3094,3094,0,00000003e4aa7326,1c,00000003e4aa7326,00 -3095,3095,0,00000003e4aa7327,1c,00000003e4aa7327,00 -3096,3096,0,00000003e4aa7328,1c,00000003e4aa7328,00 -3097,3097,0,00000003e4aa7329,1c,00000003e4aa7329,00 -3098,3098,0,00000003e4aa732a,1c,00000003e4aa732a,00 -3099,3099,0,00000003e4aa732b,1c,00000003e4aa732b,00 -3100,3100,0,00000003e4aa732c,1c,00000003e4aa732c,00 -3101,3101,0,00000003e4aa732d,1c,00000003e4aa732d,00 -3102,3102,0,00000003e4aa732e,1c,00000003e4aa732e,00 -3103,3103,0,00000003e4aa732f,1c,00000003e4aa732f,00 -3104,3104,0,00000003e4aa7330,1e,00000003e4aa7330,0f -3105,3105,0,00000003e4aa7331,1d,00000003e4aa7331,10 -3106,3106,0,00000003e4aa7332,1c,00000003e4aa7332,00 -3107,3107,0,00000003e4aa7333,1c,00000003e4aa7333,00 -3108,3108,0,00000003e4aa7334,1c,00000003e4aa7334,00 -3109,3109,0,00000003e4aa7335,1c,00000003e4aa7335,00 -3110,3110,0,00000003e4aa7336,1c,00000003e4aa7336,00 -3111,3111,0,00000003e4aa7337,1c,00000003e4aa7337,00 -3112,3112,0,00000003e4aa7338,1c,00000003e4aa7338,00 -3113,3113,0,00000003e4aa7339,1c,00000003e4aa7339,00 -3114,3114,0,00000003e4aa733a,1c,00000003e4aa733a,00 -3115,3115,0,00000003e4aa733b,1c,00000003e4aa733b,00 -3116,3116,0,00000003e4aa733c,1c,00000003e4aa733c,00 -3117,3117,0,00000003e4aa733d,1c,00000003e4aa733d,00 -3118,3118,0,00000003e4aa733e,1c,00000003e4aa733e,00 -3119,3119,0,00000003e4aa733f,1c,00000003e4aa733f,00 -3120,3120,0,00000003e4aa7340,1e,00000003e4aa7340,00 -3121,3121,0,00000003e4aa7341,1d,00000003e4aa7341,10 -3122,3122,0,00000003e4aa7342,1c,00000003e4aa7342,00 -3123,3123,0,00000003e4aa7343,1c,00000003e4aa7343,00 -3124,3124,0,00000003e4aa7344,1c,00000003e4aa7344,00 -3125,3125,0,00000003e4aa7345,1c,00000003e4aa7345,00 -3126,3126,0,00000003e4aa7346,1c,00000003e4aa7346,00 -3127,3127,0,00000003e4aa7347,1c,00000003e4aa7347,00 -3128,3128,0,00000003e4aa7348,1c,00000003e4aa7348,00 -3129,3129,0,00000003e4aa7349,1c,00000003e4aa7349,00 -3130,3130,0,00000003e4aa734a,1c,00000003e4aa734a,00 -3131,3131,0,00000003e4aa734b,1c,00000003e4aa734b,00 -3132,3132,0,00000003e4aa734c,1c,00000003e4aa734c,00 -3133,3133,0,00000003e4aa734d,1c,00000003e4aa734d,00 -3134,3134,0,00000003e4aa734e,1c,00000003e4aa734e,00 -3135,3135,0,00000003e4aa734f,1c,00000003e4aa734f,00 -3136,3136,0,00000003e4aa7350,1e,00000003e4aa7350,0f -3137,3137,0,00000003e4aa7351,1d,00000003e4aa7351,10 -3138,3138,0,00000003e4aa7352,1c,00000003e4aa7352,00 -3139,3139,0,00000003e4aa7353,1c,00000003e4aa7353,00 -3140,3140,0,00000003e4aa7354,1c,00000003e4aa7354,00 -3141,3141,0,00000003e4aa7355,1c,00000003e4aa7355,00 -3142,3142,0,00000003e4aa7356,1c,00000003e4aa7356,00 -3143,3143,0,00000003e4aa7357,1c,00000003e4aa7357,00 -3144,3144,0,00000003e4aa7358,1c,00000003e4aa7358,00 -3145,3145,0,00000003e4aa7359,1c,00000003e4aa7359,00 -3146,3146,0,00000003e4aa735a,1c,00000003e4aa735a,00 -3147,3147,0,00000003e4aa735b,1c,00000003e4aa735b,00 -3148,3148,0,00000003e4aa735c,1c,00000003e4aa735c,00 -3149,3149,0,00000003e4aa735d,1c,00000003e4aa735d,00 -3150,3150,0,00000003e4aa735e,1c,00000003e4aa735e,00 -3151,3151,0,00000003e4aa735f,1c,00000003e4aa735f,00 -3152,3152,0,00000003e4aa7360,1e,00000003e4aa7360,0f -3153,3153,0,00000003e4aa7361,1d,00000003e4aa7361,10 -3154,3154,0,00000003e4aa7362,1c,00000003e4aa7362,00 -3155,3155,0,00000003e4aa7363,1c,00000003e4aa7363,00 -3156,3156,0,00000003e4aa7364,1c,00000003e4aa7364,00 -3157,3157,0,00000003e4aa7365,1c,00000003e4aa7365,00 -3158,3158,0,00000003e4aa7366,1c,00000003e4aa7366,00 -3159,3159,0,00000003e4aa7367,1c,00000003e4aa7367,00 -3160,3160,0,00000003e4aa7368,1c,00000003e4aa7368,00 -3161,3161,0,00000003e4aa7369,1c,00000003e4aa7369,00 -3162,3162,0,00000003e4aa736a,1c,00000003e4aa736a,00 -3163,3163,0,00000003e4aa736b,1c,00000003e4aa736b,00 -3164,3164,0,00000003e4aa736c,1c,00000003e4aa736c,00 -3165,3165,0,00000003e4aa736d,1c,00000003e4aa736d,00 -3166,3166,0,00000003e4aa736e,1c,00000003e4aa736e,00 -3167,3167,0,00000003e4aa736f,1c,00000003e4aa736f,00 -3168,3168,0,00000003e4aa7370,1e,00000003e4aa7370,00 -3169,3169,0,00000003e4aa7371,1d,00000003e4aa7371,10 -3170,3170,0,00000003e4aa7372,1c,00000003e4aa7372,00 -3171,3171,0,00000003e4aa7373,1c,00000003e4aa7373,00 -3172,3172,0,00000003e4aa7374,1c,00000003e4aa7374,00 -3173,3173,0,00000003e4aa7375,1c,00000003e4aa7375,00 -3174,3174,0,00000003e4aa7376,1c,00000003e4aa7376,00 -3175,3175,0,00000003e4aa7377,1c,00000003e4aa7377,00 -3176,3176,0,00000003e4aa7378,1c,00000003e4aa7378,00 -3177,3177,0,00000003e4aa7379,1c,00000003e4aa7379,00 -3178,3178,0,00000003e4aa737a,1c,00000003e4aa737a,00 -3179,3179,0,00000003e4aa737b,1c,00000003e4aa737b,00 -3180,3180,0,00000003e4aa737c,1c,00000003e4aa737c,00 -3181,3181,0,00000003e4aa737d,1c,00000003e4aa737d,00 -3182,3182,0,00000003e4aa737e,1c,00000003e4aa737e,00 -3183,3183,0,00000003e4aa737f,1c,00000003e4aa737f,00 -3184,3184,0,00000003e4aa7380,1e,00000003e4aa7380,0f -3185,3185,0,00000003e4aa7381,1d,00000003e4aa7381,10 -3186,3186,0,00000003e4aa7382,1c,00000003e4aa7382,00 -3187,3187,0,00000003e4aa7383,1c,00000003e4aa7383,00 -3188,3188,0,00000003e4aa7384,1c,00000003e4aa7384,00 -3189,3189,0,00000003e4aa7385,1c,00000003e4aa7385,00 -3190,3190,0,00000003e4aa7386,1c,00000003e4aa7386,00 -3191,3191,0,00000003e4aa7387,1c,00000003e4aa7387,00 -3192,3192,0,00000003e4aa7388,1c,00000003e4aa7388,00 -3193,3193,0,00000003e4aa7389,1c,00000003e4aa7389,00 -3194,3194,0,00000003e4aa738a,1c,00000003e4aa738a,00 -3195,3195,0,00000003e4aa738b,1c,00000003e4aa738b,00 -3196,3196,0,00000003e4aa738c,1c,00000003e4aa738c,00 -3197,3197,0,00000003e4aa738d,1c,00000003e4aa738d,00 -3198,3198,0,00000003e4aa738e,1c,00000003e4aa738e,00 -3199,3199,0,00000003e4aa738f,1c,00000003e4aa738f,00 -3200,3200,0,00000003e4aa7390,1e,00000003e4aa7390,00 -3201,3201,0,00000003e4aa7391,1d,00000003e4aa7391,10 -3202,3202,0,00000003e4aa7392,1c,00000003e4aa7392,00 -3203,3203,0,00000003e4aa7393,1c,00000003e4aa7393,00 -3204,3204,0,00000003e4aa7394,1c,00000003e4aa7394,00 -3205,3205,0,00000003e4aa7395,1c,00000003e4aa7395,00 -3206,3206,0,00000003e4aa7396,1c,00000003e4aa7396,00 -3207,3207,0,00000003e4aa7397,1c,00000003e4aa7397,00 -3208,3208,0,00000003e4aa7398,1c,00000003e4aa7398,00 -3209,3209,0,00000003e4aa7399,1c,00000003e4aa7399,00 -3210,3210,0,00000003e4aa739a,1c,00000003e4aa739a,00 -3211,3211,0,00000003e4aa739b,1c,00000003e4aa739b,00 -3212,3212,0,00000003e4aa739c,1c,00000003e4aa739c,00 -3213,3213,0,00000003e4aa739d,1c,00000003e4aa739d,00 -3214,3214,0,00000003e4aa739e,1c,00000003e4aa739e,00 -3215,3215,0,00000003e4aa739f,1c,00000003e4aa739f,00 -3216,3216,0,00000003e4aa73a0,1e,00000003e4aa73a0,00 -3217,3217,0,00000003e4aa73a1,1d,00000003e4aa73a1,10 -3218,3218,0,00000003e4aa73a2,1c,00000003e4aa73a2,00 -3219,3219,0,00000003e4aa73a3,1c,00000003e4aa73a3,00 -3220,3220,0,00000003e4aa73a4,1c,00000003e4aa73a4,00 -3221,3221,0,00000003e4aa73a5,1c,00000003e4aa73a5,00 -3222,3222,0,00000003e4aa73a6,1c,00000003e4aa73a6,00 -3223,3223,0,00000003e4aa73a7,1c,00000003e4aa73a7,00 -3224,3224,0,00000003e4aa73a8,1c,00000003e4aa73a8,00 -3225,3225,0,00000003e4aa73a9,1c,00000003e4aa73a9,00 -3226,3226,0,00000003e4aa73aa,1c,00000003e4aa73aa,00 -3227,3227,0,00000003e4aa73ab,1c,00000003e4aa73ab,00 -3228,3228,0,00000003e4aa73ac,1c,00000003e4aa73ac,00 -3229,3229,0,00000003e4aa73ad,1c,00000003e4aa73ad,00 -3230,3230,0,00000003e4aa73ae,1c,00000003e4aa73ae,00 -3231,3231,0,00000003e4aa73af,1c,00000003e4aa73af,00 -3232,3232,0,00000003e4aa73b0,1e,00000003e4aa73b0,0f -3233,3233,0,00000003e4aa73b1,1d,00000003e4aa73b1,10 -3234,3234,0,00000003e4aa73b2,1c,00000003e4aa73b2,00 -3235,3235,0,00000003e4aa73b3,1c,00000003e4aa73b3,00 -3236,3236,0,00000003e4aa73b4,1c,00000003e4aa73b4,00 -3237,3237,0,00000003e4aa73b5,1c,00000003e4aa73b5,00 -3238,3238,0,00000003e4aa73b6,1c,00000003e4aa73b6,00 -3239,3239,0,00000003e4aa73b7,1c,00000003e4aa73b7,00 -3240,3240,0,00000003e4aa73b8,1c,00000003e4aa73b8,00 -3241,3241,0,00000003e4aa73b9,1c,00000003e4aa73b9,00 -3242,3242,0,00000003e4aa73ba,1c,00000003e4aa73ba,00 -3243,3243,0,00000003e4aa73bb,1c,00000003e4aa73bb,00 -3244,3244,0,00000003e4aa73bc,1c,00000003e4aa73bc,00 -3245,3245,0,00000003e4aa73bd,1c,00000003e4aa73bd,00 -3246,3246,0,00000003e4aa73be,1c,00000003e4aa73be,00 -3247,3247,0,00000003e4aa73bf,1c,00000003e4aa73bf,00 -3248,3248,0,00000003e4aa73c0,1e,00000003e4aa73c0,0f -3249,3249,0,00000003e4aa73c1,1d,00000003e4aa73c0,0f -3250,3250,0,00000003e4aa73c2,1c,00000003e4aa73c0,0f -3251,3251,0,00000003e4aa73c3,1c,00000003e4aa73c0,0f -3252,3252,0,00000003e4aa73c4,1c,00000003e4aa73c0,0f -3253,3253,0,00000003e4aa73c5,1c,00000003e4aa73c0,0f -3254,3254,0,00000003e4aa73c6,1c,00000003e4aa73c0,0f -3255,3255,0,00000003e4aa73c7,1c,00000003e4aa73c0,0f -3256,3256,0,00000003e4aa73c8,1c,00000003e4aa73c0,0f -3257,3257,0,00000003e4aa73c9,1c,00000003e4aa73c0,0f -3258,3258,0,00000003e4aa73ca,1c,00000003e4aa73c0,0f -3259,3259,0,00000003e4aa73cb,1c,00000003e4aa73c0,0f -3260,3260,0,00000003e4aa73cc,1c,00000003e4aa73c0,0f -3261,3261,0,00000003e4aa73cd,1c,00000003e4aa73c0,0f -3262,3262,0,00000003e4aa73ce,1c,00000003e4aa73c0,0f -3263,3263,0,00000003e4aa73cf,1c,00000003e4aa73c0,0f -3264,3264,0,00000003e4aa73d0,1e,00000003e4aa73d1,10 -3265,3265,0,00000003e4aa73d1,1d,00000003e4aa73d2,00 -3266,3266,0,00000003e4aa73d2,1c,00000003e4aa73d3,00 -3267,3267,0,00000003e4aa73d3,1c,00000003e4aa73d4,00 -3268,3268,0,00000003e4aa73d4,1c,00000003e4aa73d5,00 -3269,3269,0,00000003e4aa73d5,1c,00000003e4aa73d6,00 -3270,3270,0,00000003e4aa73d6,1c,00000003e4aa73d7,00 -3271,3271,0,00000003e4aa73d7,1c,00000003e4aa73d8,00 -3272,3272,0,00000003e4aa73d8,1c,00000003e4aa73d9,00 -3273,3273,0,00000003e4aa73d9,1c,00000003e4aa73da,00 -3274,3274,0,00000003e4aa73da,1c,00000003e4aa73db,00 -3275,3275,0,00000003e4aa73db,1c,00000003e4aa73dc,00 -3276,3276,0,00000003e4aa73dc,1c,00000003e4aa73dd,00 -3277,3277,0,00000003e4aa73dd,1c,00000003e4aa73de,00 -3278,3278,0,00000003e4aa73de,1c,00000003e4aa73df,00 -3279,3279,0,00000003e4aa73df,1c,00000003e4aa73e0,0f -3280,3280,0,00000003e4aa73e0,1e,00000003e4aa73e0,0f -3281,3281,0,00000003e4aa73e1,1d,00000003e4aa73e1,10 -3282,3282,0,00000003e4aa73e2,1c,00000003e4aa73e2,00 -3283,3283,0,00000003e4aa73e3,1c,00000003e4aa73e3,00 -3284,3284,0,00000003e4aa73e4,1c,00000003e4aa73e4,00 -3285,3285,0,00000003e4aa73e5,1c,00000003e4aa73e5,00 -3286,3286,0,00000003e4aa73e6,1c,00000003e4aa73e6,00 -3287,3287,0,00000003e4aa73e7,1c,00000003e4aa73e7,00 -3288,3288,0,00000003e4aa73e8,1c,00000003e4aa73e8,00 -3289,3289,0,00000003e4aa73e9,1c,00000003e4aa73e9,00 -3290,3290,0,00000003e4aa73ea,1c,00000003e4aa73ea,00 -3291,3291,0,00000003e4aa73eb,1c,00000003e4aa73eb,00 -3292,3292,0,00000003e4aa73ec,1c,00000003e4aa73ec,00 -3293,3293,0,00000003e4aa73ed,1c,00000003e4aa73ed,00 -3294,3294,0,00000003e4aa73ee,1c,00000003e4aa73ee,00 -3295,3295,0,00000003e4aa73ef,1c,00000003e4aa73ef,00 -3296,3296,0,00000003e4aa73f0,1e,00000003e4aa73f0,0f -3297,3297,0,00000003e4aa73f1,1d,00000003e4aa73f1,10 -3298,3298,0,00000003e4aa73f2,1c,00000003e4aa73f2,00 -3299,3299,0,00000003e4aa73f3,1c,00000003e4aa73f3,00 -3300,3300,0,00000003e4aa73f4,1c,00000003e4aa73f4,00 -3301,3301,0,00000003e4aa73f5,1c,00000003e4aa73f5,00 -3302,3302,0,00000003e4aa73f6,1c,00000003e4aa73f6,00 -3303,3303,0,00000003e4aa73f7,1c,00000003e4aa73f7,00 -3304,3304,0,00000003e4aa73f8,1c,00000003e4aa73f8,00 -3305,3305,0,00000003e4aa73f9,1c,00000003e4aa73f9,00 -3306,3306,0,00000003e4aa73fa,1c,00000003e4aa73fa,00 -3307,3307,0,00000003e4aa73fb,1c,00000003e4aa73fb,00 -3308,3308,0,00000003e4aa73fc,1c,00000003e4aa73fc,00 -3309,3309,0,00000003e4aa73fd,1c,00000003e4aa73fd,00 -3310,3310,0,00000003e4aa73fe,1c,00000003e4aa73fe,00 -3311,3311,0,00000003e4aa73ff,1c,00000003e4aa73ff,00 -3312,3312,0,00000003e4aa7400,1e,00000003e4aa7400,00 -3313,3313,0,00000003e4aa7401,1d,00000003e4aa7401,10 -3314,3314,0,00000003e4aa7402,1c,00000003e4aa7402,00 -3315,3315,0,00000003e4aa7403,1c,00000003e4aa7403,00 -3316,3316,0,00000003e4aa7404,1c,00000003e4aa7404,00 -3317,3317,0,00000003e4aa7405,1c,00000003e4aa7405,00 -3318,3318,0,00000003e4aa7406,1c,00000003e4aa7406,00 -3319,3319,0,00000003e4aa7407,1c,00000003e4aa7407,00 -3320,3320,0,00000003e4aa7408,1c,00000003e4aa7408,00 -3321,3321,0,00000003e4aa7409,1c,00000003e4aa7409,00 -3322,3322,0,00000003e4aa740a,1c,00000003e4aa740a,00 -3323,3323,0,00000003e4aa740b,1c,00000003e4aa740b,00 -3324,3324,0,00000003e4aa740c,1c,00000003e4aa740c,00 -3325,3325,0,00000003e4aa740d,1c,00000003e4aa740d,00 -3326,3326,0,00000003e4aa740e,1c,00000003e4aa740e,00 -3327,3327,0,00000003e4aa740f,1c,00000003e4aa740f,00 -3328,3328,0,00000003e4aa7410,1e,00000003e4aa7410,00 -3329,3329,0,00000003e4aa7411,1d,00000003e4aa7411,00 -3330,3330,0,00000003e4aa7412,1c,00000003e4aa7412,00 -3331,3331,0,00000003e4aa7413,1c,00000003e4aa7413,00 -3332,3332,0,00000003e4aa7414,1c,00000003e4aa7414,00 -3333,3333,0,00000003e4aa7415,1c,00000003e4aa7415,00 -3334,3334,0,00000003e4aa7416,1c,00000003e4aa7416,00 -3335,3335,0,00000003e4aa7417,1c,00000003e4aa7417,00 -3336,3336,0,00000003e4aa7418,1c,00000003e4aa7418,00 -3337,3337,0,00000003e4aa7419,1c,00000003e4aa7419,00 -3338,3338,0,00000003e4aa741a,1c,00000003e4aa741a,00 -3339,3339,0,00000003e4aa741b,1c,00000003e4aa741b,00 -3340,3340,0,00000003e4aa741c,1c,00000003e4aa741c,00 -3341,3341,0,00000003e4aa741d,1c,00000003e4aa741d,00 -3342,3342,0,00000003e4aa741e,1c,00000003e4aa741e,00 -3343,3343,0,00000003e4aa741f,1c,00000003e4aa741f,00 -3344,3344,0,00000003e4aa7420,1e,00000003e4aa7420,0f -3345,3345,0,00000003e4aa7421,1d,00000003e4aa7421,10 -3346,3346,0,00000003e4aa7422,1c,00000003e4aa7422,00 -3347,3347,0,00000003e4aa7423,1c,00000003e4aa7423,00 -3348,3348,0,00000003e4aa7424,1c,00000003e4aa7424,00 -3349,3349,0,00000003e4aa7425,1c,00000003e4aa7425,00 -3350,3350,0,00000003e4aa7426,1c,00000003e4aa7426,00 -3351,3351,0,00000003e4aa7427,1c,00000003e4aa7427,00 -3352,3352,0,00000003e4aa7428,1c,00000003e4aa7428,00 -3353,3353,0,00000003e4aa7429,1c,00000003e4aa7429,00 -3354,3354,0,00000003e4aa742a,1c,00000003e4aa742a,00 -3355,3355,0,00000003e4aa742b,1c,00000003e4aa742b,00 -3356,3356,0,00000003e4aa742c,1c,00000003e4aa742c,00 -3357,3357,0,00000003e4aa742d,1c,00000003e4aa742d,00 -3358,3358,0,00000003e4aa742e,1c,00000003e4aa742e,00 -3359,3359,0,00000003e4aa742f,1c,00000003e4aa742f,00 -3360,3360,0,00000003e4aa7430,1e,00000003e4aa7430,0f -3361,3361,0,00000003e4aa7431,1d,00000003e4aa7431,10 -3362,3362,0,00000003e4aa7432,1c,00000003e4aa7432,00 -3363,3363,0,00000003e4aa7433,1c,00000003e4aa7433,00 -3364,3364,0,00000003e4aa7434,1c,00000003e4aa7434,00 -3365,3365,0,00000003e4aa7435,1c,00000003e4aa7435,00 -3366,3366,0,00000003e4aa7436,1c,00000003e4aa7436,00 -3367,3367,0,00000003e4aa7437,1c,00000003e4aa7437,00 -3368,3368,0,00000003e4aa7438,1c,00000003e4aa7438,00 -3369,3369,0,00000003e4aa7439,1c,00000003e4aa7439,00 -3370,3370,0,00000003e4aa743a,1c,00000003e4aa743a,00 -3371,3371,0,00000003e4aa743b,1c,00000003e4aa743b,00 -3372,3372,0,00000003e4aa743c,1c,00000003e4aa743c,00 -3373,3373,0,00000003e4aa743d,1c,00000003e4aa743d,00 -3374,3374,0,00000003e4aa743e,1c,00000003e4aa743e,00 -3375,3375,0,00000003e4aa743f,1c,00000003e4aa743f,00 -3376,3376,0,00000003e4aa7440,1e,00000003e4aa7440,0f -3377,3377,0,00000003e4aa7441,1d,00000003e4aa7441,10 -3378,3378,0,00000003e4aa7442,1c,00000003e4aa7442,00 -3379,3379,0,00000003e4aa7443,1c,00000003e4aa7443,00 -3380,3380,0,00000003e4aa7444,1c,00000003e4aa7444,00 -3381,3381,0,00000003e4aa7445,1c,00000003e4aa7445,00 -3382,3382,0,00000003e4aa7446,1c,00000003e4aa7446,00 -3383,3383,0,00000003e4aa7447,1c,00000003e4aa7447,00 -3384,3384,0,00000003e4aa7448,1c,00000003e4aa7448,00 -3385,3385,0,00000003e4aa7449,1c,00000003e4aa7449,00 -3386,3386,0,00000003e4aa744a,1c,00000003e4aa744a,00 -3387,3387,0,00000003e4aa744b,1c,00000003e4aa744b,00 -3388,3388,0,00000003e4aa744c,1c,00000003e4aa744c,00 -3389,3389,0,00000003e4aa744d,1c,00000003e4aa744d,00 -3390,3390,0,00000003e4aa744e,1c,00000003e4aa744e,00 -3391,3391,0,00000003e4aa744f,1c,00000003e4aa744f,00 -3392,3392,0,00000003e4aa7450,1e,00000003e4aa7450,0f -3393,3393,0,00000003e4aa7451,1d,00000003e4aa7451,10 -3394,3394,0,00000003e4aa7452,1c,00000003e4aa7452,00 -3395,3395,0,00000003e4aa7453,1c,00000003e4aa7453,00 -3396,3396,0,00000003e4aa7454,1c,00000003e4aa7454,00 -3397,3397,0,00000003e4aa7455,1c,00000003e4aa7455,00 -3398,3398,0,00000003e4aa7456,1c,00000003e4aa7456,00 -3399,3399,0,00000003e4aa7457,1c,00000003e4aa7457,00 -3400,3400,0,00000003e4aa7458,1c,00000003e4aa7458,00 -3401,3401,0,00000003e4aa7459,1c,00000003e4aa7459,00 -3402,3402,0,00000003e4aa745a,1c,00000003e4aa745a,00 -3403,3403,0,00000003e4aa745b,1c,00000003e4aa745b,00 -3404,3404,0,00000003e4aa745c,1c,00000003e4aa745c,00 -3405,3405,0,00000003e4aa745d,1c,00000003e4aa745d,00 -3406,3406,0,00000003e4aa745e,1c,00000003e4aa745e,00 -3407,3407,0,00000003e4aa745f,1c,00000003e4aa745f,00 -3408,3408,0,00000003e4aa7460,1e,00000003e4aa7460,00 -3409,3409,0,00000003e4aa7461,1d,00000003e4aa7461,00 -3410,3410,0,00000003e4aa7462,1c,00000003e4aa7462,00 -3411,3411,0,00000003e4aa7463,1c,00000003e4aa7463,00 -3412,3412,0,00000003e4aa7464,1c,00000003e4aa7464,00 -3413,3413,0,00000003e4aa7465,1c,00000003e4aa7465,00 -3414,3414,0,00000003e4aa7466,1c,00000003e4aa7466,00 -3415,3415,0,00000003e4aa7467,1c,00000003e4aa7467,00 -3416,3416,0,00000003e4aa7468,1c,00000003e4aa7468,00 -3417,3417,0,00000003e4aa7469,1c,00000003e4aa7469,00 -3418,3418,0,00000003e4aa746a,1c,00000003e4aa746a,00 -3419,3419,0,00000003e4aa746b,1c,00000003e4aa746b,00 -3420,3420,0,00000003e4aa746c,1c,00000003e4aa746c,00 -3421,3421,0,00000003e4aa746d,1c,00000003e4aa746d,00 -3422,3422,0,00000003e4aa746e,1c,00000003e4aa746e,00 -3423,3423,0,00000003e4aa746f,1c,00000003e4aa746f,00 -3424,3424,0,00000003e4aa7470,1e,00000003e4aa7470,0f -3425,3425,0,00000003e4aa7471,1d,00000003e4aa7471,10 -3426,3426,0,00000003e4aa7472,1c,00000003e4aa7472,00 -3427,3427,0,00000003e4aa7473,1c,00000003e4aa7473,00 -3428,3428,0,00000003e4aa7474,1c,00000003e4aa7474,00 -3429,3429,0,00000003e4aa7475,1c,00000003e4aa7475,00 -3430,3430,0,00000003e4aa7476,1c,00000003e4aa7476,00 -3431,3431,0,00000003e4aa7477,1c,00000003e4aa7477,00 -3432,3432,0,00000003e4aa7478,1c,00000003e4aa7478,00 -3433,3433,0,00000003e4aa7479,1c,00000003e4aa7479,00 -3434,3434,0,00000003e4aa747a,1c,00000003e4aa747a,00 -3435,3435,0,00000003e4aa747b,1c,00000003e4aa747b,00 -3436,3436,0,00000003e4aa747c,1c,00000003e4aa747c,00 -3437,3437,0,00000003e4aa747d,1c,00000003e4aa747d,00 -3438,3438,0,00000003e4aa747e,1c,00000003e4aa747e,00 -3439,3439,0,00000003e4aa747f,1c,00000003e4aa747f,00 -3440,3440,0,00000003e4aa7480,1e,00000003e4aa7480,0f -3441,3441,0,00000003e4aa7481,1d,00000003e4aa7481,10 -3442,3442,0,00000003e4aa7482,1c,00000003e4aa7482,00 -3443,3443,0,00000003e4aa7483,1c,00000003e4aa7483,00 -3444,3444,0,00000003e4aa7484,1c,00000003e4aa7484,00 -3445,3445,0,00000003e4aa7485,1c,00000003e4aa7485,00 -3446,3446,0,00000003e4aa7486,1c,00000003e4aa7486,00 -3447,3447,0,00000003e4aa7487,1c,00000003e4aa7487,00 -3448,3448,0,00000003e4aa7488,1c,00000003e4aa7488,00 -3449,3449,0,00000003e4aa7489,1c,00000003e4aa7489,00 -3450,3450,0,00000003e4aa748a,1c,00000003e4aa748a,00 -3451,3451,0,00000003e4aa748b,1c,00000003e4aa748b,00 -3452,3452,0,00000003e4aa748c,1c,00000003e4aa748c,00 -3453,3453,0,00000003e4aa748d,1c,00000003e4aa748d,00 -3454,3454,0,00000003e4aa748e,1c,00000003e4aa748e,00 -3455,3455,0,00000003e4aa748f,1c,00000003e4aa748f,00 -3456,3456,0,00000003e4aa7490,1e,00000003e4aa7490,0f -3457,3457,0,00000003e4aa7491,1d,00000003e4aa7491,10 -3458,3458,0,00000003e4aa7492,1c,00000003e4aa7492,00 -3459,3459,0,00000003e4aa7493,1c,00000003e4aa7493,00 -3460,3460,0,00000003e4aa7494,1c,00000003e4aa7494,00 -3461,3461,0,00000003e4aa7495,1c,00000003e4aa7495,00 -3462,3462,0,00000003e4aa7496,1c,00000003e4aa7496,00 -3463,3463,0,00000003e4aa7497,1c,00000003e4aa7497,00 -3464,3464,0,00000003e4aa7498,1c,00000003e4aa7498,00 -3465,3465,0,00000003e4aa7499,1c,00000003e4aa7499,00 -3466,3466,0,00000003e4aa749a,1c,00000003e4aa749a,00 -3467,3467,0,00000003e4aa749b,1c,00000003e4aa749b,00 -3468,3468,0,00000003e4aa749c,1c,00000003e4aa749c,00 -3469,3469,0,00000003e4aa749d,1c,00000003e4aa749d,00 -3470,3470,0,00000003e4aa749e,1c,00000003e4aa749e,00 -3471,3471,0,00000003e4aa749f,1c,00000003e4aa749f,00 -3472,3472,0,00000003e4aa74a0,1e,00000003e4aa74a0,0f -3473,3473,0,00000003e4aa74a1,1d,00000003e4aa74a1,10 -3474,3474,0,00000003e4aa74a2,1c,00000003e4aa74a2,00 -3475,3475,0,00000003e4aa74a3,1c,00000003e4aa74a3,00 -3476,3476,0,00000003e4aa74a4,1c,00000003e4aa74a4,00 -3477,3477,0,00000003e4aa74a5,1c,00000003e4aa74a5,00 -3478,3478,0,00000003e4aa74a6,1c,00000003e4aa74a6,00 -3479,3479,0,00000003e4aa74a7,1c,00000003e4aa74a7,00 -3480,3480,0,00000003e4aa74a8,1c,00000003e4aa74a8,00 -3481,3481,0,00000003e4aa74a9,1c,00000003e4aa74a9,00 -3482,3482,0,00000003e4aa74aa,1c,00000003e4aa74aa,00 -3483,3483,0,00000003e4aa74ab,1c,00000003e4aa74ab,00 -3484,3484,0,00000003e4aa74ac,1c,00000003e4aa74ac,00 -3485,3485,0,00000003e4aa74ad,1c,00000003e4aa74ad,00 -3486,3486,0,00000003e4aa74ae,1c,00000003e4aa74ae,00 -3487,3487,0,00000003e4aa74af,1c,00000003e4aa74af,00 -3488,3488,0,00000003e4aa74b0,1e,00000003e4aa74b0,0f -3489,3489,0,00000003e4aa74b1,1d,00000003e4aa74b1,10 -3490,3490,0,00000003e4aa74b2,1c,00000003e4aa74b2,00 -3491,3491,0,00000003e4aa74b3,1c,00000003e4aa74b3,00 -3492,3492,0,00000003e4aa74b4,1c,00000003e4aa74b4,00 -3493,3493,0,00000003e4aa74b5,1c,00000003e4aa74b5,00 -3494,3494,0,00000003e4aa74b6,1c,00000003e4aa74b6,00 -3495,3495,0,00000003e4aa74b7,1c,00000003e4aa74b7,00 -3496,3496,0,00000003e4aa74b8,1c,00000003e4aa74b8,00 -3497,3497,0,00000003e4aa74b9,1c,00000003e4aa74b9,00 -3498,3498,0,00000003e4aa74ba,1c,00000003e4aa74ba,00 -3499,3499,0,00000003e4aa74bb,1c,00000003e4aa74bb,00 -3500,3500,0,00000003e4aa74bc,1c,00000003e4aa74bc,00 -3501,3501,0,00000003e4aa74bd,1c,00000003e4aa74bd,00 -3502,3502,0,00000003e4aa74be,1c,00000003e4aa74be,00 -3503,3503,0,00000003e4aa74bf,1c,00000003e4aa74bf,00 -3504,3504,0,00000003e4aa74c0,1e,00000003e4aa74c0,0f -3505,3505,0,00000003e4aa74c1,1d,00000003e4aa74c1,10 -3506,3506,0,00000003e4aa74c2,1c,00000003e4aa74c2,00 -3507,3507,0,00000003e4aa74c3,1c,00000003e4aa74c3,00 -3508,3508,0,00000003e4aa74c4,1c,00000003e4aa74c4,00 -3509,3509,0,00000003e4aa74c5,1c,00000003e4aa74c5,00 -3510,3510,0,00000003e4aa74c6,1c,00000003e4aa74c6,00 -3511,3511,0,00000003e4aa74c7,1c,00000003e4aa74c7,00 -3512,3512,0,00000003e4aa74c8,1c,00000003e4aa74c8,00 -3513,3513,0,00000003e4aa74c9,1c,00000003e4aa74c9,00 -3514,3514,0,00000003e4aa74ca,1c,00000003e4aa74ca,00 -3515,3515,0,00000003e4aa74cb,1c,00000003e4aa74cb,00 -3516,3516,0,00000003e4aa74cc,1c,00000003e4aa74cc,00 -3517,3517,0,00000003e4aa74cd,1c,00000003e4aa74cd,00 -3518,3518,0,00000003e4aa74ce,1c,00000003e4aa74ce,00 -3519,3519,0,00000003e4aa74cf,1c,00000003e4aa74cf,00 -3520,3520,0,00000003e4aa74d0,1e,00000003e4aa74d0,0f -3521,3521,0,00000003e4aa74d1,1d,00000003e4aa74d1,10 -3522,3522,0,00000003e4aa74d2,1c,00000003e4aa74d2,00 -3523,3523,0,00000003e4aa74d3,1c,00000003e4aa74d3,00 -3524,3524,0,00000003e4aa74d4,1c,00000003e4aa74d4,00 -3525,3525,0,00000003e4aa74d5,1c,00000003e4aa74d5,00 -3526,3526,0,00000003e4aa74d6,1c,00000003e4aa74d6,00 -3527,3527,0,00000003e4aa74d7,1c,00000003e4aa74d7,00 -3528,3528,0,00000003e4aa74d8,1c,00000003e4aa74d8,00 -3529,3529,0,00000003e4aa74d9,1c,00000003e4aa74d9,00 -3530,3530,0,00000003e4aa74da,1c,00000003e4aa74da,00 -3531,3531,0,00000003e4aa74db,1c,00000003e4aa74db,00 -3532,3532,0,00000003e4aa74dc,1c,00000003e4aa74dc,00 -3533,3533,0,00000003e4aa74dd,1c,00000003e4aa74dd,00 -3534,3534,0,00000003e4aa74de,1c,00000003e4aa74de,00 -3535,3535,0,00000003e4aa74df,1c,00000003e4aa74df,00 -3536,3536,0,00000003e4aa74e0,1e,00000003e4aa74e0,00 -3537,3537,0,00000003e4aa74e1,1d,00000003e4aa74e1,10 -3538,3538,0,00000003e4aa74e2,1c,00000003e4aa74e2,00 -3539,3539,0,00000003e4aa74e3,1c,00000003e4aa74e3,00 -3540,3540,0,00000003e4aa74e4,1c,00000003e4aa74e4,00 -3541,3541,0,00000003e4aa74e5,1c,00000003e4aa74e5,00 -3542,3542,0,00000003e4aa74e6,1c,00000003e4aa74e6,00 -3543,3543,0,00000003e4aa74e7,1c,00000003e4aa74e7,00 -3544,3544,0,00000003e4aa74e8,1c,00000003e4aa74e8,00 -3545,3545,0,00000003e4aa74e9,1c,00000003e4aa74e9,00 -3546,3546,0,00000003e4aa74ea,1c,00000003e4aa74ea,00 -3547,3547,0,00000003e4aa74eb,1c,00000003e4aa74eb,00 -3548,3548,0,00000003e4aa74ec,1c,00000003e4aa74ec,00 -3549,3549,0,00000003e4aa74ed,1c,00000003e4aa74ed,00 -3550,3550,0,00000003e4aa74ee,1c,00000003e4aa74ee,00 -3551,3551,0,00000003e4aa74ef,1c,00000003e4aa74ef,00 -3552,3552,0,00000003e4aa74f0,1e,00000003e4aa74f0,0f -3553,3553,0,00000003e4aa74f1,1d,00000003e4aa74f1,10 -3554,3554,0,00000003e4aa74f2,1c,00000003e4aa74f2,00 -3555,3555,0,00000003e4aa74f3,1c,00000003e4aa74f3,00 -3556,3556,0,00000003e4aa74f4,1c,00000003e4aa74f4,00 -3557,3557,0,00000003e4aa74f5,1c,00000003e4aa74f5,00 -3558,3558,0,00000003e4aa74f6,1c,00000003e4aa74f6,00 -3559,3559,0,00000003e4aa74f7,1c,00000003e4aa74f7,00 -3560,3560,0,00000003e4aa74f8,1c,00000003e4aa74f8,00 -3561,3561,0,00000003e4aa74f9,1c,00000003e4aa74f9,00 -3562,3562,0,00000003e4aa74fa,1c,00000003e4aa74fa,00 -3563,3563,0,00000003e4aa74fb,1c,00000003e4aa74fb,00 -3564,3564,0,00000003e4aa74fc,1c,00000003e4aa74fc,00 -3565,3565,0,00000003e4aa74fd,1c,00000003e4aa74fd,00 -3566,3566,0,00000003e4aa74fe,1c,00000003e4aa74fe,00 -3567,3567,0,00000003e4aa74ff,1c,00000003e4aa74ff,00 -3568,3568,0,00000003e4aa7500,1e,00000003e4aa7500,0f -3569,3569,0,00000003e4aa7501,1d,00000003e4aa7501,10 -3570,3570,0,00000003e4aa7502,1c,00000003e4aa7502,00 -3571,3571,0,00000003e4aa7503,1c,00000003e4aa7503,00 -3572,3572,0,00000003e4aa7504,1c,00000003e4aa7504,00 -3573,3573,0,00000003e4aa7505,1c,00000003e4aa7505,00 -3574,3574,0,00000003e4aa7506,1c,00000003e4aa7506,00 -3575,3575,0,00000003e4aa7507,1c,00000003e4aa7507,00 -3576,3576,0,00000003e4aa7508,1c,00000003e4aa7508,00 -3577,3577,0,00000003e4aa7509,1c,00000003e4aa7509,00 -3578,3578,0,00000003e4aa750a,1c,00000003e4aa750a,00 -3579,3579,0,00000003e4aa750b,1c,00000003e4aa750b,00 -3580,3580,0,00000003e4aa750c,1c,00000003e4aa750c,00 -3581,3581,0,00000003e4aa750d,1c,00000003e4aa750d,00 -3582,3582,0,00000003e4aa750e,1c,00000003e4aa750e,00 -3583,3583,0,00000003e4aa750f,1c,00000003e4aa750f,00 -3584,3584,0,00000003e4aa7510,1e,00000003e4aa7510,0f -3585,3585,0,00000003e4aa7511,1d,00000003e4aa7511,10 -3586,3586,0,00000003e4aa7512,1c,00000003e4aa7512,00 -3587,3587,0,00000003e4aa7513,1c,00000003e4aa7513,00 -3588,3588,0,00000003e4aa7514,1c,00000003e4aa7514,00 -3589,3589,0,00000003e4aa7515,1c,00000003e4aa7515,00 -3590,3590,0,00000003e4aa7516,1c,00000003e4aa7516,00 -3591,3591,0,00000003e4aa7517,1c,00000003e4aa7517,00 -3592,3592,0,00000003e4aa7518,1c,00000003e4aa7518,00 -3593,3593,0,00000003e4aa7519,1c,00000003e4aa7519,00 -3594,3594,0,00000003e4aa751a,1c,00000003e4aa751a,00 -3595,3595,0,00000003e4aa751b,1c,00000003e4aa751b,00 -3596,3596,0,00000003e4aa751c,1c,00000003e4aa751c,00 -3597,3597,0,00000003e4aa751d,1c,00000003e4aa751d,00 -3598,3598,0,00000003e4aa751e,1c,00000003e4aa751e,00 -3599,3599,0,00000003e4aa751f,1c,00000003e4aa751f,00 -3600,3600,0,00000003e4aa7520,1e,00000003e4aa7520,0f -3601,3601,0,00000003e4aa7521,1d,00000003e4aa7521,10 -3602,3602,0,00000003e4aa7522,1c,00000003e4aa7522,00 -3603,3603,0,00000003e4aa7523,1c,00000003e4aa7523,00 -3604,3604,0,00000003e4aa7524,1c,00000003e4aa7524,00 -3605,3605,0,00000003e4aa7525,1c,00000003e4aa7525,00 -3606,3606,0,00000003e4aa7526,1c,00000003e4aa7526,00 -3607,3607,0,00000003e4aa7527,1c,00000003e4aa7527,00 -3608,3608,0,00000003e4aa7528,1c,00000003e4aa7528,00 -3609,3609,0,00000003e4aa7529,1c,00000003e4aa7529,00 -3610,3610,0,00000003e4aa752a,1c,00000003e4aa752a,00 -3611,3611,0,00000003e4aa752b,1c,00000003e4aa752b,00 -3612,3612,0,00000003e4aa752c,1c,00000003e4aa752c,00 -3613,3613,0,00000003e4aa752d,1c,00000003e4aa752d,00 -3614,3614,0,00000003e4aa752e,1c,00000003e4aa752e,00 -3615,3615,0,00000003e4aa752f,1c,00000003e4aa752f,00 -3616,3616,0,00000003e4aa7530,1e,00000003e4aa7530,0f -3617,3617,0,00000003e4aa7531,1d,00000003e4aa7531,10 -3618,3618,0,00000003e4aa7532,1c,00000003e4aa7532,00 -3619,3619,0,00000003e4aa7533,1c,00000003e4aa7533,00 -3620,3620,0,00000003e4aa7534,1c,00000003e4aa7534,00 -3621,3621,0,00000003e4aa7535,1c,00000003e4aa7535,00 -3622,3622,0,00000003e4aa7536,1c,00000003e4aa7536,00 -3623,3623,0,00000003e4aa7537,1c,00000003e4aa7537,00 -3624,3624,0,00000003e4aa7538,1c,00000003e4aa7538,00 -3625,3625,0,00000003e4aa7539,1c,00000003e4aa7539,00 -3626,3626,0,00000003e4aa753a,1c,00000003e4aa753a,00 -3627,3627,0,00000003e4aa753b,1c,00000003e4aa753b,00 -3628,3628,0,00000003e4aa753c,1c,00000003e4aa753c,00 -3629,3629,0,00000003e4aa753d,1c,00000003e4aa753d,00 -3630,3630,0,00000003e4aa753e,1c,00000003e4aa753e,00 -3631,3631,0,00000003e4aa753f,1c,00000003e4aa753f,00 -3632,3632,0,00000003e4aa7540,1e,00000003e4aa7540,0f -3633,3633,0,00000003e4aa7541,1d,00000003e4aa7541,10 -3634,3634,0,00000003e4aa7542,1c,00000003e4aa7542,00 -3635,3635,0,00000003e4aa7543,1c,00000003e4aa7543,00 -3636,3636,0,00000003e4aa7544,1c,00000003e4aa7544,00 -3637,3637,0,00000003e4aa7545,1c,00000003e4aa7545,00 -3638,3638,0,00000003e4aa7546,1c,00000003e4aa7546,00 -3639,3639,0,00000003e4aa7547,1c,00000003e4aa7547,00 -3640,3640,0,00000003e4aa7548,1c,00000003e4aa7548,00 -3641,3641,0,00000003e4aa7549,1c,00000003e4aa7549,00 -3642,3642,0,00000003e4aa754a,1c,00000003e4aa754a,00 -3643,3643,0,00000003e4aa754b,1c,00000003e4aa754b,00 -3644,3644,0,00000003e4aa754c,1c,00000003e4aa754c,00 -3645,3645,0,00000003e4aa754d,1c,00000003e4aa754d,00 -3646,3646,0,00000003e4aa754e,1c,00000003e4aa754e,00 -3647,3647,0,00000003e4aa754f,1c,00000003e4aa754f,00 -3648,3648,0,00000003e4aa7550,1e,00000003e4aa7550,0f -3649,3649,0,00000003e4aa7551,1d,00000003e4aa7551,10 -3650,3650,0,00000003e4aa7552,1c,00000003e4aa7552,00 -3651,3651,0,00000003e4aa7553,1c,00000003e4aa7553,00 -3652,3652,0,00000003e4aa7554,1c,00000003e4aa7554,00 -3653,3653,0,00000003e4aa7555,1c,00000003e4aa7555,00 -3654,3654,0,00000003e4aa7556,1c,00000003e4aa7556,00 -3655,3655,0,00000003e4aa7557,1c,00000003e4aa7557,00 -3656,3656,0,00000003e4aa7558,1c,00000003e4aa7558,00 -3657,3657,0,00000003e4aa7559,1c,00000003e4aa7559,00 -3658,3658,0,00000003e4aa755a,1c,00000003e4aa755a,00 -3659,3659,0,00000003e4aa755b,1c,00000003e4aa755b,00 -3660,3660,0,00000003e4aa755c,1c,00000003e4aa755c,00 -3661,3661,0,00000003e4aa755d,1c,00000003e4aa755d,00 -3662,3662,0,00000003e4aa755e,1c,00000003e4aa755e,00 -3663,3663,0,00000003e4aa755f,1c,00000003e4aa755f,00 -3664,3664,0,00000003e4aa7560,1e,00000003e4aa7560,00 -3665,3665,0,00000003e4aa7561,1d,00000003e4aa7561,10 -3666,3666,0,00000003e4aa7562,1c,00000003e4aa7562,00 -3667,3667,0,00000003e4aa7563,1c,00000003e4aa7563,00 -3668,3668,0,00000003e4aa7564,1c,00000003e4aa7564,00 -3669,3669,0,00000003e4aa7565,1c,00000003e4aa7565,00 -3670,3670,0,00000003e4aa7566,1c,00000003e4aa7566,00 -3671,3671,0,00000003e4aa7567,1c,00000003e4aa7567,00 -3672,3672,0,00000003e4aa7568,1c,00000003e4aa7568,00 -3673,3673,0,00000003e4aa7569,1c,00000003e4aa7569,00 -3674,3674,0,00000003e4aa756a,1c,00000003e4aa756a,00 -3675,3675,0,00000003e4aa756b,1c,00000003e4aa756b,00 -3676,3676,0,00000003e4aa756c,1c,00000003e4aa756c,00 -3677,3677,0,00000003e4aa756d,1c,00000003e4aa756d,00 -3678,3678,0,00000003e4aa756e,1c,00000003e4aa756e,00 -3679,3679,0,00000003e4aa756f,1c,00000003e4aa756f,00 -3680,3680,0,00000003e4aa7570,1e,00000003e4aa7570,0f -3681,3681,0,00000003e4aa7571,1d,00000003e4aa7571,10 -3682,3682,0,00000003e4aa7572,1c,00000003e4aa7572,00 -3683,3683,0,00000003e4aa7573,1c,00000003e4aa7573,00 -3684,3684,0,00000003e4aa7574,1c,00000003e4aa7574,00 -3685,3685,0,00000003e4aa7575,1c,00000003e4aa7575,00 -3686,3686,0,00000003e4aa7576,1c,00000003e4aa7576,00 -3687,3687,0,00000003e4aa7577,1c,00000003e4aa7577,00 -3688,3688,0,00000003e4aa7578,1c,00000003e4aa7578,00 -3689,3689,0,00000003e4aa7579,1c,00000003e4aa7579,00 -3690,3690,0,00000003e4aa757a,1c,00000003e4aa757a,00 -3691,3691,0,00000003e4aa757b,1c,00000003e4aa757b,00 -3692,3692,0,00000003e4aa757c,1c,00000003e4aa757c,00 -3693,3693,0,00000003e4aa757d,1c,00000003e4aa757d,00 -3694,3694,0,00000003e4aa757e,1c,00000003e4aa757e,00 -3695,3695,0,00000003e4aa757f,1c,00000003e4aa757f,00 -3696,3696,0,00000003e4aa7580,1e,00000003e4aa7580,0f -3697,3697,0,00000003e4aa7581,1d,00000003e4aa7581,10 -3698,3698,0,00000003e4aa7582,1c,00000003e4aa7582,00 -3699,3699,0,00000003e4aa7583,1c,00000003e4aa7583,00 -3700,3700,0,00000003e4aa7584,1c,00000003e4aa7584,00 -3701,3701,0,00000003e4aa7585,1c,00000003e4aa7585,00 -3702,3702,0,00000003e4aa7586,1c,00000003e4aa7586,00 -3703,3703,0,00000003e4aa7587,1c,00000003e4aa7587,00 -3704,3704,0,00000003e4aa7588,1c,00000003e4aa7588,00 -3705,3705,0,00000003e4aa7589,1c,00000003e4aa7589,00 -3706,3706,0,00000003e4aa758a,1c,00000003e4aa758a,00 -3707,3707,0,00000003e4aa758b,1c,00000003e4aa758b,00 -3708,3708,0,00000003e4aa758c,1c,00000003e4aa758c,00 -3709,3709,0,00000003e4aa758d,1c,00000003e4aa758d,00 -3710,3710,0,00000003e4aa758e,1c,00000003e4aa758e,00 -3711,3711,0,00000003e4aa758f,1c,00000003e4aa758f,00 -3712,3712,0,00000003e4aa7590,1e,00000003e4aa7590,00 -3713,3713,0,00000003e4aa7591,1d,00000003e4aa7591,10 -3714,3714,0,00000003e4aa7592,1c,00000003e4aa7592,00 -3715,3715,0,00000003e4aa7593,1c,00000003e4aa7593,00 -3716,3716,0,00000003e4aa7594,1c,00000003e4aa7594,00 -3717,3717,0,00000003e4aa7595,1c,00000003e4aa7595,00 -3718,3718,0,00000003e4aa7596,1c,00000003e4aa7596,00 -3719,3719,0,00000003e4aa7597,1c,00000003e4aa7597,00 -3720,3720,0,00000003e4aa7598,1c,00000003e4aa7598,00 -3721,3721,0,00000003e4aa7599,1c,00000003e4aa7599,00 -3722,3722,0,00000003e4aa759a,1c,00000003e4aa759a,00 -3723,3723,0,00000003e4aa759b,1c,00000003e4aa759b,00 -3724,3724,0,00000003e4aa759c,1c,00000003e4aa759c,00 -3725,3725,0,00000003e4aa759d,1c,00000003e4aa759d,00 -3726,3726,0,00000003e4aa759e,1c,00000003e4aa759e,00 -3727,3727,0,00000003e4aa759f,1c,00000003e4aa759f,00 -3728,3728,0,00000003e4aa75a0,1e,00000003e4aa75a0,00 -3729,3729,0,00000003e4aa75a1,1d,00000003e4aa75a1,10 -3730,3730,0,00000003e4aa75a2,1c,00000003e4aa75a2,00 -3731,3731,0,00000003e4aa75a3,1c,00000003e4aa75a3,00 -3732,3732,0,00000003e4aa75a4,1c,00000003e4aa75a4,00 -3733,3733,0,00000003e4aa75a5,1c,00000003e4aa75a5,00 -3734,3734,0,00000003e4aa75a6,1c,00000003e4aa75a6,00 -3735,3735,0,00000003e4aa75a7,1c,00000003e4aa75a7,00 -3736,3736,0,00000003e4aa75a8,1c,00000003e4aa75a8,00 -3737,3737,0,00000003e4aa75a9,1c,00000003e4aa75a9,00 -3738,3738,0,00000003e4aa75aa,1c,00000003e4aa75aa,00 -3739,3739,0,00000003e4aa75ab,1c,00000003e4aa75ab,00 -3740,3740,0,00000003e4aa75ac,1c,00000003e4aa75ac,00 -3741,3741,0,00000003e4aa75ad,1c,00000003e4aa75ad,00 -3742,3742,0,00000003e4aa75ae,1c,00000003e4aa75ae,00 -3743,3743,0,00000003e4aa75af,1c,00000003e4aa75af,00 -3744,3744,0,00000003e4aa75b0,1e,00000003e4aa75b0,00 -3745,3745,0,00000003e4aa75b1,1d,00000003e4aa75b1,10 -3746,3746,0,00000003e4aa75b2,1c,00000003e4aa75b2,00 -3747,3747,0,00000003e4aa75b3,1c,00000003e4aa75b3,00 -3748,3748,0,00000003e4aa75b4,1c,00000003e4aa75b4,00 -3749,3749,0,00000003e4aa75b5,1c,00000003e4aa75b5,00 -3750,3750,0,00000003e4aa75b6,1c,00000003e4aa75b6,00 -3751,3751,0,00000003e4aa75b7,1c,00000003e4aa75b7,00 -3752,3752,0,00000003e4aa75b8,1c,00000003e4aa75b8,00 -3753,3753,0,00000003e4aa75b9,1c,00000003e4aa75b9,00 -3754,3754,0,00000003e4aa75ba,1c,00000003e4aa75ba,00 -3755,3755,0,00000003e4aa75bb,1c,00000003e4aa75bb,00 -3756,3756,0,00000003e4aa75bc,1c,00000003e4aa75bc,00 -3757,3757,0,00000003e4aa75bd,1c,00000003e4aa75bd,00 -3758,3758,0,00000003e4aa75be,1c,00000003e4aa75be,00 -3759,3759,0,00000003e4aa75bf,1c,00000003e4aa75bf,00 -3760,3760,0,00000003e4aa75c0,1e,00000003e4aa75c0,0f -3761,3761,0,00000003e4aa75c1,1d,00000003e4aa75c1,10 -3762,3762,0,00000003e4aa75c2,1c,00000003e4aa75c2,00 -3763,3763,0,00000003e4aa75c3,1c,00000003e4aa75c3,00 -3764,3764,0,00000003e4aa75c4,1c,00000003e4aa75c4,00 -3765,3765,0,00000003e4aa75c5,1c,00000003e4aa75c5,00 -3766,3766,0,00000003e4aa75c6,1c,00000003e4aa75c6,00 -3767,3767,0,00000003e4aa75c7,1c,00000003e4aa75c7,00 -3768,3768,0,00000003e4aa75c8,1c,00000003e4aa75c8,00 -3769,3769,0,00000003e4aa75c9,1c,00000003e4aa75c9,00 -3770,3770,0,00000003e4aa75ca,1c,00000003e4aa75ca,00 -3771,3771,0,00000003e4aa75cb,1c,00000003e4aa75cb,00 -3772,3772,0,00000003e4aa75cc,1c,00000003e4aa75cc,00 -3773,3773,0,00000003e4aa75cd,1c,00000003e4aa75cd,00 -3774,3774,0,00000003e4aa75ce,1c,00000003e4aa75ce,00 -3775,3775,0,00000003e4aa75cf,1c,00000003e4aa75cf,00 -3776,3776,0,00000003e4aa75d0,1e,00000003e4aa75d0,0f -3777,3777,0,00000003e4aa75d1,1d,00000003e4aa75d1,10 -3778,3778,0,00000003e4aa75d2,1c,00000003e4aa75d1,00 -3779,3779,0,00000003e4aa75d3,1c,00000003e4aa75d2,00 -3780,3780,0,00000003e4aa75d4,1c,00000003e4aa75d3,00 -3781,3781,0,00000003e4aa75d5,1c,00000003e4aa75d4,00 -3782,3782,0,00000003e4aa75d6,1c,00000003e4aa75d5,00 -3783,3783,0,00000003e4aa75d7,1c,00000003e4aa75d6,00 -3784,3784,0,00000003e4aa75d8,1c,00000003e4aa75d7,00 -3785,3785,0,00000003e4aa75d9,1c,00000003e4aa75d8,00 -3786,3786,0,00000003e4aa75da,1c,00000003e4aa75d9,00 -3787,3787,0,00000003e4aa75db,1c,00000003e4aa75da,00 -3788,3788,0,00000003e4aa75dc,1c,00000003e4aa75db,00 -3789,3789,0,00000003e4aa75dd,1c,00000003e4aa75dc,00 -3790,3790,0,00000003e4aa75de,1c,00000003e4aa75dd,00 -3791,3791,0,00000003e4aa75df,1c,00000003e4aa75de,00 -3792,3792,0,00000003e4aa75e0,1e,00000003e4aa75df,00 -3793,3793,0,00000003e4aa75e1,1d,00000003e4aa75e0,0f -3794,3794,0,00000003e4aa75e2,1c,00000003e4aa75e1,10 -3795,3795,0,00000003e4aa75e3,1c,00000003e4aa75e2,00 -3796,3796,0,00000003e4aa75e4,1c,00000003e4aa75e3,00 -3797,3797,0,00000003e4aa75e5,1c,00000003e4aa75e4,00 -3798,3798,0,00000003e4aa75e6,1c,00000003e4aa75e5,00 -3799,3799,0,00000003e4aa75e7,1c,00000003e4aa75e6,00 -3800,3800,0,00000003e4aa75e8,1c,00000003e4aa75e7,00 -3801,3801,0,00000003e4aa75e9,1c,00000003e4aa75e8,00 -3802,3802,0,00000003e4aa75ea,1c,00000003e4aa75e9,00 -3803,3803,0,00000003e4aa75eb,1c,00000003e4aa75ea,00 -3804,3804,0,00000003e4aa75ec,1c,00000003e4aa75eb,00 -3805,3805,0,00000003e4aa75ed,1c,00000003e4aa75ec,00 -3806,3806,0,00000003e4aa75ee,1c,00000003e4aa75ed,00 -3807,3807,0,00000003e4aa75ef,1c,00000003e4aa75ee,00 -3808,3808,0,00000003e4aa75f0,1e,00000003e4aa75ef,00 -3809,3809,0,00000003e4aa75f1,1d,00000003e4aa75f0,0f -3810,3810,0,00000003e4aa75f2,1c,00000003e4aa75f1,10 -3811,3811,0,00000003e4aa75f3,1c,00000003e4aa75f2,00 -3812,3812,0,00000003e4aa75f4,1c,00000003e4aa75f3,00 -3813,3813,0,00000003e4aa75f5,1c,00000003e4aa75f4,00 -3814,3814,0,00000003e4aa75f6,1c,00000003e4aa75f5,00 -3815,3815,0,00000003e4aa75f7,1c,00000003e4aa75f6,00 -3816,3816,0,00000003e4aa75f8,1c,00000003e4aa75f7,00 -3817,3817,0,00000003e4aa75f9,1c,00000003e4aa75f8,00 -3818,3818,0,00000003e4aa75fa,1c,00000003e4aa75f9,00 -3819,3819,0,00000003e4aa75fb,1c,00000003e4aa75fa,00 -3820,3820,0,00000003e4aa75fc,1c,00000003e4aa75fb,00 -3821,3821,0,00000003e4aa75fd,1c,00000003e4aa75fc,00 -3822,3822,0,00000003e4aa75fe,1c,00000003e4aa75fd,00 -3823,3823,0,00000003e4aa75ff,1c,00000003e4aa75fe,00 -3824,3824,0,00000003e4aa7600,1e,00000003e4aa75ff,00 -3825,3825,0,00000003e4aa7601,1d,00000003e4aa7600,0f -3826,3826,0,00000003e4aa7602,1c,00000003e4aa7601,10 -3827,3827,0,00000003e4aa7603,1c,00000003e4aa7602,00 -3828,3828,0,00000003e4aa7604,1c,00000003e4aa7603,00 -3829,3829,0,00000003e4aa7605,1c,00000003e4aa7604,00 -3830,3830,0,00000003e4aa7606,1c,00000003e4aa7605,00 -3831,3831,0,00000003e4aa7607,1c,00000003e4aa7606,00 -3832,3832,0,00000003e4aa7608,1c,00000003e4aa7607,00 -3833,3833,0,00000003e4aa7609,1c,00000003e4aa7608,00 -3834,3834,0,00000003e4aa760a,1c,00000003e4aa7609,00 -3835,3835,0,00000003e4aa760b,1c,00000003e4aa760a,00 -3836,3836,0,00000003e4aa760c,1c,00000003e4aa760b,00 -3837,3837,0,00000003e4aa760d,1c,00000003e4aa760c,00 -3838,3838,0,00000003e4aa760e,1c,00000003e4aa760d,00 -3839,3839,0,00000003e4aa760f,1c,00000003e4aa760e,00 -3840,3840,0,00000003e4aa7610,1e,00000003e4aa760f,00 -3841,3841,0,00000003e4aa7611,1d,00000003e4aa7610,0f -3842,3842,0,00000003e4aa7612,1c,00000003e4aa7611,10 -3843,3843,0,00000003e4aa7613,1c,00000003e4aa7612,00 -3844,3844,0,00000003e4aa7614,1c,00000003e4aa7613,00 -3845,3845,0,00000003e4aa7615,1c,00000003e4aa7614,00 -3846,3846,0,00000003e4aa7616,1c,00000003e4aa7615,00 -3847,3847,0,00000003e4aa7617,1c,00000003e4aa7616,00 -3848,3848,0,00000003e4aa7618,1c,00000003e4aa7617,00 -3849,3849,0,00000003e4aa7619,1c,00000003e4aa7618,00 -3850,3850,0,00000003e4aa761a,1c,00000003e4aa7619,00 -3851,3851,0,00000003e4aa761b,1c,00000003e4aa761a,00 -3852,3852,0,00000003e4aa761c,1c,00000003e4aa761b,00 -3853,3853,0,00000003e4aa761d,1c,00000003e4aa761c,00 -3854,3854,0,00000003e4aa761e,1c,00000003e4aa761d,00 -3855,3855,0,00000003e4aa761f,1c,00000003e4aa761e,00 -3856,3856,0,00000003e4aa7620,1e,00000003e4aa761f,00 -3857,3857,0,00000003e4aa7621,1d,00000003e4aa7620,0f -3858,3858,0,00000003e4aa7622,1c,00000003e4aa7621,10 -3859,3859,0,00000003e4aa7623,1c,00000003e4aa7622,00 -3860,3860,0,00000003e4aa7624,1c,00000003e4aa7623,00 -3861,3861,0,00000003e4aa7625,1c,00000003e4aa7624,00 -3862,3862,0,00000003e4aa7626,1c,00000003e4aa7625,00 -3863,3863,0,00000003e4aa7627,1c,00000003e4aa7626,00 -3864,3864,0,00000003e4aa7628,1c,00000003e4aa7627,00 -3865,3865,0,00000003e4aa7629,1c,00000003e4aa7628,00 -3866,3866,0,00000003e4aa762a,1c,00000003e4aa7629,00 -3867,3867,0,00000003e4aa762b,1c,00000003e4aa762a,00 -3868,3868,0,00000003e4aa762c,1c,00000003e4aa762b,00 -3869,3869,0,00000003e4aa762d,1c,00000003e4aa762c,00 -3870,3870,0,00000003e4aa762e,1c,00000003e4aa762d,00 -3871,3871,0,00000003e4aa762f,1c,00000003e4aa762e,00 -3872,3872,0,00000003e4aa7630,1e,00000003e4aa762f,00 -3873,3873,0,00000003e4aa7631,1d,00000003e4aa7630,0f -3874,3874,0,00000003e4aa7632,1c,00000003e4aa7631,10 -3875,3875,0,00000003e4aa7633,1c,00000003e4aa7632,00 -3876,3876,0,00000003e4aa7634,1c,00000003e4aa7633,00 -3877,3877,0,00000003e4aa7635,1c,00000003e4aa7634,00 -3878,3878,0,00000003e4aa7636,1c,00000003e4aa7635,00 -3879,3879,0,00000003e4aa7637,1c,00000003e4aa7636,00 -3880,3880,0,00000003e4aa7638,1c,00000003e4aa7637,00 -3881,3881,0,00000003e4aa7639,1c,00000003e4aa7638,00 -3882,3882,0,00000003e4aa763a,1c,00000003e4aa7639,00 -3883,3883,0,00000003e4aa763b,1c,00000003e4aa763a,00 -3884,3884,0,00000003e4aa763c,1c,00000003e4aa763b,00 -3885,3885,0,00000003e4aa763d,1c,00000003e4aa763c,00 -3886,3886,0,00000003e4aa763e,1c,00000003e4aa763d,00 -3887,3887,0,00000003e4aa763f,1c,00000003e4aa763e,00 -3888,3888,0,00000003e4aa7640,1e,00000003e4aa763f,00 -3889,3889,0,00000003e4aa7641,1d,00000003e4aa7640,0f -3890,3890,0,00000003e4aa7642,1c,00000003e4aa7641,10 -3891,3891,0,00000003e4aa7643,1c,00000003e4aa7642,00 -3892,3892,0,00000003e4aa7644,1c,00000003e4aa7643,00 -3893,3893,0,00000003e4aa7645,1c,00000003e4aa7644,00 -3894,3894,0,00000003e4aa7646,1c,00000003e4aa7645,00 -3895,3895,0,00000003e4aa7647,1c,00000003e4aa7646,00 -3896,3896,0,00000003e4aa7648,1c,00000003e4aa7647,00 -3897,3897,0,00000003e4aa7649,1c,00000003e4aa7648,00 -3898,3898,0,00000003e4aa764a,1c,00000003e4aa7649,00 -3899,3899,0,00000003e4aa764b,1c,00000003e4aa764a,00 -3900,3900,0,00000003e4aa764c,1c,00000003e4aa764b,00 -3901,3901,0,00000003e4aa764d,1c,00000003e4aa764c,00 -3902,3902,0,00000003e4aa764e,1c,00000003e4aa764d,00 -3903,3903,0,00000003e4aa764f,1c,00000003e4aa764e,00 -3904,3904,0,00000003e4aa7650,1e,00000003e4aa764f,00 -3905,3905,0,00000003e4aa7651,1d,00000003e4aa7650,0f -3906,3906,0,00000003e4aa7652,1c,00000003e4aa7650,0f -3907,3907,0,00000003e4aa7653,1c,00000003e4aa7650,0f -3908,3908,0,00000003e4aa7654,1c,00000003e4aa7650,0f -3909,3909,0,00000003e4aa7655,1c,00000003e4aa7650,0f -3910,3910,0,00000003e4aa7656,1c,00000003e4aa7650,0f -3911,3911,0,00000003e4aa7657,1c,00000003e4aa7650,0f -3912,3912,0,00000003e4aa7658,1c,00000003e4aa7650,0f -3913,3913,0,00000003e4aa7659,1c,00000003e4aa7650,0f -3914,3914,0,00000003e4aa765a,1c,00000003e4aa7650,0f -3915,3915,0,00000003e4aa765b,1c,00000003e4aa7650,0f -3916,3916,0,00000003e4aa765c,1c,00000003e4aa7650,0f -3917,3917,0,00000003e4aa765d,1c,00000003e4aa7650,0f -3918,3918,0,00000003e4aa765e,1c,00000003e4aa7650,0f -3919,3919,0,00000003e4aa765f,1c,00000003e4aa7650,0f -3920,3920,0,00000003e4aa7660,1e,00000003e4aa7661,10 -3921,3921,0,00000003e4aa7661,1d,00000003e4aa7662,00 -3922,3922,0,00000003e4aa7662,1c,00000003e4aa7663,00 -3923,3923,0,00000003e4aa7663,1c,00000003e4aa7664,00 -3924,3924,0,00000003e4aa7664,1c,00000003e4aa7665,00 -3925,3925,0,00000003e4aa7665,1c,00000003e4aa7666,00 -3926,3926,0,00000003e4aa7666,1c,00000003e4aa7667,00 -3927,3927,0,00000003e4aa7667,1c,00000003e4aa7668,00 -3928,3928,0,00000003e4aa7668,1c,00000003e4aa7669,00 -3929,3929,0,00000003e4aa7669,1c,00000003e4aa766a,00 -3930,3930,0,00000003e4aa766a,1c,00000003e4aa766b,00 -3931,3931,0,00000003e4aa766b,1c,00000003e4aa766c,00 -3932,3932,0,00000003e4aa766c,1c,00000003e4aa766d,00 -3933,3933,0,00000003e4aa766d,1c,00000003e4aa766e,00 -3934,3934,0,00000003e4aa766e,1c,00000003e4aa766f,00 -3935,3935,0,00000003e4aa766f,1c,00000003e4aa7670,0f -3936,3936,0,00000003e4aa7670,1e,00000003e4aa7670,0f -3937,3937,0,00000003e4aa7671,1d,00000003e4aa7671,10 -3938,3938,0,00000003e4aa7672,1c,00000003e4aa7672,00 -3939,3939,0,00000003e4aa7673,1c,00000003e4aa7673,00 -3940,3940,0,00000003e4aa7674,1c,00000003e4aa7674,00 -3941,3941,0,00000003e4aa7675,1c,00000003e4aa7675,00 -3942,3942,0,00000003e4aa7676,1c,00000003e4aa7676,00 -3943,3943,0,00000003e4aa7677,1c,00000003e4aa7677,00 -3944,3944,0,00000003e4aa7678,1c,00000003e4aa7678,00 -3945,3945,0,00000003e4aa7679,1c,00000003e4aa7679,00 -3946,3946,0,00000003e4aa767a,1c,00000003e4aa767a,00 -3947,3947,0,00000003e4aa767b,1c,00000003e4aa767b,00 -3948,3948,0,00000003e4aa767c,1c,00000003e4aa767c,00 -3949,3949,0,00000003e4aa767d,1c,00000003e4aa767d,00 -3950,3950,0,00000003e4aa767e,1c,00000003e4aa767e,00 -3951,3951,0,00000003e4aa767f,1c,00000003e4aa767f,00 -3952,3952,0,00000003e4aa7680,1e,00000003e4aa7680,0f -3953,3953,0,00000003e4aa7681,1d,00000003e4aa7681,10 -3954,3954,0,00000003e4aa7682,1c,00000003e4aa7682,00 -3955,3955,0,00000003e4aa7683,1c,00000003e4aa7683,00 -3956,3956,0,00000003e4aa7684,1c,00000003e4aa7684,00 -3957,3957,0,00000003e4aa7685,1c,00000003e4aa7685,00 -3958,3958,0,00000003e4aa7686,1c,00000003e4aa7686,00 -3959,3959,0,00000003e4aa7687,1c,00000003e4aa7687,00 -3960,3960,0,00000003e4aa7688,1c,00000003e4aa7688,00 -3961,3961,0,00000003e4aa7689,1c,00000003e4aa7689,00 -3962,3962,0,00000003e4aa768a,1c,00000003e4aa768a,00 -3963,3963,0,00000003e4aa768b,1c,00000003e4aa768b,00 -3964,3964,0,00000003e4aa768c,1c,00000003e4aa768c,00 -3965,3965,0,00000003e4aa768d,1c,00000003e4aa768d,00 -3966,3966,0,00000003e4aa768e,1c,00000003e4aa768e,00 -3967,3967,0,00000003e4aa768f,1c,00000003e4aa768f,00 -3968,3968,0,00000003e4aa7690,1e,00000003e4aa7690,00 -3969,3969,0,00000003e4aa7691,1d,00000003e4aa7691,10 -3970,3970,0,00000003e4aa7692,1c,00000003e4aa7692,00 -3971,3971,0,00000003e4aa7693,1c,00000003e4aa7693,00 -3972,3972,0,00000003e4aa7694,1c,00000003e4aa7694,00 -3973,3973,0,00000003e4aa7695,1c,00000003e4aa7695,00 -3974,3974,0,00000003e4aa7696,1c,00000003e4aa7696,00 -3975,3975,0,00000003e4aa7697,1c,00000003e4aa7697,00 -3976,3976,0,00000003e4aa7698,1c,00000003e4aa7698,00 -3977,3977,0,00000003e4aa7699,1c,00000003e4aa7699,00 -3978,3978,0,00000003e4aa769a,1c,00000003e4aa769a,00 -3979,3979,0,00000003e4aa769b,1c,00000003e4aa769b,00 -3980,3980,0,00000003e4aa769c,1c,00000003e4aa769c,00 -3981,3981,0,00000003e4aa769d,1c,00000003e4aa769d,00 -3982,3982,0,00000003e4aa769e,1c,00000003e4aa769e,00 -3983,3983,0,00000003e4aa769f,1c,00000003e4aa769f,00 -3984,3984,0,00000003e4aa76a0,1e,00000003e4aa76a0,00 -3985,3985,0,00000003e4aa76a1,1d,00000003e4aa76a1,00 -3986,3986,0,00000003e4aa76a2,1c,00000003e4aa76a2,00 -3987,3987,0,00000003e4aa76a3,1c,00000003e4aa76a3,00 -3988,3988,0,00000003e4aa76a4,1c,00000003e4aa76a4,00 -3989,3989,0,00000003e4aa76a5,1c,00000003e4aa76a5,00 -3990,3990,0,00000003e4aa76a6,1c,00000003e4aa76a6,00 -3991,3991,0,00000003e4aa76a7,1c,00000003e4aa76a7,00 -3992,3992,0,00000003e4aa76a8,1c,00000003e4aa76a8,00 -3993,3993,0,00000003e4aa76a9,1c,00000003e4aa76a9,00 -3994,3994,0,00000003e4aa76aa,1c,00000003e4aa76aa,00 -3995,3995,0,00000003e4aa76ab,1c,00000003e4aa76ab,00 -3996,3996,0,00000003e4aa76ac,1c,00000003e4aa76ac,00 -3997,3997,0,00000003e4aa76ad,1c,00000003e4aa76ad,00 -3998,3998,0,00000003e4aa76ae,1c,00000003e4aa76ae,00 -3999,3999,0,00000003e4aa76af,1c,00000003e4aa76af,00 -4000,4000,0,00000003e4aa76b0,1e,00000003e4aa76b0,0f -4001,4001,0,00000003e4aa76b1,1d,00000003e4aa76b1,10 -4002,4002,0,00000003e4aa76b2,1c,00000003e4aa76b2,00 -4003,4003,0,00000003e4aa76b3,1c,00000003e4aa76b3,00 -4004,4004,0,00000003e4aa76b4,1c,00000003e4aa76b4,00 -4005,4005,0,00000003e4aa76b5,1c,00000003e4aa76b5,00 -4006,4006,0,00000003e4aa76b6,1c,00000003e4aa76b6,00 -4007,4007,0,00000003e4aa76b7,1c,00000003e4aa76b7,00 -4008,4008,0,00000003e4aa76b8,1c,00000003e4aa76b8,00 -4009,4009,0,00000003e4aa76b9,1c,00000003e4aa76b9,00 -4010,4010,0,00000003e4aa76ba,1c,00000003e4aa76ba,00 -4011,4011,0,00000003e4aa76bb,1c,00000003e4aa76bb,00 -4012,4012,0,00000003e4aa76bc,1c,00000003e4aa76bc,00 -4013,4013,0,00000003e4aa76bd,1c,00000003e4aa76bd,00 -4014,4014,0,00000003e4aa76be,1c,00000003e4aa76be,00 -4015,4015,0,00000003e4aa76bf,1c,00000003e4aa76bf,00 -4016,4016,0,00000003e4aa76c0,1e,00000003e4aa76c0,0f -4017,4017,0,00000003e4aa76c1,1d,00000003e4aa76c1,10 -4018,4018,0,00000003e4aa76c2,1c,00000003e4aa76c2,00 -4019,4019,0,00000003e4aa76c3,1c,00000003e4aa76c3,00 -4020,4020,0,00000003e4aa76c4,1c,00000003e4aa76c4,00 -4021,4021,0,00000003e4aa76c5,1c,00000003e4aa76c5,00 -4022,4022,0,00000003e4aa76c6,1c,00000003e4aa76c6,00 -4023,4023,0,00000003e4aa76c7,1c,00000003e4aa76c7,00 -4024,4024,0,00000003e4aa76c8,1c,00000003e4aa76c8,00 -4025,4025,0,00000003e4aa76c9,1c,00000003e4aa76c9,00 -4026,4026,0,00000003e4aa76ca,1c,00000003e4aa76ca,00 -4027,4027,0,00000003e4aa76cb,1c,00000003e4aa76cb,00 -4028,4028,0,00000003e4aa76cc,1c,00000003e4aa76cc,00 -4029,4029,0,00000003e4aa76cd,1c,00000003e4aa76cd,00 -4030,4030,0,00000003e4aa76ce,1c,00000003e4aa76ce,00 -4031,4031,0,00000003e4aa76cf,1c,00000003e4aa76cf,00 -4032,4032,0,00000003e4aa76d0,1e,00000003e4aa76d0,0f -4033,4033,0,00000003e4aa76d1,1d,00000003e4aa76d1,10 -4034,4034,0,00000003e4aa76d2,1c,00000003e4aa76d2,00 -4035,4035,0,00000003e4aa76d3,1c,00000003e4aa76d3,00 -4036,4036,0,00000003e4aa76d4,1c,00000003e4aa76d4,00 -4037,4037,0,00000003e4aa76d5,1c,00000003e4aa76d5,00 -4038,4038,0,00000003e4aa76d6,1c,00000003e4aa76d6,00 -4039,4039,0,00000003e4aa76d7,1c,00000003e4aa76d7,00 -4040,4040,0,00000003e4aa76d8,1c,00000003e4aa76d8,00 -4041,4041,0,00000003e4aa76d9,1c,00000003e4aa76d9,00 -4042,4042,0,00000003e4aa76da,1c,00000003e4aa76da,00 -4043,4043,0,00000003e4aa76db,1c,00000003e4aa76db,00 -4044,4044,0,00000003e4aa76dc,1c,00000003e4aa76dc,00 -4045,4045,0,00000003e4aa76dd,1c,00000003e4aa76dd,00 -4046,4046,0,00000003e4aa76de,1c,00000003e4aa76de,00 -4047,4047,0,00000003e4aa76df,1c,00000003e4aa76df,00 -4048,4048,0,00000003e4aa76e0,1e,00000003e4aa76e0,00 -4049,4049,0,00000003e4aa76e1,1d,00000003e4aa76e1,10 -4050,4050,0,00000003e4aa76e2,1c,00000003e4aa76e2,00 -4051,4051,0,00000003e4aa76e3,1c,00000003e4aa76e3,00 -4052,4052,0,00000003e4aa76e4,1c,00000003e4aa76e4,00 -4053,4053,0,00000003e4aa76e5,1c,00000003e4aa76e5,00 -4054,4054,0,00000003e4aa76e6,1c,00000003e4aa76e6,00 -4055,4055,0,00000003e4aa76e7,1c,00000003e4aa76e7,00 -4056,4056,0,00000003e4aa76e8,1c,00000003e4aa76e8,00 -4057,4057,0,00000003e4aa76e9,1c,00000003e4aa76e9,00 -4058,4058,0,00000003e4aa76ea,1c,00000003e4aa76ea,00 -4059,4059,0,00000003e4aa76eb,1c,00000003e4aa76eb,00 -4060,4060,0,00000003e4aa76ec,1c,00000003e4aa76ec,00 -4061,4061,0,00000003e4aa76ed,1c,00000003e4aa76ed,00 -4062,4062,0,00000003e4aa76ee,1c,00000003e4aa76ee,00 -4063,4063,0,00000003e4aa76ef,1c,00000003e4aa76ef,00 -4064,4064,0,00000003e4aa76f0,1e,00000003e4aa76f0,00 -4065,4065,0,00000003e4aa76f1,1d,00000003e4aa76f1,00 -4066,4066,0,00000003e4aa76f2,1c,00000003e4aa76f2,00 -4067,4067,0,00000003e4aa76f3,1c,00000003e4aa76f3,00 -4068,4068,0,00000003e4aa76f4,1c,00000003e4aa76f4,00 -4069,4069,0,00000003e4aa76f5,1c,00000003e4aa76f5,00 -4070,4070,0,00000003e4aa76f6,1c,00000003e4aa76f6,00 -4071,4071,0,00000003e4aa76f7,1c,00000003e4aa76f7,00 -4072,4072,0,00000003e4aa76f8,1c,00000003e4aa76f8,00 -4073,4073,0,00000003e4aa76f9,1c,00000003e4aa76f9,00 -4074,4074,0,00000003e4aa76fa,1c,00000003e4aa76fa,00 -4075,4075,0,00000003e4aa76fb,1c,00000003e4aa76fb,00 -4076,4076,0,00000003e4aa76fc,1c,00000003e4aa76fc,00 -4077,4077,0,00000003e4aa76fd,1c,00000003e4aa76fd,00 -4078,4078,0,00000003e4aa76fe,1c,00000003e4aa76fe,00 -4079,4079,0,00000003e4aa76ff,1c,00000003e4aa76ff,00 -4080,4080,0,00000003e4aa7700,1e,00000003e4aa7700,0f -4081,4081,0,00000003e4aa7701,1d,00000003e4aa7701,10 -4082,4082,0,00000003e4aa7702,1c,00000003e4aa7702,00 -4083,4083,0,00000003e4aa7703,1c,00000003e4aa7703,00 -4084,4084,0,00000003e4aa7704,1c,00000003e4aa7704,00 -4085,4085,0,00000003e4aa7705,1c,00000003e4aa7705,00 -4086,4086,0,00000003e4aa7706,1c,00000003e4aa7706,00 -4087,4087,0,00000003e4aa7707,1c,00000003e4aa7707,00 -4088,4088,0,00000003e4aa7708,1c,00000003e4aa7708,00 -4089,4089,0,00000003e4aa7709,1c,00000003e4aa7709,00 -4090,4090,0,00000003e4aa770a,1c,00000003e4aa770a,00 -4091,4091,0,00000003e4aa770b,1c,00000003e4aa770b,00 -4092,4092,0,00000003e4aa770c,1c,00000003e4aa770c,00 -4093,4093,0,00000003e4aa770d,1c,00000003e4aa770d,00 -4094,4094,0,00000003e4aa770e,1c,00000003e4aa770e,00 -4095,4095,0,00000003e4aa770f,1c,00000003e4aa770f,00 -4096,4096,0,00000003e4aa7710,1e,00000003e4aa7710,0f -4097,4097,0,00000003e4aa7711,1d,00000003e4aa7711,10 -4098,4098,0,00000003e4aa7712,1c,00000003e4aa7712,00 -4099,4099,0,00000003e4aa7713,1c,00000003e4aa7713,00 -4100,4100,0,00000003e4aa7714,1c,00000003e4aa7714,00 -4101,4101,0,00000003e4aa7715,1c,00000003e4aa7715,00 -4102,4102,0,00000003e4aa7716,1c,00000003e4aa7716,00 -4103,4103,0,00000003e4aa7717,1c,00000003e4aa7717,00 -4104,4104,0,00000003e4aa7718,1c,00000003e4aa7718,00 -4105,4105,0,00000003e4aa7719,1c,00000003e4aa7719,00 -4106,4106,0,00000003e4aa771a,1c,00000003e4aa771a,00 -4107,4107,0,00000003e4aa771b,1c,00000003e4aa771b,00 -4108,4108,0,00000003e4aa771c,1c,00000003e4aa771c,00 -4109,4109,0,00000003e4aa771d,1c,00000003e4aa771d,00 -4110,4110,0,00000003e4aa771e,1c,00000003e4aa771e,00 -4111,4111,0,00000003e4aa771f,1c,00000003e4aa771f,00 -4112,4112,0,00000003e4aa7720,1e,00000003e4aa7720,0f -4113,4113,0,00000003e4aa7721,1d,00000003e4aa7721,10 -4114,4114,0,00000003e4aa7722,1c,00000003e4aa7722,00 -4115,4115,0,00000003e4aa7723,1c,00000003e4aa7723,00 -4116,4116,0,00000003e4aa7724,1c,00000003e4aa7724,00 -4117,4117,0,00000003e4aa7725,1c,00000003e4aa7725,00 -4118,4118,0,00000003e4aa7726,1c,00000003e4aa7726,00 -4119,4119,0,00000003e4aa7727,1c,00000003e4aa7727,00 -4120,4120,0,00000003e4aa7728,1c,00000003e4aa7728,00 -4121,4121,0,00000003e4aa7729,1c,00000003e4aa7729,00 -4122,4122,0,00000003e4aa772a,1c,00000003e4aa772a,00 -4123,4123,0,00000003e4aa772b,1c,00000003e4aa772b,00 -4124,4124,0,00000003e4aa772c,1c,00000003e4aa772c,00 -4125,4125,0,00000003e4aa772d,1c,00000003e4aa772d,00 -4126,4126,0,00000003e4aa772e,1c,00000003e4aa772e,00 -4127,4127,0,00000003e4aa772f,1c,00000003e4aa772f,00 -4128,4128,0,00000003e4aa7730,1e,00000003e4aa7730,0f -4129,4129,0,00000003e4aa7731,1d,00000003e4aa7731,10 -4130,4130,0,00000003e4aa7732,1c,00000003e4aa7732,00 -4131,4131,0,00000003e4aa7733,1c,00000003e4aa7733,00 -4132,4132,0,00000003e4aa7734,1c,00000003e4aa7734,00 -4133,4133,0,00000003e4aa7735,1c,00000003e4aa7735,00 -4134,4134,0,00000003e4aa7736,1c,00000003e4aa7736,00 -4135,4135,0,00000003e4aa7737,1c,00000003e4aa7737,00 -4136,4136,0,00000003e4aa7738,1c,00000003e4aa7738,00 -4137,4137,0,00000003e4aa7739,1c,00000003e4aa7739,00 -4138,4138,0,00000003e4aa773a,1c,00000003e4aa773a,00 -4139,4139,0,00000003e4aa773b,1c,00000003e4aa773b,00 -4140,4140,0,00000003e4aa773c,1c,00000003e4aa773c,00 -4141,4141,0,00000003e4aa773d,1c,00000003e4aa773d,00 -4142,4142,0,00000003e4aa773e,1c,00000003e4aa773e,00 -4143,4143,0,00000003e4aa773f,1c,00000003e4aa773f,00 -4144,4144,0,00000003e4aa7740,1e,00000003e4aa7740,0f -4145,4145,0,00000003e4aa7741,1d,00000003e4aa7741,10 -4146,4146,0,00000003e4aa7742,1c,00000003e4aa7742,00 -4147,4147,0,00000003e4aa7743,1c,00000003e4aa7743,00 -4148,4148,0,00000003e4aa7744,1c,00000003e4aa7744,00 -4149,4149,0,00000003e4aa7745,1c,00000003e4aa7745,00 -4150,4150,0,00000003e4aa7746,1c,00000003e4aa7746,00 -4151,4151,0,00000003e4aa7747,1c,00000003e4aa7747,00 -4152,4152,0,00000003e4aa7748,1c,00000003e4aa7748,00 -4153,4153,0,00000003e4aa7749,1c,00000003e4aa7749,00 -4154,4154,0,00000003e4aa774a,1c,00000003e4aa774a,00 -4155,4155,0,00000003e4aa774b,1c,00000003e4aa774b,00 -4156,4156,0,00000003e4aa774c,1c,00000003e4aa774c,00 -4157,4157,0,00000003e4aa774d,1c,00000003e4aa774d,00 -4158,4158,0,00000003e4aa774e,1c,00000003e4aa774e,00 -4159,4159,0,00000003e4aa774f,1c,00000003e4aa774f,00 -4160,4160,0,00000003e4aa7750,1e,00000003e4aa7750,0f -4161,4161,0,00000003e4aa7751,1d,00000003e4aa7751,10 -4162,4162,0,00000003e4aa7752,1c,00000003e4aa7752,00 -4163,4163,0,00000003e4aa7753,1c,00000003e4aa7753,00 -4164,4164,0,00000003e4aa7754,1c,00000003e4aa7754,00 -4165,4165,0,00000003e4aa7755,1c,00000003e4aa7755,00 -4166,4166,0,00000003e4aa7756,1c,00000003e4aa7756,00 -4167,4167,0,00000003e4aa7757,1c,00000003e4aa7757,00 -4168,4168,0,00000003e4aa7758,1c,00000003e4aa7758,00 -4169,4169,0,00000003e4aa7759,1c,00000003e4aa7759,00 -4170,4170,0,00000003e4aa775a,1c,00000003e4aa775a,00 -4171,4171,0,00000003e4aa775b,1c,00000003e4aa775b,00 -4172,4172,0,00000003e4aa775c,1c,00000003e4aa775c,00 -4173,4173,0,00000003e4aa775d,1c,00000003e4aa775d,00 -4174,4174,0,00000003e4aa775e,1c,00000003e4aa775e,00 -4175,4175,0,00000003e4aa775f,1c,00000003e4aa775f,00 -4176,4176,0,00000003e4aa7760,1e,00000003e4aa7760,0f -4177,4177,0,00000003e4aa7761,1d,00000003e4aa7761,10 -4178,4178,0,00000003e4aa7762,1c,00000003e4aa7762,00 -4179,4179,0,00000003e4aa7763,1c,00000003e4aa7763,00 -4180,4180,0,00000003e4aa7764,1c,00000003e4aa7764,00 -4181,4181,0,00000003e4aa7765,1c,00000003e4aa7765,00 -4182,4182,0,00000003e4aa7766,1c,00000003e4aa7766,00 -4183,4183,0,00000003e4aa7767,1c,00000003e4aa7767,00 -4184,4184,0,00000003e4aa7768,1c,00000003e4aa7768,00 -4185,4185,0,00000003e4aa7769,1c,00000003e4aa7769,00 -4186,4186,0,00000003e4aa776a,1c,00000003e4aa776a,00 -4187,4187,0,00000003e4aa776b,1c,00000003e4aa776b,00 -4188,4188,0,00000003e4aa776c,1c,00000003e4aa776c,00 -4189,4189,0,00000003e4aa776d,1c,00000003e4aa776d,00 -4190,4190,0,00000003e4aa776e,1c,00000003e4aa776e,00 -4191,4191,0,00000003e4aa776f,1c,00000003e4aa776f,00 -4192,4192,0,00000003e4aa7770,1e,00000003e4aa7770,0f -4193,4193,0,00000003e4aa7771,1d,00000003e4aa7771,10 -4194,4194,0,00000003e4aa7772,1c,00000003e4aa7772,00 -4195,4195,0,00000003e4aa7773,1c,00000003e4aa7773,00 -4196,4196,0,00000003e4aa7774,1c,00000003e4aa7774,00 -4197,4197,0,00000003e4aa7775,1c,00000003e4aa7775,00 -4198,4198,0,00000003e4aa7776,1c,00000003e4aa7776,00 -4199,4199,0,00000003e4aa7777,1c,00000003e4aa7777,00 -4200,4200,0,00000003e4aa7778,1c,00000003e4aa7778,00 -4201,4201,0,00000003e4aa7779,1c,00000003e4aa7779,00 -4202,4202,0,00000003e4aa777a,1c,00000003e4aa777a,00 -4203,4203,0,00000003e4aa777b,1c,00000003e4aa777b,00 -4204,4204,0,00000003e4aa777c,1c,00000003e4aa777c,00 -4205,4205,0,00000003e4aa777d,1c,00000003e4aa777d,00 -4206,4206,0,00000003e4aa777e,1c,00000003e4aa777e,00 -4207,4207,0,00000003e4aa777f,1c,00000003e4aa777f,00 -4208,4208,0,00000003e4aa7780,1e,00000003e4aa7780,00 -4209,4209,0,00000003e4aa7781,1d,00000003e4aa7781,10 -4210,4210,0,00000003e4aa7782,1c,00000003e4aa7782,00 -4211,4211,0,00000003e4aa7783,1c,00000003e4aa7783,00 -4212,4212,0,00000003e4aa7784,1c,00000003e4aa7784,00 -4213,4213,0,00000003e4aa7785,1c,00000003e4aa7785,00 -4214,4214,0,00000003e4aa7786,1c,00000003e4aa7786,00 -4215,4215,0,00000003e4aa7787,1c,00000003e4aa7787,00 -4216,4216,0,00000003e4aa7788,1c,00000003e4aa7788,00 -4217,4217,0,00000003e4aa7789,1c,00000003e4aa7789,00 -4218,4218,0,00000003e4aa778a,1c,00000003e4aa778a,00 -4219,4219,0,00000003e4aa778b,1c,00000003e4aa778b,00 -4220,4220,0,00000003e4aa778c,1c,00000003e4aa778c,00 -4221,4221,0,00000003e4aa778d,1c,00000003e4aa778d,00 -4222,4222,0,00000003e4aa778e,1c,00000003e4aa778e,00 -4223,4223,0,00000003e4aa778f,1c,00000003e4aa778f,00 -4224,4224,0,00000003e4aa7790,1e,00000003e4aa7790,0f -4225,4225,0,00000003e4aa7791,1d,00000003e4aa7791,10 -4226,4226,0,00000003e4aa7792,1c,00000003e4aa7792,00 -4227,4227,0,00000003e4aa7793,1c,00000003e4aa7793,00 -4228,4228,0,00000003e4aa7794,1c,00000003e4aa7794,00 -4229,4229,0,00000003e4aa7795,1c,00000003e4aa7795,00 -4230,4230,0,00000003e4aa7796,1c,00000003e4aa7796,00 -4231,4231,0,00000003e4aa7797,1c,00000003e4aa7797,00 -4232,4232,0,00000003e4aa7798,1c,00000003e4aa7798,00 -4233,4233,0,00000003e4aa7799,1c,00000003e4aa7799,00 -4234,4234,0,00000003e4aa779a,1c,00000003e4aa779a,00 -4235,4235,0,00000003e4aa779b,1c,00000003e4aa779b,00 -4236,4236,0,00000003e4aa779c,1c,00000003e4aa779c,00 -4237,4237,0,00000003e4aa779d,1c,00000003e4aa779d,00 -4238,4238,0,00000003e4aa779e,1c,00000003e4aa779e,00 -4239,4239,0,00000003e4aa779f,1c,00000003e4aa779f,00 -4240,4240,0,00000003e4aa77a0,1e,00000003e4aa77a0,0f -4241,4241,0,00000003e4aa77a1,1d,00000003e4aa77a1,10 -4242,4242,0,00000003e4aa77a2,1c,00000003e4aa77a2,00 -4243,4243,0,00000003e4aa77a3,1c,00000003e4aa77a3,00 -4244,4244,0,00000003e4aa77a4,1c,00000003e4aa77a4,00 -4245,4245,0,00000003e4aa77a5,1c,00000003e4aa77a5,00 -4246,4246,0,00000003e4aa77a6,1c,00000003e4aa77a6,00 -4247,4247,0,00000003e4aa77a7,1c,00000003e4aa77a7,00 -4248,4248,0,00000003e4aa77a8,1c,00000003e4aa77a8,00 -4249,4249,0,00000003e4aa77a9,1c,00000003e4aa77a9,00 -4250,4250,0,00000003e4aa77aa,1c,00000003e4aa77aa,00 -4251,4251,0,00000003e4aa77ab,1c,00000003e4aa77ab,00 -4252,4252,0,00000003e4aa77ac,1c,00000003e4aa77ac,00 -4253,4253,0,00000003e4aa77ad,1c,00000003e4aa77ad,00 -4254,4254,0,00000003e4aa77ae,1c,00000003e4aa77ae,00 -4255,4255,0,00000003e4aa77af,1c,00000003e4aa77af,00 -4256,4256,0,00000003e4aa77b0,1e,00000003e4aa77b0,0f -4257,4257,0,00000003e4aa77b1,1d,00000003e4aa77b1,10 -4258,4258,0,00000003e4aa77b2,1c,00000003e4aa77b2,00 -4259,4259,0,00000003e4aa77b3,1c,00000003e4aa77b3,00 -4260,4260,0,00000003e4aa77b4,1c,00000003e4aa77b4,00 -4261,4261,0,00000003e4aa77b5,1c,00000003e4aa77b5,00 -4262,4262,0,00000003e4aa77b6,1c,00000003e4aa77b6,00 -4263,4263,0,00000003e4aa77b7,1c,00000003e4aa77b7,00 -4264,4264,0,00000003e4aa77b8,1c,00000003e4aa77b8,00 -4265,4265,0,00000003e4aa77b9,1c,00000003e4aa77b9,00 -4266,4266,0,00000003e4aa77ba,1c,00000003e4aa77ba,00 -4267,4267,0,00000003e4aa77bb,1c,00000003e4aa77bb,00 -4268,4268,0,00000003e4aa77bc,1c,00000003e4aa77bc,00 -4269,4269,0,00000003e4aa77bd,1c,00000003e4aa77bd,00 -4270,4270,0,00000003e4aa77be,1c,00000003e4aa77be,00 -4271,4271,0,00000003e4aa77bf,1c,00000003e4aa77bf,00 -4272,4272,0,00000003e4aa77c0,1e,00000003e4aa77c0,0f -4273,4273,0,00000003e4aa77c1,1d,00000003e4aa77c1,10 -4274,4274,0,00000003e4aa77c2,1c,00000003e4aa77c2,00 -4275,4275,0,00000003e4aa77c3,1c,00000003e4aa77c3,00 -4276,4276,0,00000003e4aa77c4,1c,00000003e4aa77c4,00 -4277,4277,0,00000003e4aa77c5,1c,00000003e4aa77c5,00 -4278,4278,0,00000003e4aa77c6,1c,00000003e4aa77c6,00 -4279,4279,0,00000003e4aa77c7,1c,00000003e4aa77c7,00 -4280,4280,0,00000003e4aa77c8,1c,00000003e4aa77c8,00 -4281,4281,0,00000003e4aa77c9,1c,00000003e4aa77c9,00 -4282,4282,0,00000003e4aa77ca,1c,00000003e4aa77ca,00 -4283,4283,0,00000003e4aa77cb,1c,00000003e4aa77cb,00 -4284,4284,0,00000003e4aa77cc,1c,00000003e4aa77cc,00 -4285,4285,0,00000003e4aa77cd,1c,00000003e4aa77cd,00 -4286,4286,0,00000003e4aa77ce,1c,00000003e4aa77ce,00 -4287,4287,0,00000003e4aa77cf,1c,00000003e4aa77cf,00 -4288,4288,0,00000003e4aa77d0,1e,00000003e4aa77d0,0f -4289,4289,0,00000003e4aa77d1,1d,00000003e4aa77d1,10 -4290,4290,0,00000003e4aa77d2,1c,00000003e4aa77d2,00 -4291,4291,0,00000003e4aa77d3,1c,00000003e4aa77d3,00 -4292,4292,0,00000003e4aa77d4,1c,00000003e4aa77d4,00 -4293,4293,0,00000003e4aa77d5,1c,00000003e4aa77d5,00 -4294,4294,0,00000003e4aa77d6,1c,00000003e4aa77d6,00 -4295,4295,0,00000003e4aa77d7,1c,00000003e4aa77d7,00 -4296,4296,0,00000003e4aa77d8,1c,00000003e4aa77d8,00 -4297,4297,0,00000003e4aa77d9,1c,00000003e4aa77d9,00 -4298,4298,0,00000003e4aa77da,1c,00000003e4aa77da,00 -4299,4299,0,00000003e4aa77db,1c,00000003e4aa77db,00 -4300,4300,0,00000003e4aa77dc,1c,00000003e4aa77dc,00 -4301,4301,0,00000003e4aa77dd,1c,00000003e4aa77dd,00 -4302,4302,0,00000003e4aa77de,1c,00000003e4aa77de,00 -4303,4303,0,00000003e4aa77df,1c,00000003e4aa77df,00 -4304,4304,0,00000003e4aa77e0,1e,00000003e4aa77e0,00 -4305,4305,0,00000003e4aa77e1,1d,00000003e4aa77e1,10 -4306,4306,0,00000003e4aa77e2,1c,00000003e4aa77e2,00 -4307,4307,0,00000003e4aa77e3,1c,00000003e4aa77e3,00 -4308,4308,0,00000003e4aa77e4,1c,00000003e4aa77e4,00 -4309,4309,0,00000003e4aa77e5,1c,00000003e4aa77e5,00 -4310,4310,0,00000003e4aa77e6,1c,00000003e4aa77e6,00 -4311,4311,0,00000003e4aa77e7,1c,00000003e4aa77e7,00 -4312,4312,0,00000003e4aa77e8,1c,00000003e4aa77e8,00 -4313,4313,0,00000003e4aa77e9,1c,00000003e4aa77e9,00 -4314,4314,0,00000003e4aa77ea,1c,00000003e4aa77ea,00 -4315,4315,0,00000003e4aa77eb,1c,00000003e4aa77eb,00 -4316,4316,0,00000003e4aa77ec,1c,00000003e4aa77ec,00 -4317,4317,0,00000003e4aa77ed,1c,00000003e4aa77ed,00 -4318,4318,0,00000003e4aa77ee,1c,00000003e4aa77ee,00 -4319,4319,0,00000003e4aa77ef,1c,00000003e4aa77ef,00 -4320,4320,0,00000003e4aa77f0,1e,00000003e4aa77f0,0f -4321,4321,0,00000003e4aa77f1,1d,00000003e4aa77f1,10 -4322,4322,0,00000003e4aa77f2,1c,00000003e4aa77f2,00 -4323,4323,0,00000003e4aa77f3,1c,00000003e4aa77f3,00 -4324,4324,0,00000003e4aa77f4,1c,00000003e4aa77f4,00 -4325,4325,0,00000003e4aa77f5,1c,00000003e4aa77f5,00 -4326,4326,0,00000003e4aa77f6,1c,00000003e4aa77f6,00 -4327,4327,0,00000003e4aa77f7,1c,00000003e4aa77f7,00 -4328,4328,0,00000003e4aa77f8,1c,00000003e4aa77f8,00 -4329,4329,0,00000003e4aa77f9,1c,00000003e4aa77f9,00 -4330,4330,0,00000003e4aa77fa,1c,00000003e4aa77fa,00 -4331,4331,0,00000003e4aa77fb,1c,00000003e4aa77fb,00 -4332,4332,0,00000003e4aa77fc,1c,00000003e4aa77fc,00 -4333,4333,0,00000003e4aa77fd,1c,00000003e4aa77fd,00 -4334,4334,0,00000003e4aa77fe,1c,00000003e4aa77fe,00 -4335,4335,0,00000003e4aa77ff,1c,00000003e4aa77ff,00 -4336,4336,0,00000003e4aa7800,1e,00000003e4aa7800,0f -4337,4337,0,00000003e4aa7801,1d,00000003e4aa7801,10 -4338,4338,0,00000003e4aa7802,1c,00000003e4aa7802,00 -4339,4339,0,00000003e4aa7803,1c,00000003e4aa7803,00 -4340,4340,0,00000003e4aa7804,1c,00000003e4aa7804,00 -4341,4341,0,00000003e4aa7805,1c,00000003e4aa7805,00 -4342,4342,0,00000003e4aa7806,1c,00000003e4aa7806,00 -4343,4343,0,00000003e4aa7807,1c,00000003e4aa7807,00 -4344,4344,0,00000003e4aa7808,1c,00000003e4aa7808,00 -4345,4345,0,00000003e4aa7809,1c,00000003e4aa7809,00 -4346,4346,0,00000003e4aa780a,1c,00000003e4aa780a,00 -4347,4347,0,00000003e4aa780b,1c,00000003e4aa780b,00 -4348,4348,0,00000003e4aa780c,1c,00000003e4aa780c,00 -4349,4349,0,00000003e4aa780d,1c,00000003e4aa780d,00 -4350,4350,0,00000003e4aa780e,1c,00000003e4aa780e,00 -4351,4351,0,00000003e4aa780f,1c,00000003e4aa780f,00 -4352,4352,0,00000003e4aa7810,1e,00000003e4aa7810,00 -4353,4353,0,00000003e4aa7811,1d,00000003e4aa7811,10 -4354,4354,0,00000003e4aa7812,1c,00000003e4aa7812,00 -4355,4355,0,00000003e4aa7813,1c,00000003e4aa7813,00 -4356,4356,0,00000003e4aa7814,1c,00000003e4aa7814,00 -4357,4357,0,00000003e4aa7815,1c,00000003e4aa7815,00 -4358,4358,0,00000003e4aa7816,1c,00000003e4aa7816,00 -4359,4359,0,00000003e4aa7817,1c,00000003e4aa7817,00 -4360,4360,0,00000003e4aa7818,1c,00000003e4aa7818,00 -4361,4361,0,00000003e4aa7819,1c,00000003e4aa7819,00 -4362,4362,0,00000003e4aa781a,1c,00000003e4aa781a,00 -4363,4363,0,00000003e4aa781b,1c,00000003e4aa781b,00 -4364,4364,0,00000003e4aa781c,1c,00000003e4aa781c,00 -4365,4365,0,00000003e4aa781d,1c,00000003e4aa781d,00 -4366,4366,0,00000003e4aa781e,1c,00000003e4aa781e,00 -4367,4367,0,00000003e4aa781f,1c,00000003e4aa781f,00 -4368,4368,0,00000003e4aa7820,1e,00000003e4aa7820,0f -4369,4369,0,00000003e4aa7821,1d,00000003e4aa7821,10 -4370,4370,0,00000003e4aa7822,1c,00000003e4aa7822,00 -4371,4371,0,00000003e4aa7823,1c,00000003e4aa7823,00 -4372,4372,0,00000003e4aa7824,1c,00000003e4aa7824,00 -4373,4373,0,00000003e4aa7825,1c,00000003e4aa7825,00 -4374,4374,0,00000003e4aa7826,1c,00000003e4aa7826,00 -4375,4375,0,00000003e4aa7827,1c,00000003e4aa7827,00 -4376,4376,0,00000003e4aa7828,1c,00000003e4aa7828,00 -4377,4377,0,00000003e4aa7829,1c,00000003e4aa7829,00 -4378,4378,0,00000003e4aa782a,1c,00000003e4aa782a,00 -4379,4379,0,00000003e4aa782b,1c,00000003e4aa782b,00 -4380,4380,0,00000003e4aa782c,1c,00000003e4aa782c,00 -4381,4381,0,00000003e4aa782d,1c,00000003e4aa782d,00 -4382,4382,0,00000003e4aa782e,1c,00000003e4aa782e,00 -4383,4383,0,00000003e4aa782f,1c,00000003e4aa782f,00 -4384,4384,0,00000003e4aa7830,1e,00000003e4aa7830,0f -4385,4385,0,00000003e4aa7831,1d,00000003e4aa7831,10 -4386,4386,0,00000003e4aa7832,1c,00000003e4aa7832,00 -4387,4387,0,00000003e4aa7833,1c,00000003e4aa7833,00 -4388,4388,0,00000003e4aa7834,1c,00000003e4aa7834,00 -4389,4389,0,00000003e4aa7835,1c,00000003e4aa7835,00 -4390,4390,0,00000003e4aa7836,1c,00000003e4aa7836,00 -4391,4391,0,00000003e4aa7837,1c,00000003e4aa7837,00 -4392,4392,0,00000003e4aa7838,1c,00000003e4aa7838,00 -4393,4393,0,00000003e4aa7839,1c,00000003e4aa7839,00 -4394,4394,0,00000003e4aa783a,1c,00000003e4aa783a,00 -4395,4395,0,00000003e4aa783b,1c,00000003e4aa783b,00 -4396,4396,0,00000003e4aa783c,1c,00000003e4aa783c,00 -4397,4397,0,00000003e4aa783d,1c,00000003e4aa783d,00 -4398,4398,0,00000003e4aa783e,1c,00000003e4aa783e,00 -4399,4399,0,00000003e4aa783f,1c,00000003e4aa783f,00 -4400,4400,0,00000003e4aa7840,1e,00000003e4aa7840,00 -4401,4401,0,00000003e4aa7841,1d,00000003e4aa7841,10 -4402,4402,0,00000003e4aa7842,1c,00000003e4aa7842,00 -4403,4403,0,00000003e4aa7843,1c,00000003e4aa7843,00 -4404,4404,0,00000003e4aa7844,1c,00000003e4aa7844,00 -4405,4405,0,00000003e4aa7845,1c,00000003e4aa7845,00 -4406,4406,0,00000003e4aa7846,1c,00000003e4aa7846,00 -4407,4407,0,00000003e4aa7847,1c,00000003e4aa7847,00 -4408,4408,0,00000003e4aa7848,1c,00000003e4aa7848,00 -4409,4409,0,00000003e4aa7849,1c,00000003e4aa7849,00 -4410,4410,0,00000003e4aa784a,1c,00000003e4aa784a,00 -4411,4411,0,00000003e4aa784b,1c,00000003e4aa784b,00 -4412,4412,0,00000003e4aa784c,1c,00000003e4aa784c,00 -4413,4413,0,00000003e4aa784d,1c,00000003e4aa784d,00 -4414,4414,0,00000003e4aa784e,1c,00000003e4aa784e,00 -4415,4415,0,00000003e4aa784f,1c,00000003e4aa784f,00 -4416,4416,0,00000003e4aa7850,1e,00000003e4aa7850,0f -4417,4417,0,00000003e4aa7851,1d,00000003e4aa7851,10 -4418,4418,0,00000003e4aa7852,1c,00000003e4aa7852,00 -4419,4419,0,00000003e4aa7853,1c,00000003e4aa7853,00 -4420,4420,0,00000003e4aa7854,1c,00000003e4aa7854,00 -4421,4421,0,00000003e4aa7855,1c,00000003e4aa7855,00 -4422,4422,0,00000003e4aa7856,1c,00000003e4aa7856,00 -4423,4423,0,00000003e4aa7857,1c,00000003e4aa7857,00 -4424,4424,0,00000003e4aa7858,1c,00000003e4aa7858,00 -4425,4425,0,00000003e4aa7859,1c,00000003e4aa7859,00 -4426,4426,0,00000003e4aa785a,1c,00000003e4aa785a,00 -4427,4427,0,00000003e4aa785b,1c,00000003e4aa785b,00 -4428,4428,0,00000003e4aa785c,1c,00000003e4aa785c,00 -4429,4429,0,00000003e4aa785d,1c,00000003e4aa785d,00 -4430,4430,0,00000003e4aa785e,1c,00000003e4aa785e,00 -4431,4431,0,00000003e4aa785f,1c,00000003e4aa785f,00 -4432,4432,0,00000003e4aa7860,1e,00000003e4aa7860,0f -4433,4433,0,00000003e4aa7861,1d,00000003e4aa7861,10 -4434,4434,0,00000003e4aa7862,1c,00000003e4aa7862,00 -4435,4435,0,00000003e4aa7863,1c,00000003e4aa7863,00 -4436,4436,0,00000003e4aa7864,1c,00000003e4aa7864,00 -4437,4437,0,00000003e4aa7865,1c,00000003e4aa7865,00 -4438,4438,0,00000003e4aa7866,1c,00000003e4aa7866,00 -4439,4439,0,00000003e4aa7867,1c,00000003e4aa7867,00 -4440,4440,0,00000003e4aa7868,1c,00000003e4aa7868,00 -4441,4441,0,00000003e4aa7869,1c,00000003e4aa7869,00 -4442,4442,0,00000003e4aa786a,1c,00000003e4aa786a,00 -4443,4443,0,00000003e4aa786b,1c,00000003e4aa786b,00 -4444,4444,0,00000003e4aa786c,1c,00000003e4aa786c,00 -4445,4445,0,00000003e4aa786d,1c,00000003e4aa786d,00 -4446,4446,0,00000003e4aa786e,1c,00000003e4aa786e,00 -4447,4447,0,00000003e4aa786f,1c,00000003e4aa786f,00 -4448,4448,0,00000003e4aa7870,1e,00000003e4aa7870,0f -4449,4449,0,00000003e4aa7871,1d,00000003e4aa7871,10 -4450,4450,0,00000003e4aa7872,1c,00000003e4aa7872,00 -4451,4451,0,00000003e4aa7873,1c,00000003e4aa7873,00 -4452,4452,0,00000003e4aa7874,1c,00000003e4aa7874,00 -4453,4453,0,00000003e4aa7875,1c,00000003e4aa7875,00 -4454,4454,0,00000003e4aa7876,1c,00000003e4aa7876,00 -4455,4455,0,00000003e4aa7877,1c,00000003e4aa7877,00 -4456,4456,0,00000003e4aa7878,1c,00000003e4aa7878,00 -4457,4457,0,00000003e4aa7879,1c,00000003e4aa7879,00 -4458,4458,0,00000003e4aa787a,1c,00000003e4aa787a,00 -4459,4459,0,00000003e4aa787b,1c,00000003e4aa787b,00 -4460,4460,0,00000003e4aa787c,1c,00000003e4aa787c,00 -4461,4461,0,00000003e4aa787d,1c,00000003e4aa787d,00 -4462,4462,0,00000003e4aa787e,1c,00000003e4aa787e,00 -4463,4463,0,00000003e4aa787f,1c,00000003e4aa787f,00 -4464,4464,0,00000003e4aa7880,1e,00000003e4aa7880,0f -4465,4465,0,00000003e4aa7881,1d,00000003e4aa7881,10 -4466,4466,0,00000003e4aa7882,1c,00000003e4aa7882,00 -4467,4467,0,00000003e4aa7883,1c,00000003e4aa7883,00 -4468,4468,0,00000003e4aa7884,1c,00000003e4aa7884,00 -4469,4469,0,00000003e4aa7885,1c,00000003e4aa7885,00 -4470,4470,0,00000003e4aa7886,1c,00000003e4aa7886,00 -4471,4471,0,00000003e4aa7887,1c,00000003e4aa7887,00 -4472,4472,0,00000003e4aa7888,1c,00000003e4aa7888,00 -4473,4473,0,00000003e4aa7889,1c,00000003e4aa7889,00 -4474,4474,0,00000003e4aa788a,1c,00000003e4aa788a,00 -4475,4475,0,00000003e4aa788b,1c,00000003e4aa788b,00 -4476,4476,0,00000003e4aa788c,1c,00000003e4aa788c,00 -4477,4477,0,00000003e4aa788d,1c,00000003e4aa788d,00 -4478,4478,0,00000003e4aa788e,1c,00000003e4aa788e,00 -4479,4479,0,00000003e4aa788f,1c,00000003e4aa788f,00 -4480,4480,0,00000003e4aa7890,1e,00000003e4aa7890,00 -4481,4481,0,00000003e4aa7891,1d,00000003e4aa7891,10 -4482,4482,0,00000003e4aa7892,1c,00000003e4aa7892,00 -4483,4483,0,00000003e4aa7893,1c,00000003e4aa7893,00 -4484,4484,0,00000003e4aa7894,1c,00000003e4aa7894,00 -4485,4485,0,00000003e4aa7895,1c,00000003e4aa7895,00 -4486,4486,0,00000003e4aa7896,1c,00000003e4aa7896,00 -4487,4487,0,00000003e4aa7897,1c,00000003e4aa7897,00 -4488,4488,0,00000003e4aa7898,1c,00000003e4aa7898,00 -4489,4489,0,00000003e4aa7899,1c,00000003e4aa7899,00 -4490,4490,0,00000003e4aa789a,1c,00000003e4aa789a,00 -4491,4491,0,00000003e4aa789b,1c,00000003e4aa789b,00 -4492,4492,0,00000003e4aa789c,1c,00000003e4aa789c,00 -4493,4493,0,00000003e4aa789d,1c,00000003e4aa789d,00 -4494,4494,0,00000003e4aa789e,1c,00000003e4aa789e,00 -4495,4495,0,00000003e4aa789f,1c,00000003e4aa789f,00 -4496,4496,0,00000003e4aa78a0,1e,00000003e4aa78a0,00 -4497,4497,0,00000003e4aa78a1,1d,00000003e4aa78a1,10 -4498,4498,0,00000003e4aa78a2,1c,00000003e4aa78a2,00 -4499,4499,0,00000003e4aa78a3,1c,00000003e4aa78a3,00 -4500,4500,0,00000003e4aa78a4,1c,00000003e4aa78a4,00 -4501,4501,0,00000003e4aa78a5,1c,00000003e4aa78a5,00 -4502,4502,0,00000003e4aa78a6,1c,00000003e4aa78a6,00 -4503,4503,0,00000003e4aa78a7,1c,00000003e4aa78a7,00 -4504,4504,0,00000003e4aa78a8,1c,00000003e4aa78a8,00 -4505,4505,0,00000003e4aa78a9,1c,00000003e4aa78a9,00 -4506,4506,0,00000003e4aa78aa,1c,00000003e4aa78aa,00 -4507,4507,0,00000003e4aa78ab,1c,00000003e4aa78ab,00 -4508,4508,0,00000003e4aa78ac,1c,00000003e4aa78ac,00 -4509,4509,0,00000003e4aa78ad,1c,00000003e4aa78ad,00 -4510,4510,0,00000003e4aa78ae,1c,00000003e4aa78ae,00 -4511,4511,0,00000003e4aa78af,1c,00000003e4aa78af,00 -4512,4512,0,00000003e4aa78b0,1e,00000003e4aa78b0,00 -4513,4513,0,00000003e4aa78b1,1d,00000003e4aa78b1,10 -4514,4514,0,00000003e4aa78b2,1c,00000003e4aa78b2,00 -4515,4515,0,00000003e4aa78b3,1c,00000003e4aa78b3,00 -4516,4516,0,00000003e4aa78b4,1c,00000003e4aa78b4,00 -4517,4517,0,00000003e4aa78b5,1c,00000003e4aa78b5,00 -4518,4518,0,00000003e4aa78b6,1c,00000003e4aa78b6,00 -4519,4519,0,00000003e4aa78b7,1c,00000003e4aa78b7,00 -4520,4520,0,00000003e4aa78b8,1c,00000003e4aa78b8,00 -4521,4521,0,00000003e4aa78b9,1c,00000003e4aa78b9,00 -4522,4522,0,00000003e4aa78ba,1c,00000003e4aa78ba,00 -4523,4523,0,00000003e4aa78bb,1c,00000003e4aa78bb,00 -4524,4524,0,00000003e4aa78bc,1c,00000003e4aa78bc,00 -4525,4525,0,00000003e4aa78bd,1c,00000003e4aa78bd,00 -4526,4526,0,00000003e4aa78be,1c,00000003e4aa78be,00 -4527,4527,0,00000003e4aa78bf,1c,00000003e4aa78bf,00 -4528,4528,0,00000003e4aa78c0,1e,00000003e4aa78c0,0f -4529,4529,0,00000003e4aa78c1,1d,00000003e4aa78c0,0f -4530,4530,0,00000003e4aa78c2,1c,00000003e4aa78c0,0f -4531,4531,0,00000003e4aa78c3,1c,00000003e4aa78c0,0f -4532,4532,0,00000003e4aa78c4,1c,00000003e4aa78c0,0f -4533,4533,0,00000003e4aa78c5,1c,00000003e4aa78c0,0f -4534,4534,0,00000003e4aa78c6,1c,00000003e4aa78c0,0f -4535,4535,0,00000003e4aa78c7,1c,00000003e4aa78c0,0f -4536,4536,0,00000003e4aa78c8,1c,00000003e4aa78c0,0f -4537,4537,0,00000003e4aa78c9,1c,00000003e4aa78c0,0f -4538,4538,0,00000003e4aa78ca,1c,00000003e4aa78c0,0f -4539,4539,0,00000003e4aa78cb,1c,00000003e4aa78c0,0f -4540,4540,0,00000003e4aa78cc,1c,00000003e4aa78c0,0f -4541,4541,0,00000003e4aa78cd,1c,00000003e4aa78c0,0f -4542,4542,0,00000003e4aa78ce,1c,00000003e4aa78c0,0f -4543,4543,0,00000003e4aa78cf,1c,00000003e4aa78c0,0f -4544,4544,0,00000003e4aa78d0,1e,00000003e4aa78d1,10 -4545,4545,0,00000003e4aa78d1,1d,00000003e4aa78d2,00 -4546,4546,0,00000003e4aa78d2,1c,00000003e4aa78d3,00 -4547,4547,0,00000003e4aa78d3,1c,00000003e4aa78d4,00 -4548,4548,0,00000003e4aa78d4,1c,00000003e4aa78d5,00 -4549,4549,0,00000003e4aa78d5,1c,00000003e4aa78d6,00 -4550,4550,0,00000003e4aa78d6,1c,00000003e4aa78d7,00 -4551,4551,0,00000003e4aa78d7,1c,00000003e4aa78d8,00 -4552,4552,0,00000003e4aa78d8,1c,00000003e4aa78d9,00 -4553,4553,0,00000003e4aa78d9,1c,00000003e4aa78da,00 -4554,4554,0,00000003e4aa78da,1c,00000003e4aa78db,00 -4555,4555,0,00000003e4aa78db,1c,00000003e4aa78dc,00 -4556,4556,0,00000003e4aa78dc,1c,00000003e4aa78dd,00 -4557,4557,0,00000003e4aa78dd,1c,00000003e4aa78de,00 -4558,4558,0,00000003e4aa78de,1c,00000003e4aa78df,00 -4559,4559,0,00000003e4aa78df,1c,00000003e4aa78e0,0f -4560,4560,0,00000003e4aa78e0,1e,00000003e4aa78e0,0f -4561,4561,0,00000003e4aa78e1,1d,00000003e4aa78e1,10 -4562,4562,0,00000003e4aa78e2,1c,00000003e4aa78e2,00 -4563,4563,0,00000003e4aa78e3,1c,00000003e4aa78e3,00 -4564,4564,0,00000003e4aa78e4,1c,00000003e4aa78e4,00 -4565,4565,0,00000003e4aa78e5,1c,00000003e4aa78e5,00 -4566,4566,0,00000003e4aa78e6,1c,00000003e4aa78e6,00 -4567,4567,0,00000003e4aa78e7,1c,00000003e4aa78e7,00 -4568,4568,0,00000003e4aa78e8,1c,00000003e4aa78e8,00 -4569,4569,0,00000003e4aa78e9,1c,00000003e4aa78e9,00 -4570,4570,0,00000003e4aa78ea,1c,00000003e4aa78ea,00 -4571,4571,0,00000003e4aa78eb,1c,00000003e4aa78eb,00 -4572,4572,0,00000003e4aa78ec,1c,00000003e4aa78ec,00 -4573,4573,0,00000003e4aa78ed,1c,00000003e4aa78ed,00 -4574,4574,0,00000003e4aa78ee,1c,00000003e4aa78ee,00 -4575,4575,0,00000003e4aa78ef,1c,00000003e4aa78ef,00 -4576,4576,0,00000003e4aa78f0,1e,00000003e4aa78f0,0f -4577,4577,0,00000003e4aa78f1,1d,00000003e4aa78f1,10 -4578,4578,0,00000003e4aa78f2,1c,00000003e4aa78f2,00 -4579,4579,0,00000003e4aa78f3,1c,00000003e4aa78f3,00 -4580,4580,0,00000003e4aa78f4,1c,00000003e4aa78f4,00 -4581,4581,0,00000003e4aa78f5,1c,00000003e4aa78f5,00 -4582,4582,0,00000003e4aa78f6,1c,00000003e4aa78f6,00 -4583,4583,0,00000003e4aa78f7,1c,00000003e4aa78f7,00 -4584,4584,0,00000003e4aa78f8,1c,00000003e4aa78f8,00 -4585,4585,0,00000003e4aa78f9,1c,00000003e4aa78f9,00 -4586,4586,0,00000003e4aa78fa,1c,00000003e4aa78fa,00 -4587,4587,0,00000003e4aa78fb,1c,00000003e4aa78fb,00 -4588,4588,0,00000003e4aa78fc,1c,00000003e4aa78fc,00 -4589,4589,0,00000003e4aa78fd,1c,00000003e4aa78fd,00 -4590,4590,0,00000003e4aa78fe,1c,00000003e4aa78fe,00 -4591,4591,0,00000003e4aa78ff,1c,00000003e4aa78ff,00 -4592,4592,0,00000003e4aa7900,1e,00000003e4aa7900,00 -4593,4593,0,00000003e4aa7901,1d,00000003e4aa7901,10 -4594,4594,0,00000003e4aa7902,1c,00000003e4aa7902,00 -4595,4595,0,00000003e4aa7903,1c,00000003e4aa7903,00 -4596,4596,0,00000003e4aa7904,1c,00000003e4aa7904,00 -4597,4597,0,00000003e4aa7905,1c,00000003e4aa7905,00 -4598,4598,0,00000003e4aa7906,1c,00000003e4aa7906,00 -4599,4599,0,00000003e4aa7907,1c,00000003e4aa7907,00 -4600,4600,0,00000003e4aa7908,1c,00000003e4aa7908,00 -4601,4601,0,00000003e4aa7909,1c,00000003e4aa7909,00 -4602,4602,0,00000003e4aa790a,1c,00000003e4aa790a,00 -4603,4603,0,00000003e4aa790b,1c,00000003e4aa790b,00 -4604,4604,0,00000003e4aa790c,1c,00000003e4aa790c,00 -4605,4605,0,00000003e4aa790d,1c,00000003e4aa790d,00 -4606,4606,0,00000003e4aa790e,1c,00000003e4aa790e,00 -4607,4607,0,00000003e4aa790f,1c,00000003e4aa790f,00 -4608,4608,0,00000003e4aa7910,1e,00000003e4aa7910,00 -4609,4609,0,00000003e4aa7911,1d,00000003e4aa7911,00 -4610,4610,0,00000003e4aa7912,1c,00000003e4aa7912,00 -4611,4611,0,00000003e4aa7913,1c,00000003e4aa7913,00 -4612,4612,0,00000003e4aa7914,1c,00000003e4aa7914,00 -4613,4613,0,00000003e4aa7915,1c,00000003e4aa7915,00 -4614,4614,0,00000003e4aa7916,1c,00000003e4aa7916,00 -4615,4615,0,00000003e4aa7917,1c,00000003e4aa7917,00 -4616,4616,0,00000003e4aa7918,1c,00000003e4aa7918,00 -4617,4617,0,00000003e4aa7919,1c,00000003e4aa7919,00 -4618,4618,0,00000003e4aa791a,1c,00000003e4aa791a,00 -4619,4619,0,00000003e4aa791b,1c,00000003e4aa791b,00 -4620,4620,0,00000003e4aa791c,1c,00000003e4aa791c,00 -4621,4621,0,00000003e4aa791d,1c,00000003e4aa791d,00 -4622,4622,0,00000003e4aa791e,1c,00000003e4aa791e,00 -4623,4623,0,00000003e4aa791f,1c,00000003e4aa791f,00 -4624,4624,0,00000003e4aa7920,1e,00000003e4aa7920,0f -4625,4625,0,00000003e4aa7921,1d,00000003e4aa7921,10 -4626,4626,0,00000003e4aa7922,1c,00000003e4aa7922,00 -4627,4627,0,00000003e4aa7923,1c,00000003e4aa7923,00 -4628,4628,0,00000003e4aa7924,1c,00000003e4aa7924,00 -4629,4629,0,00000003e4aa7925,1c,00000003e4aa7925,00 -4630,4630,0,00000003e4aa7926,1c,00000003e4aa7926,00 -4631,4631,0,00000003e4aa7927,1c,00000003e4aa7927,00 -4632,4632,0,00000003e4aa7928,1c,00000003e4aa7928,00 -4633,4633,0,00000003e4aa7929,1c,00000003e4aa7929,00 -4634,4634,0,00000003e4aa792a,1c,00000003e4aa792a,00 -4635,4635,0,00000003e4aa792b,1c,00000003e4aa792b,00 -4636,4636,0,00000003e4aa792c,1c,00000003e4aa792c,00 -4637,4637,0,00000003e4aa792d,1c,00000003e4aa792d,00 -4638,4638,0,00000003e4aa792e,1c,00000003e4aa792e,00 -4639,4639,0,00000003e4aa792f,1c,00000003e4aa792f,00 -4640,4640,0,00000003e4aa7930,1e,00000003e4aa7930,0f -4641,4641,0,00000003e4aa7931,1d,00000003e4aa7931,10 -4642,4642,0,00000003e4aa7932,1c,00000003e4aa7932,00 -4643,4643,0,00000003e4aa7933,1c,00000003e4aa7933,00 -4644,4644,0,00000003e4aa7934,1c,00000003e4aa7934,00 -4645,4645,0,00000003e4aa7935,1c,00000003e4aa7935,00 -4646,4646,0,00000003e4aa7936,1c,00000003e4aa7936,00 -4647,4647,0,00000003e4aa7937,1c,00000003e4aa7937,00 -4648,4648,0,00000003e4aa7938,1c,00000003e4aa7938,00 -4649,4649,0,00000003e4aa7939,1c,00000003e4aa7939,00 -4650,4650,0,00000003e4aa793a,1c,00000003e4aa793a,00 -4651,4651,0,00000003e4aa793b,1c,00000003e4aa793b,00 -4652,4652,0,00000003e4aa793c,1c,00000003e4aa793c,00 -4653,4653,0,00000003e4aa793d,1c,00000003e4aa793d,00 -4654,4654,0,00000003e4aa793e,1c,00000003e4aa793e,00 -4655,4655,0,00000003e4aa793f,1c,00000003e4aa793f,00 -4656,4656,0,00000003e4aa7940,1e,00000003e4aa7940,0f -4657,4657,0,00000003e4aa7941,1d,00000003e4aa7941,10 -4658,4658,0,00000003e4aa7942,1c,00000003e4aa7942,00 -4659,4659,0,00000003e4aa7943,1c,00000003e4aa7943,00 -4660,4660,0,00000003e4aa7944,1c,00000003e4aa7944,00 -4661,4661,0,00000003e4aa7945,1c,00000003e4aa7945,00 -4662,4662,0,00000003e4aa7946,1c,00000003e4aa7946,00 -4663,4663,0,00000003e4aa7947,1c,00000003e4aa7947,00 -4664,4664,0,00000003e4aa7948,1c,00000003e4aa7948,00 -4665,4665,0,00000003e4aa7949,1c,00000003e4aa7949,00 -4666,4666,0,00000003e4aa794a,1c,00000003e4aa794a,00 -4667,4667,0,00000003e4aa794b,1c,00000003e4aa794b,00 -4668,4668,0,00000003e4aa794c,1c,00000003e4aa794c,00 -4669,4669,0,00000003e4aa794d,1c,00000003e4aa794d,00 -4670,4670,0,00000003e4aa794e,1c,00000003e4aa794e,00 -4671,4671,0,00000003e4aa794f,1c,00000003e4aa794f,00 -4672,4672,0,00000003e4aa7950,1e,00000003e4aa7950,0f -4673,4673,0,00000003e4aa7951,1d,00000003e4aa7951,10 -4674,4674,0,00000003e4aa7952,1c,00000003e4aa7952,00 -4675,4675,0,00000003e4aa7953,1c,00000003e4aa7953,00 -4676,4676,0,00000003e4aa7954,1c,00000003e4aa7954,00 -4677,4677,0,00000003e4aa7955,1c,00000003e4aa7955,00 -4678,4678,0,00000003e4aa7956,1c,00000003e4aa7956,00 -4679,4679,0,00000003e4aa7957,1c,00000003e4aa7957,00 -4680,4680,0,00000003e4aa7958,1c,00000003e4aa7958,00 -4681,4681,0,00000003e4aa7959,1c,00000003e4aa7959,00 -4682,4682,0,00000003e4aa795a,1c,00000003e4aa795a,00 -4683,4683,0,00000003e4aa795b,1c,00000003e4aa795b,00 -4684,4684,0,00000003e4aa795c,1c,00000003e4aa795c,00 -4685,4685,0,00000003e4aa795d,1c,00000003e4aa795d,00 -4686,4686,0,00000003e4aa795e,1c,00000003e4aa795e,00 -4687,4687,0,00000003e4aa795f,1c,00000003e4aa795f,00 -4688,4688,0,00000003e4aa7960,1e,00000003e4aa7960,00 -4689,4689,0,00000003e4aa7961,1d,00000003e4aa7961,00 -4690,4690,0,00000003e4aa7962,1c,00000003e4aa7962,00 -4691,4691,0,00000003e4aa7963,1c,00000003e4aa7963,00 -4692,4692,0,00000003e4aa7964,1c,00000003e4aa7964,00 -4693,4693,0,00000003e4aa7965,1c,00000003e4aa7965,00 -4694,4694,0,00000003e4aa7966,1c,00000003e4aa7966,00 -4695,4695,0,00000003e4aa7967,1c,00000003e4aa7967,00 -4696,4696,0,00000003e4aa7968,1c,00000003e4aa7968,00 -4697,4697,0,00000003e4aa7969,1c,00000003e4aa7969,00 -4698,4698,0,00000003e4aa796a,1c,00000003e4aa796a,00 -4699,4699,0,00000003e4aa796b,1c,00000003e4aa796b,00 -4700,4700,0,00000003e4aa796c,1c,00000003e4aa796c,00 -4701,4701,0,00000003e4aa796d,1c,00000003e4aa796d,00 -4702,4702,0,00000003e4aa796e,1c,00000003e4aa796e,00 -4703,4703,0,00000003e4aa796f,1c,00000003e4aa796f,00 -4704,4704,0,00000003e4aa7970,1e,00000003e4aa7970,0f -4705,4705,0,00000003e4aa7971,1d,00000003e4aa7971,10 -4706,4706,0,00000003e4aa7972,1c,00000003e4aa7972,00 -4707,4707,0,00000003e4aa7973,1c,00000003e4aa7973,00 -4708,4708,0,00000003e4aa7974,1c,00000003e4aa7974,00 -4709,4709,0,00000003e4aa7975,1c,00000003e4aa7975,00 -4710,4710,0,00000003e4aa7976,1c,00000003e4aa7976,00 -4711,4711,0,00000003e4aa7977,1c,00000003e4aa7977,00 -4712,4712,0,00000003e4aa7978,1c,00000003e4aa7978,00 -4713,4713,0,00000003e4aa7979,1c,00000003e4aa7979,00 -4714,4714,0,00000003e4aa797a,1c,00000003e4aa797a,00 -4715,4715,0,00000003e4aa797b,1c,00000003e4aa797b,00 -4716,4716,0,00000003e4aa797c,1c,00000003e4aa797c,00 -4717,4717,0,00000003e4aa797d,1c,00000003e4aa797d,00 -4718,4718,0,00000003e4aa797e,1c,00000003e4aa797e,00 -4719,4719,0,00000003e4aa797f,1c,00000003e4aa797f,00 -4720,4720,0,00000003e4aa7980,1e,00000003e4aa7980,0f -4721,4721,0,00000003e4aa7981,1d,00000003e4aa7981,10 -4722,4722,0,00000003e4aa7982,1c,00000003e4aa7982,00 -4723,4723,0,00000003e4aa7983,1c,00000003e4aa7983,00 -4724,4724,0,00000003e4aa7984,1c,00000003e4aa7984,00 -4725,4725,0,00000003e4aa7985,1c,00000003e4aa7985,00 -4726,4726,0,00000003e4aa7986,1c,00000003e4aa7986,00 -4727,4727,0,00000003e4aa7987,1c,00000003e4aa7987,00 -4728,4728,0,00000003e4aa7988,1c,00000003e4aa7988,00 -4729,4729,0,00000003e4aa7989,1c,00000003e4aa7989,00 -4730,4730,0,00000003e4aa798a,1c,00000003e4aa798a,00 -4731,4731,0,00000003e4aa798b,1c,00000003e4aa798b,00 -4732,4732,0,00000003e4aa798c,1c,00000003e4aa798c,00 -4733,4733,0,00000003e4aa798d,1c,00000003e4aa798d,00 -4734,4734,0,00000003e4aa798e,1c,00000003e4aa798e,00 -4735,4735,0,00000003e4aa798f,1c,00000003e4aa798f,00 -4736,4736,0,00000003e4aa7990,1e,00000003e4aa7990,0f -4737,4737,0,00000003e4aa7991,1d,00000003e4aa7991,10 -4738,4738,0,00000003e4aa7992,1c,00000003e4aa7992,00 -4739,4739,0,00000003e4aa7993,1c,00000003e4aa7993,00 -4740,4740,0,00000003e4aa7994,1c,00000003e4aa7994,00 -4741,4741,0,00000003e4aa7995,1c,00000003e4aa7995,00 -4742,4742,0,00000003e4aa7996,1c,00000003e4aa7996,00 -4743,4743,0,00000003e4aa7997,1c,00000003e4aa7997,00 -4744,4744,0,00000003e4aa7998,1c,00000003e4aa7998,00 -4745,4745,0,00000003e4aa7999,1c,00000003e4aa7999,00 -4746,4746,0,00000003e4aa799a,1c,00000003e4aa799a,00 -4747,4747,0,00000003e4aa799b,1c,00000003e4aa799b,00 -4748,4748,0,00000003e4aa799c,1c,00000003e4aa799c,00 -4749,4749,0,00000003e4aa799d,1c,00000003e4aa799d,00 -4750,4750,0,00000003e4aa799e,1c,00000003e4aa799e,00 -4751,4751,0,00000003e4aa799f,1c,00000003e4aa799f,00 -4752,4752,0,00000003e4aa79a0,1e,00000003e4aa79a0,0f -4753,4753,0,00000003e4aa79a1,1d,00000003e4aa79a1,10 -4754,4754,0,00000003e4aa79a2,1c,00000003e4aa79a2,00 -4755,4755,0,00000003e4aa79a3,1c,00000003e4aa79a3,00 -4756,4756,0,00000003e4aa79a4,1c,00000003e4aa79a4,00 -4757,4757,0,00000003e4aa79a5,1c,00000003e4aa79a5,00 -4758,4758,0,00000003e4aa79a6,1c,00000003e4aa79a6,00 -4759,4759,0,00000003e4aa79a7,1c,00000003e4aa79a7,00 -4760,4760,0,00000003e4aa79a8,1c,00000003e4aa79a8,00 -4761,4761,0,00000003e4aa79a9,1c,00000003e4aa79a9,00 -4762,4762,0,00000003e4aa79aa,1c,00000003e4aa79aa,00 -4763,4763,0,00000003e4aa79ab,1c,00000003e4aa79ab,00 -4764,4764,0,00000003e4aa79ac,1c,00000003e4aa79ac,00 -4765,4765,0,00000003e4aa79ad,1c,00000003e4aa79ad,00 -4766,4766,0,00000003e4aa79ae,1c,00000003e4aa79ae,00 -4767,4767,0,00000003e4aa79af,1c,00000003e4aa79af,00 -4768,4768,0,00000003e4aa79b0,1e,00000003e4aa79b0,0f -4769,4769,0,00000003e4aa79b1,1d,00000003e4aa79b1,10 -4770,4770,0,00000003e4aa79b2,1c,00000003e4aa79b2,00 -4771,4771,0,00000003e4aa79b3,1c,00000003e4aa79b3,00 -4772,4772,0,00000003e4aa79b4,1c,00000003e4aa79b4,00 -4773,4773,0,00000003e4aa79b5,1c,00000003e4aa79b5,00 -4774,4774,0,00000003e4aa79b6,1c,00000003e4aa79b6,00 -4775,4775,0,00000003e4aa79b7,1c,00000003e4aa79b7,00 -4776,4776,0,00000003e4aa79b8,1c,00000003e4aa79b8,00 -4777,4777,0,00000003e4aa79b9,1c,00000003e4aa79b9,00 -4778,4778,0,00000003e4aa79ba,1c,00000003e4aa79ba,00 -4779,4779,0,00000003e4aa79bb,1c,00000003e4aa79bb,00 -4780,4780,0,00000003e4aa79bc,1c,00000003e4aa79bc,00 -4781,4781,0,00000003e4aa79bd,1c,00000003e4aa79bd,00 -4782,4782,0,00000003e4aa79be,1c,00000003e4aa79be,00 -4783,4783,0,00000003e4aa79bf,1c,00000003e4aa79bf,00 -4784,4784,0,00000003e4aa79c0,1e,00000003e4aa79c0,0f -4785,4785,0,00000003e4aa79c1,1d,00000003e4aa79c1,10 -4786,4786,0,00000003e4aa79c2,1c,00000003e4aa79c2,00 -4787,4787,0,00000003e4aa79c3,1c,00000003e4aa79c3,00 -4788,4788,0,00000003e4aa79c4,1c,00000003e4aa79c4,00 -4789,4789,0,00000003e4aa79c5,1c,00000003e4aa79c5,00 -4790,4790,0,00000003e4aa79c6,1c,00000003e4aa79c6,00 -4791,4791,0,00000003e4aa79c7,1c,00000003e4aa79c7,00 -4792,4792,0,00000003e4aa79c8,1c,00000003e4aa79c8,00 -4793,4793,0,00000003e4aa79c9,1c,00000003e4aa79c9,00 -4794,4794,0,00000003e4aa79ca,1c,00000003e4aa79ca,00 -4795,4795,0,00000003e4aa79cb,1c,00000003e4aa79cb,00 -4796,4796,0,00000003e4aa79cc,1c,00000003e4aa79cc,00 -4797,4797,0,00000003e4aa79cd,1c,00000003e4aa79cd,00 -4798,4798,0,00000003e4aa79ce,1c,00000003e4aa79ce,00 -4799,4799,0,00000003e4aa79cf,1c,00000003e4aa79cf,00 -4800,4800,0,00000003e4aa79d0,1e,00000003e4aa79d0,0f -4801,4801,0,00000003e4aa79d1,1d,00000003e4aa79d1,10 -4802,4802,0,00000003e4aa79d2,1c,00000003e4aa79d2,00 -4803,4803,0,00000003e4aa79d3,1c,00000003e4aa79d3,00 -4804,4804,0,00000003e4aa79d4,1c,00000003e4aa79d4,00 -4805,4805,0,00000003e4aa79d5,1c,00000003e4aa79d5,00 -4806,4806,0,00000003e4aa79d6,1c,00000003e4aa79d6,00 -4807,4807,0,00000003e4aa79d7,1c,00000003e4aa79d7,00 -4808,4808,0,00000003e4aa79d8,1c,00000003e4aa79d8,00 -4809,4809,0,00000003e4aa79d9,1c,00000003e4aa79d9,00 -4810,4810,0,00000003e4aa79da,1c,00000003e4aa79da,00 -4811,4811,0,00000003e4aa79db,1c,00000003e4aa79db,00 -4812,4812,0,00000003e4aa79dc,1c,00000003e4aa79dc,00 -4813,4813,0,00000003e4aa79dd,1c,00000003e4aa79dd,00 -4814,4814,0,00000003e4aa79de,1c,00000003e4aa79de,00 -4815,4815,0,00000003e4aa79df,1c,00000003e4aa79df,00 -4816,4816,0,00000003e4aa79e0,1e,00000003e4aa79e0,00 -4817,4817,0,00000003e4aa79e1,1d,00000003e4aa79e1,10 -4818,4818,0,00000003e4aa79e2,1c,00000003e4aa79e2,00 -4819,4819,0,00000003e4aa79e3,1c,00000003e4aa79e3,00 -4820,4820,0,00000003e4aa79e4,1c,00000003e4aa79e4,00 -4821,4821,0,00000003e4aa79e5,1c,00000003e4aa79e5,00 -4822,4822,0,00000003e4aa79e6,1c,00000003e4aa79e6,00 -4823,4823,0,00000003e4aa79e7,1c,00000003e4aa79e7,00 -4824,4824,0,00000003e4aa79e8,1c,00000003e4aa79e8,00 -4825,4825,0,00000003e4aa79e9,1c,00000003e4aa79e9,00 -4826,4826,0,00000003e4aa79ea,1c,00000003e4aa79ea,00 -4827,4827,0,00000003e4aa79eb,1c,00000003e4aa79eb,00 -4828,4828,0,00000003e4aa79ec,1c,00000003e4aa79ec,00 -4829,4829,0,00000003e4aa79ed,1c,00000003e4aa79ed,00 -4830,4830,0,00000003e4aa79ee,1c,00000003e4aa79ee,00 -4831,4831,0,00000003e4aa79ef,1c,00000003e4aa79ef,00 -4832,4832,0,00000003e4aa79f0,1e,00000003e4aa79f0,0f -4833,4833,0,00000003e4aa79f1,1d,00000003e4aa79f1,10 -4834,4834,0,00000003e4aa79f2,1c,00000003e4aa79f2,00 -4835,4835,0,00000003e4aa79f3,1c,00000003e4aa79f3,00 -4836,4836,0,00000003e4aa79f4,1c,00000003e4aa79f4,00 -4837,4837,0,00000003e4aa79f5,1c,00000003e4aa79f5,00 -4838,4838,0,00000003e4aa79f6,1c,00000003e4aa79f6,00 -4839,4839,0,00000003e4aa79f7,1c,00000003e4aa79f7,00 -4840,4840,0,00000003e4aa79f8,1c,00000003e4aa79f8,00 -4841,4841,0,00000003e4aa79f9,1c,00000003e4aa79f9,00 -4842,4842,0,00000003e4aa79fa,1c,00000003e4aa79fa,00 -4843,4843,0,00000003e4aa79fb,1c,00000003e4aa79fb,00 -4844,4844,0,00000003e4aa79fc,1c,00000003e4aa79fc,00 -4845,4845,0,00000003e4aa79fd,1c,00000003e4aa79fd,00 -4846,4846,0,00000003e4aa79fe,1c,00000003e4aa79fe,00 -4847,4847,0,00000003e4aa79ff,1c,00000003e4aa79ff,00 -4848,4848,0,00000003e4aa7a00,1e,00000003e4aa7a00,0f -4849,4849,0,00000003e4aa7a01,1d,00000003e4aa7a01,10 -4850,4850,0,00000003e4aa7a02,1c,00000003e4aa7a02,00 -4851,4851,0,00000003e4aa7a03,1c,00000003e4aa7a03,00 -4852,4852,0,00000003e4aa7a04,1c,00000003e4aa7a04,00 -4853,4853,0,00000003e4aa7a05,1c,00000003e4aa7a05,00 -4854,4854,0,00000003e4aa7a06,1c,00000003e4aa7a06,00 -4855,4855,0,00000003e4aa7a07,1c,00000003e4aa7a07,00 -4856,4856,0,00000003e4aa7a08,1c,00000003e4aa7a08,00 -4857,4857,0,00000003e4aa7a09,1c,00000003e4aa7a09,00 -4858,4858,0,00000003e4aa7a0a,1c,00000003e4aa7a0a,00 -4859,4859,0,00000003e4aa7a0b,1c,00000003e4aa7a0b,00 -4860,4860,0,00000003e4aa7a0c,1c,00000003e4aa7a0c,00 -4861,4861,0,00000003e4aa7a0d,1c,00000003e4aa7a0d,00 -4862,4862,0,00000003e4aa7a0e,1c,00000003e4aa7a0e,00 -4863,4863,0,00000003e4aa7a0f,1c,00000003e4aa7a0f,00 -4864,4864,0,00000003e4aa7a10,1e,00000003e4aa7a10,0f -4865,4865,0,00000003e4aa7a11,1d,00000003e4aa7a11,10 -4866,4866,0,00000003e4aa7a12,1c,00000003e4aa7a12,00 -4867,4867,0,00000003e4aa7a13,1c,00000003e4aa7a13,00 -4868,4868,0,00000003e4aa7a14,1c,00000003e4aa7a14,00 -4869,4869,0,00000003e4aa7a15,1c,00000003e4aa7a15,00 -4870,4870,0,00000003e4aa7a16,1c,00000003e4aa7a16,00 -4871,4871,0,00000003e4aa7a17,1c,00000003e4aa7a17,00 -4872,4872,0,00000003e4aa7a18,1c,00000003e4aa7a18,00 -4873,4873,0,00000003e4aa7a19,1c,00000003e4aa7a19,00 -4874,4874,0,00000003e4aa7a1a,1c,00000003e4aa7a1a,00 -4875,4875,0,00000003e4aa7a1b,1c,00000003e4aa7a1b,00 -4876,4876,0,00000003e4aa7a1c,1c,00000003e4aa7a1c,00 -4877,4877,0,00000003e4aa7a1d,1c,00000003e4aa7a1d,00 -4878,4878,0,00000003e4aa7a1e,1c,00000003e4aa7a1e,00 -4879,4879,0,00000003e4aa7a1f,1c,00000003e4aa7a1f,00 -4880,4880,0,00000003e4aa7a20,1e,00000003e4aa7a20,0f -4881,4881,0,00000003e4aa7a21,1d,00000003e4aa7a21,10 -4882,4882,0,00000003e4aa7a22,1c,00000003e4aa7a22,00 -4883,4883,0,00000003e4aa7a23,1c,00000003e4aa7a23,00 -4884,4884,0,00000003e4aa7a24,1c,00000003e4aa7a24,00 -4885,4885,0,00000003e4aa7a25,1c,00000003e4aa7a25,00 -4886,4886,0,00000003e4aa7a26,1c,00000003e4aa7a26,00 -4887,4887,0,00000003e4aa7a27,1c,00000003e4aa7a27,00 -4888,4888,0,00000003e4aa7a28,1c,00000003e4aa7a28,00 -4889,4889,0,00000003e4aa7a29,1c,00000003e4aa7a29,00 -4890,4890,0,00000003e4aa7a2a,1c,00000003e4aa7a2a,00 -4891,4891,0,00000003e4aa7a2b,1c,00000003e4aa7a2b,00 -4892,4892,0,00000003e4aa7a2c,1c,00000003e4aa7a2c,00 -4893,4893,0,00000003e4aa7a2d,1c,00000003e4aa7a2d,00 -4894,4894,0,00000003e4aa7a2e,1c,00000003e4aa7a2e,00 -4895,4895,0,00000003e4aa7a2f,1c,00000003e4aa7a2f,00 -4896,4896,0,00000003e4aa7a30,1e,00000003e4aa7a30,0f -4897,4897,0,00000003e4aa7a31,1d,00000003e4aa7a31,10 -4898,4898,0,00000003e4aa7a32,1c,00000003e4aa7a32,00 -4899,4899,0,00000003e4aa7a33,1c,00000003e4aa7a33,00 -4900,4900,0,00000003e4aa7a34,1c,00000003e4aa7a34,00 -4901,4901,0,00000003e4aa7a35,1c,00000003e4aa7a35,00 -4902,4902,0,00000003e4aa7a36,1c,00000003e4aa7a36,00 -4903,4903,0,00000003e4aa7a37,1c,00000003e4aa7a37,00 -4904,4904,0,00000003e4aa7a38,1c,00000003e4aa7a38,00 -4905,4905,0,00000003e4aa7a39,1c,00000003e4aa7a39,00 -4906,4906,0,00000003e4aa7a3a,1c,00000003e4aa7a3a,00 -4907,4907,0,00000003e4aa7a3b,1c,00000003e4aa7a3b,00 -4908,4908,0,00000003e4aa7a3c,1c,00000003e4aa7a3c,00 -4909,4909,0,00000003e4aa7a3d,1c,00000003e4aa7a3d,00 -4910,4910,0,00000003e4aa7a3e,1c,00000003e4aa7a3e,00 -4911,4911,0,00000003e4aa7a3f,1c,00000003e4aa7a3f,00 -4912,4912,0,00000003e4aa7a40,1e,00000003e4aa7a40,0f -4913,4913,0,00000003e4aa7a41,1d,00000003e4aa7a41,10 -4914,4914,0,00000003e4aa7a42,1c,00000003e4aa7a42,00 -4915,4915,0,00000003e4aa7a43,1c,00000003e4aa7a43,00 -4916,4916,0,00000003e4aa7a44,1c,00000003e4aa7a44,00 -4917,4917,0,00000003e4aa7a45,1c,00000003e4aa7a45,00 -4918,4918,0,00000003e4aa7a46,1c,00000003e4aa7a46,00 -4919,4919,0,00000003e4aa7a47,1c,00000003e4aa7a47,00 -4920,4920,0,00000003e4aa7a48,1c,00000003e4aa7a48,00 -4921,4921,0,00000003e4aa7a49,1c,00000003e4aa7a49,00 -4922,4922,0,00000003e4aa7a4a,1c,00000003e4aa7a4a,00 -4923,4923,0,00000003e4aa7a4b,1c,00000003e4aa7a4b,00 -4924,4924,0,00000003e4aa7a4c,1c,00000003e4aa7a4c,00 -4925,4925,0,00000003e4aa7a4d,1c,00000003e4aa7a4d,00 -4926,4926,0,00000003e4aa7a4e,1c,00000003e4aa7a4e,00 -4927,4927,0,00000003e4aa7a4f,1c,00000003e4aa7a4f,00 -4928,4928,0,00000003e4aa7a50,1e,00000003e4aa7a50,0f -4929,4929,0,00000003e4aa7a51,1d,00000003e4aa7a51,10 -4930,4930,0,00000003e4aa7a52,1c,00000003e4aa7a52,00 -4931,4931,0,00000003e4aa7a53,1c,00000003e4aa7a53,00 -4932,4932,0,00000003e4aa7a54,1c,00000003e4aa7a54,00 -4933,4933,0,00000003e4aa7a55,1c,00000003e4aa7a55,00 -4934,4934,0,00000003e4aa7a56,1c,00000003e4aa7a56,00 -4935,4935,0,00000003e4aa7a57,1c,00000003e4aa7a57,00 -4936,4936,0,00000003e4aa7a58,1c,00000003e4aa7a58,00 -4937,4937,0,00000003e4aa7a59,1c,00000003e4aa7a59,00 -4938,4938,0,00000003e4aa7a5a,1c,00000003e4aa7a5a,00 -4939,4939,0,00000003e4aa7a5b,1c,00000003e4aa7a5b,00 -4940,4940,0,00000003e4aa7a5c,1c,00000003e4aa7a5c,00 -4941,4941,0,00000003e4aa7a5d,1c,00000003e4aa7a5d,00 -4942,4942,0,00000003e4aa7a5e,1c,00000003e4aa7a5e,00 -4943,4943,0,00000003e4aa7a5f,1c,00000003e4aa7a5f,00 -4944,4944,0,00000003e4aa7a60,1e,00000003e4aa7a60,00 -4945,4945,0,00000003e4aa7a61,1d,00000003e4aa7a61,10 -4946,4946,0,00000003e4aa7a62,1c,00000003e4aa7a62,00 -4947,4947,0,00000003e4aa7a63,1c,00000003e4aa7a63,00 -4948,4948,0,00000003e4aa7a64,1c,00000003e4aa7a64,00 -4949,4949,0,00000003e4aa7a65,1c,00000003e4aa7a65,00 -4950,4950,0,00000003e4aa7a66,1c,00000003e4aa7a66,00 -4951,4951,0,00000003e4aa7a67,1c,00000003e4aa7a67,00 -4952,4952,0,00000003e4aa7a68,1c,00000003e4aa7a68,00 -4953,4953,0,00000003e4aa7a69,1c,00000003e4aa7a69,00 -4954,4954,0,00000003e4aa7a6a,1c,00000003e4aa7a6a,00 -4955,4955,0,00000003e4aa7a6b,1c,00000003e4aa7a6b,00 -4956,4956,0,00000003e4aa7a6c,1c,00000003e4aa7a6c,00 -4957,4957,0,00000003e4aa7a6d,1c,00000003e4aa7a6d,00 -4958,4958,0,00000003e4aa7a6e,1c,00000003e4aa7a6e,00 -4959,4959,0,00000003e4aa7a6f,1c,00000003e4aa7a6f,00 -4960,4960,0,00000003e4aa7a70,1e,00000003e4aa7a70,0f -4961,4961,0,00000003e4aa7a71,1d,00000003e4aa7a71,10 -4962,4962,0,00000003e4aa7a72,1c,00000003e4aa7a72,00 -4963,4963,0,00000003e4aa7a73,1c,00000003e4aa7a73,00 -4964,4964,0,00000003e4aa7a74,1c,00000003e4aa7a74,00 -4965,4965,0,00000003e4aa7a75,1c,00000003e4aa7a75,00 -4966,4966,0,00000003e4aa7a76,1c,00000003e4aa7a76,00 -4967,4967,0,00000003e4aa7a77,1c,00000003e4aa7a77,00 -4968,4968,0,00000003e4aa7a78,1c,00000003e4aa7a78,00 -4969,4969,0,00000003e4aa7a79,1c,00000003e4aa7a79,00 -4970,4970,0,00000003e4aa7a7a,1c,00000003e4aa7a7a,00 -4971,4971,0,00000003e4aa7a7b,1c,00000003e4aa7a7b,00 -4972,4972,0,00000003e4aa7a7c,1c,00000003e4aa7a7c,00 -4973,4973,0,00000003e4aa7a7d,1c,00000003e4aa7a7d,00 -4974,4974,0,00000003e4aa7a7e,1c,00000003e4aa7a7e,00 -4975,4975,0,00000003e4aa7a7f,1c,00000003e4aa7a7f,00 -4976,4976,0,00000003e4aa7a80,1e,00000003e4aa7a80,0f -4977,4977,0,00000003e4aa7a81,1d,00000003e4aa7a81,10 -4978,4978,0,00000003e4aa7a82,1c,00000003e4aa7a82,00 -4979,4979,0,00000003e4aa7a83,1c,00000003e4aa7a83,00 -4980,4980,0,00000003e4aa7a84,1c,00000003e4aa7a84,00 -4981,4981,0,00000003e4aa7a85,1c,00000003e4aa7a85,00 -4982,4982,0,00000003e4aa7a86,1c,00000003e4aa7a86,00 -4983,4983,0,00000003e4aa7a87,1c,00000003e4aa7a87,00 -4984,4984,0,00000003e4aa7a88,1c,00000003e4aa7a88,00 -4985,4985,0,00000003e4aa7a89,1c,00000003e4aa7a89,00 -4986,4986,0,00000003e4aa7a8a,1c,00000003e4aa7a8a,00 -4987,4987,0,00000003e4aa7a8b,1c,00000003e4aa7a8b,00 -4988,4988,0,00000003e4aa7a8c,1c,00000003e4aa7a8c,00 -4989,4989,0,00000003e4aa7a8d,1c,00000003e4aa7a8d,00 -4990,4990,0,00000003e4aa7a8e,1c,00000003e4aa7a8e,00 -4991,4991,0,00000003e4aa7a8f,1c,00000003e4aa7a8f,00 -4992,4992,0,00000003e4aa7a90,1e,00000003e4aa7a90,00 -4993,4993,0,00000003e4aa7a91,1d,00000003e4aa7a91,10 -4994,4994,0,00000003e4aa7a92,1c,00000003e4aa7a92,00 -4995,4995,0,00000003e4aa7a93,1c,00000003e4aa7a93,00 -4996,4996,0,00000003e4aa7a94,1c,00000003e4aa7a94,00 -4997,4997,0,00000003e4aa7a95,1c,00000003e4aa7a95,00 -4998,4998,0,00000003e4aa7a96,1c,00000003e4aa7a96,00 -4999,4999,0,00000003e4aa7a97,1c,00000003e4aa7a97,00 -5000,5000,0,00000003e4aa7a98,1c,00000003e4aa7a98,00 -5001,5001,0,00000003e4aa7a99,1c,00000003e4aa7a99,00 -5002,5002,0,00000003e4aa7a9a,1c,00000003e4aa7a9a,00 -5003,5003,0,00000003e4aa7a9b,1c,00000003e4aa7a9b,00 -5004,5004,0,00000003e4aa7a9c,1c,00000003e4aa7a9c,00 -5005,5005,0,00000003e4aa7a9d,1c,00000003e4aa7a9d,00 -5006,5006,0,00000003e4aa7a9e,1c,00000003e4aa7a9e,00 -5007,5007,0,00000003e4aa7a9f,1c,00000003e4aa7a9f,00 -5008,5008,0,00000003e4aa7aa0,1e,00000003e4aa7aa0,00 -5009,5009,0,00000003e4aa7aa1,1d,00000003e4aa7aa1,10 -5010,5010,0,00000003e4aa7aa2,1c,00000003e4aa7aa2,00 -5011,5011,0,00000003e4aa7aa3,1c,00000003e4aa7aa3,00 -5012,5012,0,00000003e4aa7aa4,1c,00000003e4aa7aa4,00 -5013,5013,0,00000003e4aa7aa5,1c,00000003e4aa7aa5,00 -5014,5014,0,00000003e4aa7aa6,1c,00000003e4aa7aa6,00 -5015,5015,0,00000003e4aa7aa7,1c,00000003e4aa7aa7,00 -5016,5016,0,00000003e4aa7aa8,1c,00000003e4aa7aa8,00 -5017,5017,0,00000003e4aa7aa9,1c,00000003e4aa7aa9,00 -5018,5018,0,00000003e4aa7aaa,1c,00000003e4aa7aaa,00 -5019,5019,0,00000003e4aa7aab,1c,00000003e4aa7aab,00 -5020,5020,0,00000003e4aa7aac,1c,00000003e4aa7aac,00 -5021,5021,0,00000003e4aa7aad,1c,00000003e4aa7aad,00 -5022,5022,0,00000003e4aa7aae,1c,00000003e4aa7aae,00 -5023,5023,0,00000003e4aa7aaf,1c,00000003e4aa7aaf,00 -5024,5024,0,00000003e4aa7ab0,1e,00000003e4aa7ab0,00 -5025,5025,0,00000003e4aa7ab1,1d,00000003e4aa7ab1,10 -5026,5026,0,00000003e4aa7ab2,1c,00000003e4aa7ab2,00 -5027,5027,0,00000003e4aa7ab3,1c,00000003e4aa7ab3,00 -5028,5028,0,00000003e4aa7ab4,1c,00000003e4aa7ab4,00 -5029,5029,0,00000003e4aa7ab5,1c,00000003e4aa7ab5,00 -5030,5030,0,00000003e4aa7ab6,1c,00000003e4aa7ab6,00 -5031,5031,0,00000003e4aa7ab7,1c,00000003e4aa7ab7,00 -5032,5032,0,00000003e4aa7ab8,1c,00000003e4aa7ab8,00 -5033,5033,0,00000003e4aa7ab9,1c,00000003e4aa7ab9,00 -5034,5034,0,00000003e4aa7aba,1c,00000003e4aa7aba,00 -5035,5035,0,00000003e4aa7abb,1c,00000003e4aa7abb,00 -5036,5036,0,00000003e4aa7abc,1c,00000003e4aa7abc,00 -5037,5037,0,00000003e4aa7abd,1c,00000003e4aa7abd,00 -5038,5038,0,00000003e4aa7abe,1c,00000003e4aa7abe,00 -5039,5039,0,00000003e4aa7abf,1c,00000003e4aa7abf,00 -5040,5040,0,00000003e4aa7ac0,1e,00000003e4aa7ac0,0f -5041,5041,0,00000003e4aa7ac1,1d,00000003e4aa7ac1,10 -5042,5042,0,00000003e4aa7ac2,1c,00000003e4aa7ac2,00 -5043,5043,0,00000003e4aa7ac3,1c,00000003e4aa7ac3,00 -5044,5044,0,00000003e4aa7ac4,1c,00000003e4aa7ac4,00 -5045,5045,0,00000003e4aa7ac5,1c,00000003e4aa7ac5,00 -5046,5046,0,00000003e4aa7ac6,1c,00000003e4aa7ac6,00 -5047,5047,0,00000003e4aa7ac7,1c,00000003e4aa7ac7,00 -5048,5048,0,00000003e4aa7ac8,1c,00000003e4aa7ac8,00 -5049,5049,0,00000003e4aa7ac9,1c,00000003e4aa7ac9,00 -5050,5050,0,00000003e4aa7aca,1c,00000003e4aa7aca,00 -5051,5051,0,00000003e4aa7acb,1c,00000003e4aa7acb,00 -5052,5052,0,00000003e4aa7acc,1c,00000003e4aa7acc,00 -5053,5053,0,00000003e4aa7acd,1c,00000003e4aa7acd,00 -5054,5054,0,00000003e4aa7ace,1c,00000003e4aa7ace,00 -5055,5055,0,00000003e4aa7acf,1c,00000003e4aa7acf,00 -5056,5056,0,00000003e4aa7ad0,1e,00000003e4aa7ad0,0f -5057,5057,0,00000003e4aa7ad1,1d,00000003e4aa7ad1,10 -5058,5058,0,00000003e4aa7ad2,1c,00000003e4aa7ad2,00 -5059,5059,0,00000003e4aa7ad3,1c,00000003e4aa7ad3,00 -5060,5060,0,00000003e4aa7ad4,1c,00000003e4aa7ad4,00 -5061,5061,0,00000003e4aa7ad5,1c,00000003e4aa7ad5,00 -5062,5062,0,00000003e4aa7ad6,1c,00000003e4aa7ad6,00 -5063,5063,0,00000003e4aa7ad7,1c,00000003e4aa7ad7,00 -5064,5064,0,00000003e4aa7ad8,1c,00000003e4aa7ad8,00 -5065,5065,0,00000003e4aa7ad9,1c,00000003e4aa7ad9,00 -5066,5066,0,00000003e4aa7ada,1c,00000003e4aa7ada,00 -5067,5067,0,00000003e4aa7adb,1c,00000003e4aa7adb,00 -5068,5068,0,00000003e4aa7adc,1c,00000003e4aa7adc,00 -5069,5069,0,00000003e4aa7add,1c,00000003e4aa7add,00 -5070,5070,0,00000003e4aa7ade,1c,00000003e4aa7ade,00 -5071,5071,0,00000003e4aa7adf,1c,00000003e4aa7adf,00 -5072,5072,0,00000003e4aa7ae0,1e,00000003e4aa7ae0,00 -5073,5073,0,00000003e4aa7ae1,1d,00000003e4aa7ae1,10 -5074,5074,0,00000003e4aa7ae2,1c,00000003e4aa7ae2,00 -5075,5075,0,00000003e4aa7ae3,1c,00000003e4aa7ae3,00 -5076,5076,0,00000003e4aa7ae4,1c,00000003e4aa7ae4,00 -5077,5077,0,00000003e4aa7ae5,1c,00000003e4aa7ae5,00 -5078,5078,0,00000003e4aa7ae6,1c,00000003e4aa7ae6,00 -5079,5079,0,00000003e4aa7ae7,1c,00000003e4aa7ae7,00 -5080,5080,0,00000003e4aa7ae8,1c,00000003e4aa7ae8,00 -5081,5081,0,00000003e4aa7ae9,1c,00000003e4aa7ae9,00 -5082,5082,0,00000003e4aa7aea,1c,00000003e4aa7aea,00 -5083,5083,0,00000003e4aa7aeb,1c,00000003e4aa7aeb,00 -5084,5084,0,00000003e4aa7aec,1c,00000003e4aa7aec,00 -5085,5085,0,00000003e4aa7aed,1c,00000003e4aa7aed,00 -5086,5086,0,00000003e4aa7aee,1c,00000003e4aa7aee,00 -5087,5087,0,00000003e4aa7aef,1c,00000003e4aa7aef,00 -5088,5088,0,00000003e4aa7af0,1e,00000003e4aa7af0,0f -5089,5089,0,00000003e4aa7af1,1d,00000003e4aa7af1,10 -5090,5090,0,00000003e4aa7af2,1c,00000003e4aa7af2,00 -5091,5091,0,00000003e4aa7af3,1c,00000003e4aa7af3,00 -5092,5092,0,00000003e4aa7af4,1c,00000003e4aa7af4,00 -5093,5093,0,00000003e4aa7af5,1c,00000003e4aa7af5,00 -5094,5094,0,00000003e4aa7af6,1c,00000003e4aa7af6,00 -5095,5095,0,00000003e4aa7af7,1c,00000003e4aa7af7,00 -5096,5096,0,00000003e4aa7af8,1c,00000003e4aa7af8,00 -5097,5097,0,00000003e4aa7af9,1c,00000003e4aa7af9,00 -5098,5098,0,00000003e4aa7afa,1c,00000003e4aa7afa,00 -5099,5099,0,00000003e4aa7afb,1c,00000003e4aa7afb,00 -5100,5100,0,00000003e4aa7afc,1c,00000003e4aa7afc,00 -5101,5101,0,00000003e4aa7afd,1c,00000003e4aa7afd,00 -5102,5102,0,00000003e4aa7afe,1c,00000003e4aa7afe,00 -5103,5103,0,00000003e4aa7aff,1c,00000003e4aa7aff,00 -5104,5104,0,00000003e4aa7b00,1e,00000003e4aa7b00,0f -5105,5105,0,00000003e4aa7b01,1d,00000003e4aa7b01,10 -5106,5106,0,00000003e4aa7b02,1c,00000003e4aa7b02,00 -5107,5107,0,00000003e4aa7b03,1c,00000003e4aa7b03,00 -5108,5108,0,00000003e4aa7b04,1c,00000003e4aa7b04,00 -5109,5109,0,00000003e4aa7b05,1c,00000003e4aa7b05,00 -5110,5110,0,00000003e4aa7b06,1c,00000003e4aa7b06,00 -5111,5111,0,00000003e4aa7b07,1c,00000003e4aa7b07,00 -5112,5112,0,00000003e4aa7b08,1c,00000003e4aa7b08,00 -5113,5113,0,00000003e4aa7b09,1c,00000003e4aa7b09,00 -5114,5114,0,00000003e4aa7b0a,1c,00000003e4aa7b0a,00 -5115,5115,0,00000003e4aa7b0b,1c,00000003e4aa7b0b,00 -5116,5116,0,00000003e4aa7b0c,1c,00000003e4aa7b0c,00 -5117,5117,0,00000003e4aa7b0d,1c,00000003e4aa7b0d,00 -5118,5118,0,00000003e4aa7b0e,1c,00000003e4aa7b0e,00 -5119,5119,0,00000003e4aa7b0f,1c,00000003e4aa7b0f,00 -5120,5120,0,00000003e4aa7b10,1e,00000003e4aa7b10,0f -5121,5121,0,00000003e4aa7b11,1d,00000003e4aa7b11,10 -5122,5122,0,00000003e4aa7b12,1c,00000003e4aa7b12,00 -5123,5123,0,00000003e4aa7b13,1c,00000003e4aa7b13,00 -5124,5124,0,00000003e4aa7b14,1c,00000003e4aa7b14,00 -5125,5125,0,00000003e4aa7b15,1c,00000003e4aa7b15,00 -5126,5126,0,00000003e4aa7b16,1c,00000003e4aa7b16,00 -5127,5127,0,00000003e4aa7b17,1c,00000003e4aa7b17,00 -5128,5128,0,00000003e4aa7b18,1c,00000003e4aa7b18,00 -5129,5129,0,00000003e4aa7b19,1c,00000003e4aa7b19,00 -5130,5130,0,00000003e4aa7b1a,1c,00000003e4aa7b1a,00 -5131,5131,0,00000003e4aa7b1b,1c,00000003e4aa7b1b,00 -5132,5132,0,00000003e4aa7b1c,1c,00000003e4aa7b1c,00 -5133,5133,0,00000003e4aa7b1d,1c,00000003e4aa7b1d,00 -5134,5134,0,00000003e4aa7b1e,1c,00000003e4aa7b1e,00 -5135,5135,0,00000003e4aa7b1f,1c,00000003e4aa7b1f,00 -5136,5136,0,00000003e4aa7b20,1e,00000003e4aa7b20,0f -5137,5137,0,00000003e4aa7b21,1d,00000003e4aa7b21,10 -5138,5138,0,00000003e4aa7b22,1c,00000003e4aa7b22,00 -5139,5139,0,00000003e4aa7b23,1c,00000003e4aa7b23,00 -5140,5140,0,00000003e4aa7b24,1c,00000003e4aa7b24,00 -5141,5141,0,00000003e4aa7b25,1c,00000003e4aa7b25,00 -5142,5142,0,00000003e4aa7b26,1c,00000003e4aa7b26,00 -5143,5143,0,00000003e4aa7b27,1c,00000003e4aa7b27,00 -5144,5144,0,00000003e4aa7b28,1c,00000003e4aa7b28,00 -5145,5145,0,00000003e4aa7b29,1c,00000003e4aa7b29,00 -5146,5146,0,00000003e4aa7b2a,1c,00000003e4aa7b2a,00 -5147,5147,0,00000003e4aa7b2b,1c,00000003e4aa7b2b,00 -5148,5148,0,00000003e4aa7b2c,1c,00000003e4aa7b2c,00 -5149,5149,0,00000003e4aa7b2d,1c,00000003e4aa7b2d,00 -5150,5150,0,00000003e4aa7b2e,1c,00000003e4aa7b2e,00 -5151,5151,0,00000003e4aa7b2f,1c,00000003e4aa7b2f,00 -5152,5152,0,00000003e4aa7b30,1e,00000003e4aa7b30,0f -5153,5153,0,00000003e4aa7b31,1d,00000003e4aa7b31,10 -5154,5154,0,00000003e4aa7b32,1c,00000003e4aa7b32,00 -5155,5155,0,00000003e4aa7b33,1c,00000003e4aa7b33,00 -5156,5156,0,00000003e4aa7b34,1c,00000003e4aa7b34,00 -5157,5157,0,00000003e4aa7b35,1c,00000003e4aa7b35,00 -5158,5158,0,00000003e4aa7b36,1c,00000003e4aa7b36,00 -5159,5159,0,00000003e4aa7b37,1c,00000003e4aa7b37,00 -5160,5160,0,00000003e4aa7b38,1c,00000003e4aa7b38,00 -5161,5161,0,00000003e4aa7b39,1c,00000003e4aa7b39,00 -5162,5162,0,00000003e4aa7b3a,1c,00000003e4aa7b3a,00 -5163,5163,0,00000003e4aa7b3b,1c,00000003e4aa7b3b,00 -5164,5164,0,00000003e4aa7b3c,1c,00000003e4aa7b3c,00 -5165,5165,0,00000003e4aa7b3d,1c,00000003e4aa7b3d,00 -5166,5166,0,00000003e4aa7b3e,1c,00000003e4aa7b3e,00 -5167,5167,0,00000003e4aa7b3f,1c,00000003e4aa7b3f,00 -5168,5168,0,00000003e4aa7b40,1e,00000003e4aa7b40,0f -5169,5169,0,00000003e4aa7b41,1d,00000003e4aa7b41,10 -5170,5170,0,00000003e4aa7b42,1c,00000003e4aa7b42,00 -5171,5171,0,00000003e4aa7b43,1c,00000003e4aa7b43,00 -5172,5172,0,00000003e4aa7b44,1c,00000003e4aa7b44,00 -5173,5173,0,00000003e4aa7b45,1c,00000003e4aa7b45,00 -5174,5174,0,00000003e4aa7b46,1c,00000003e4aa7b46,00 -5175,5175,0,00000003e4aa7b47,1c,00000003e4aa7b47,00 -5176,5176,0,00000003e4aa7b48,1c,00000003e4aa7b48,00 -5177,5177,0,00000003e4aa7b49,1c,00000003e4aa7b49,00 -5178,5178,0,00000003e4aa7b4a,1c,00000003e4aa7b4a,00 -5179,5179,0,00000003e4aa7b4b,1c,00000003e4aa7b4b,00 -5180,5180,0,00000003e4aa7b4c,1c,00000003e4aa7b4c,00 -5181,5181,0,00000003e4aa7b4d,1c,00000003e4aa7b4d,00 -5182,5182,0,00000003e4aa7b4e,1c,00000003e4aa7b4e,00 -5183,5183,0,00000003e4aa7b4f,1c,00000003e4aa7b4f,00 -5184,5184,0,00000003e4aa7b50,1e,00000003e4aa7b50,0f -5185,5185,0,00000003e4aa7b51,1d,00000003e4aa7b50,0f -5186,5186,0,00000003e4aa7b52,1c,00000003e4aa7b50,0f -5187,5187,0,00000003e4aa7b53,1c,00000003e4aa7b50,0f -5188,5188,0,00000003e4aa7b54,1c,00000003e4aa7b50,0f -5189,5189,0,00000003e4aa7b55,1c,00000003e4aa7b50,0f -5190,5190,0,00000003e4aa7b56,1c,00000003e4aa7b50,0f -5191,5191,0,00000003e4aa7b57,1c,00000003e4aa7b50,0f -5192,5192,0,00000003e4aa7b58,1c,00000003e4aa7b50,0f -5193,5193,0,00000003e4aa7b59,1c,00000003e4aa7b50,0f -5194,5194,0,00000003e4aa7b5a,1c,00000003e4aa7b50,0f -5195,5195,0,00000003e4aa7b5b,1c,00000003e4aa7b50,0f -5196,5196,0,00000003e4aa7b5c,1c,00000003e4aa7b50,0f -5197,5197,0,00000003e4aa7b5d,1c,00000003e4aa7b50,0f -5198,5198,0,00000003e4aa7b5e,1c,00000003e4aa7b50,0f -5199,5199,0,00000003e4aa7b5f,1c,00000003e4aa7b50,0f -5200,5200,0,00000003e4aa7b60,1e,00000003e4aa7b61,10 -5201,5201,0,00000003e4aa7b61,1d,00000003e4aa7b62,00 -5202,5202,0,00000003e4aa7b62,1c,00000003e4aa7b63,00 -5203,5203,0,00000003e4aa7b63,1c,00000003e4aa7b64,00 -5204,5204,0,00000003e4aa7b64,1c,00000003e4aa7b65,00 -5205,5205,0,00000003e4aa7b65,1c,00000003e4aa7b66,00 -5206,5206,0,00000003e4aa7b66,1c,00000003e4aa7b67,00 -5207,5207,0,00000003e4aa7b67,1c,00000003e4aa7b68,00 -5208,5208,0,00000003e4aa7b68,1c,00000003e4aa7b69,00 -5209,5209,0,00000003e4aa7b69,1c,00000003e4aa7b6a,00 -5210,5210,0,00000003e4aa7b6a,1c,00000003e4aa7b6b,00 -5211,5211,0,00000003e4aa7b6b,1c,00000003e4aa7b6c,00 -5212,5212,0,00000003e4aa7b6c,1c,00000003e4aa7b6d,00 -5213,5213,0,00000003e4aa7b6d,1c,00000003e4aa7b6e,00 -5214,5214,0,00000003e4aa7b6e,1c,00000003e4aa7b6f,00 -5215,5215,0,00000003e4aa7b6f,1c,00000003e4aa7b70,0f -5216,5216,0,00000003e4aa7b70,1e,00000003e4aa7b70,0f -5217,5217,0,00000003e4aa7b71,1d,00000003e4aa7b71,10 -5218,5218,0,00000003e4aa7b72,1c,00000003e4aa7b72,00 -5219,5219,0,00000003e4aa7b73,1c,00000003e4aa7b73,00 -5220,5220,0,00000003e4aa7b74,1c,00000003e4aa7b74,00 -5221,5221,0,00000003e4aa7b75,1c,00000003e4aa7b75,00 -5222,5222,0,00000003e4aa7b76,1c,00000003e4aa7b76,00 -5223,5223,0,00000003e4aa7b77,1c,00000003e4aa7b77,00 -5224,5224,0,00000003e4aa7b78,1c,00000003e4aa7b78,00 -5225,5225,0,00000003e4aa7b79,1c,00000003e4aa7b79,00 -5226,5226,0,00000003e4aa7b7a,1c,00000003e4aa7b7a,00 -5227,5227,0,00000003e4aa7b7b,1c,00000003e4aa7b7b,00 -5228,5228,0,00000003e4aa7b7c,1c,00000003e4aa7b7c,00 -5229,5229,0,00000003e4aa7b7d,1c,00000003e4aa7b7d,00 -5230,5230,0,00000003e4aa7b7e,1c,00000003e4aa7b7e,00 -5231,5231,0,00000003e4aa7b7f,1c,00000003e4aa7b7f,00 -5232,5232,0,00000003e4aa7b80,1e,00000003e4aa7b80,0f -5233,5233,0,00000003e4aa7b81,1d,00000003e4aa7b81,10 -5234,5234,0,00000003e4aa7b82,1c,00000003e4aa7b82,00 -5235,5235,0,00000003e4aa7b83,1c,00000003e4aa7b83,00 -5236,5236,0,00000003e4aa7b84,1c,00000003e4aa7b84,00 -5237,5237,0,00000003e4aa7b85,1c,00000003e4aa7b85,00 -5238,5238,0,00000003e4aa7b86,1c,00000003e4aa7b86,00 -5239,5239,0,00000003e4aa7b87,1c,00000003e4aa7b87,00 -5240,5240,0,00000003e4aa7b88,1c,00000003e4aa7b88,00 -5241,5241,0,00000003e4aa7b89,1c,00000003e4aa7b89,00 -5242,5242,0,00000003e4aa7b8a,1c,00000003e4aa7b8a,00 -5243,5243,0,00000003e4aa7b8b,1c,00000003e4aa7b8b,00 -5244,5244,0,00000003e4aa7b8c,1c,00000003e4aa7b8c,00 -5245,5245,0,00000003e4aa7b8d,1c,00000003e4aa7b8d,00 -5246,5246,0,00000003e4aa7b8e,1c,00000003e4aa7b8e,00 -5247,5247,0,00000003e4aa7b8f,1c,00000003e4aa7b8f,00 -5248,5248,0,00000003e4aa7b90,1e,00000003e4aa7b90,00 -5249,5249,0,00000003e4aa7b91,1d,00000003e4aa7b91,10 -5250,5250,0,00000003e4aa7b92,1c,00000003e4aa7b92,00 -5251,5251,0,00000003e4aa7b93,1c,00000003e4aa7b93,00 -5252,5252,0,00000003e4aa7b94,1c,00000003e4aa7b94,00 -5253,5253,0,00000003e4aa7b95,1c,00000003e4aa7b95,00 -5254,5254,0,00000003e4aa7b96,1c,00000003e4aa7b96,00 -5255,5255,0,00000003e4aa7b97,1c,00000003e4aa7b97,00 -5256,5256,0,00000003e4aa7b98,1c,00000003e4aa7b98,00 -5257,5257,0,00000003e4aa7b99,1c,00000003e4aa7b99,00 -5258,5258,0,00000003e4aa7b9a,1c,00000003e4aa7b9a,00 -5259,5259,0,00000003e4aa7b9b,1c,00000003e4aa7b9b,00 -5260,5260,0,00000003e4aa7b9c,1c,00000003e4aa7b9c,00 -5261,5261,0,00000003e4aa7b9d,1c,00000003e4aa7b9d,00 -5262,5262,0,00000003e4aa7b9e,1c,00000003e4aa7b9e,00 -5263,5263,0,00000003e4aa7b9f,1c,00000003e4aa7b9f,00 -5264,5264,0,00000003e4aa7ba0,1e,00000003e4aa7ba0,00 -5265,5265,0,00000003e4aa7ba1,1d,00000003e4aa7ba1,00 -5266,5266,0,00000003e4aa7ba2,1c,00000003e4aa7ba2,00 -5267,5267,0,00000003e4aa7ba3,1c,00000003e4aa7ba3,00 -5268,5268,0,00000003e4aa7ba4,1c,00000003e4aa7ba4,00 -5269,5269,0,00000003e4aa7ba5,1c,00000003e4aa7ba5,00 -5270,5270,0,00000003e4aa7ba6,1c,00000003e4aa7ba6,00 -5271,5271,0,00000003e4aa7ba7,1c,00000003e4aa7ba7,00 -5272,5272,0,00000003e4aa7ba8,1c,00000003e4aa7ba8,00 -5273,5273,0,00000003e4aa7ba9,1c,00000003e4aa7ba9,00 -5274,5274,0,00000003e4aa7baa,1c,00000003e4aa7baa,00 -5275,5275,0,00000003e4aa7bab,1c,00000003e4aa7bab,00 -5276,5276,0,00000003e4aa7bac,1c,00000003e4aa7bac,00 -5277,5277,0,00000003e4aa7bad,1c,00000003e4aa7bad,00 -5278,5278,0,00000003e4aa7bae,1c,00000003e4aa7bae,00 -5279,5279,0,00000003e4aa7baf,1c,00000003e4aa7baf,00 -5280,5280,0,00000003e4aa7bb0,1e,00000003e4aa7bb0,0f -5281,5281,0,00000003e4aa7bb1,1d,00000003e4aa7bb1,10 -5282,5282,0,00000003e4aa7bb2,1c,00000003e4aa7bb2,00 -5283,5283,0,00000003e4aa7bb3,1c,00000003e4aa7bb3,00 -5284,5284,0,00000003e4aa7bb4,1c,00000003e4aa7bb4,00 -5285,5285,0,00000003e4aa7bb5,1c,00000003e4aa7bb5,00 -5286,5286,0,00000003e4aa7bb6,1c,00000003e4aa7bb6,00 -5287,5287,0,00000003e4aa7bb7,1c,00000003e4aa7bb7,00 -5288,5288,0,00000003e4aa7bb8,1c,00000003e4aa7bb8,00 -5289,5289,0,00000003e4aa7bb9,1c,00000003e4aa7bb9,00 -5290,5290,0,00000003e4aa7bba,1c,00000003e4aa7bba,00 -5291,5291,0,00000003e4aa7bbb,1c,00000003e4aa7bbb,00 -5292,5292,0,00000003e4aa7bbc,1c,00000003e4aa7bbc,00 -5293,5293,0,00000003e4aa7bbd,1c,00000003e4aa7bbd,00 -5294,5294,0,00000003e4aa7bbe,1c,00000003e4aa7bbe,00 -5295,5295,0,00000003e4aa7bbf,1c,00000003e4aa7bbf,00 -5296,5296,0,00000003e4aa7bc0,1e,00000003e4aa7bc0,0f -5297,5297,0,00000003e4aa7bc1,1d,00000003e4aa7bc1,10 -5298,5298,0,00000003e4aa7bc2,1c,00000003e4aa7bc2,00 -5299,5299,0,00000003e4aa7bc3,1c,00000003e4aa7bc3,00 -5300,5300,0,00000003e4aa7bc4,1c,00000003e4aa7bc4,00 -5301,5301,0,00000003e4aa7bc5,1c,00000003e4aa7bc5,00 -5302,5302,0,00000003e4aa7bc6,1c,00000003e4aa7bc6,00 -5303,5303,0,00000003e4aa7bc7,1c,00000003e4aa7bc7,00 -5304,5304,0,00000003e4aa7bc8,1c,00000003e4aa7bc8,00 -5305,5305,0,00000003e4aa7bc9,1c,00000003e4aa7bc9,00 -5306,5306,0,00000003e4aa7bca,1c,00000003e4aa7bca,00 -5307,5307,0,00000003e4aa7bcb,1c,00000003e4aa7bcb,00 -5308,5308,0,00000003e4aa7bcc,1c,00000003e4aa7bcc,00 -5309,5309,0,00000003e4aa7bcd,1c,00000003e4aa7bcd,00 -5310,5310,0,00000003e4aa7bce,1c,00000003e4aa7bce,00 -5311,5311,0,00000003e4aa7bcf,1c,00000003e4aa7bcf,00 -5312,5312,0,00000003e4aa7bd0,1e,00000003e4aa7bd0,0f -5313,5313,0,00000003e4aa7bd1,1d,00000003e4aa7bd1,10 -5314,5314,0,00000003e4aa7bd2,1c,00000003e4aa7bd2,00 -5315,5315,0,00000003e4aa7bd3,1c,00000003e4aa7bd3,00 -5316,5316,0,00000003e4aa7bd4,1c,00000003e4aa7bd4,00 -5317,5317,0,00000003e4aa7bd5,1c,00000003e4aa7bd5,00 -5318,5318,0,00000003e4aa7bd6,1c,00000003e4aa7bd6,00 -5319,5319,0,00000003e4aa7bd7,1c,00000003e4aa7bd7,00 -5320,5320,0,00000003e4aa7bd8,1c,00000003e4aa7bd8,00 -5321,5321,0,00000003e4aa7bd9,1c,00000003e4aa7bd9,00 -5322,5322,0,00000003e4aa7bda,1c,00000003e4aa7bda,00 -5323,5323,0,00000003e4aa7bdb,1c,00000003e4aa7bdb,00 -5324,5324,0,00000003e4aa7bdc,1c,00000003e4aa7bdc,00 -5325,5325,0,00000003e4aa7bdd,1c,00000003e4aa7bdd,00 -5326,5326,0,00000003e4aa7bde,1c,00000003e4aa7bde,00 -5327,5327,0,00000003e4aa7bdf,1c,00000003e4aa7bdf,00 -5328,5328,0,00000003e4aa7be0,1e,00000003e4aa7be0,0f -5329,5329,0,00000003e4aa7be1,1d,00000003e4aa7be1,10 -5330,5330,0,00000003e4aa7be2,1c,00000003e4aa7be2,00 -5331,5331,0,00000003e4aa7be3,1c,00000003e4aa7be3,00 -5332,5332,0,00000003e4aa7be4,1c,00000003e4aa7be4,00 -5333,5333,0,00000003e4aa7be5,1c,00000003e4aa7be5,00 -5334,5334,0,00000003e4aa7be6,1c,00000003e4aa7be6,00 -5335,5335,0,00000003e4aa7be7,1c,00000003e4aa7be7,00 -5336,5336,0,00000003e4aa7be8,1c,00000003e4aa7be8,00 -5337,5337,0,00000003e4aa7be9,1c,00000003e4aa7be9,00 -5338,5338,0,00000003e4aa7bea,1c,00000003e4aa7bea,00 -5339,5339,0,00000003e4aa7beb,1c,00000003e4aa7beb,00 -5340,5340,0,00000003e4aa7bec,1c,00000003e4aa7bec,00 -5341,5341,0,00000003e4aa7bed,1c,00000003e4aa7bed,00 -5342,5342,0,00000003e4aa7bee,1c,00000003e4aa7bee,00 -5343,5343,0,00000003e4aa7bef,1c,00000003e4aa7bef,00 -5344,5344,0,00000003e4aa7bf0,1e,00000003e4aa7bf0,0f -5345,5345,0,00000003e4aa7bf1,1d,00000003e4aa7bf0,0f -5346,5346,0,00000003e4aa7bf2,1c,00000003e4aa7bf0,0f -5347,5347,0,00000003e4aa7bf3,1c,00000003e4aa7bf0,0f -5348,5348,0,00000003e4aa7bf4,1c,00000003e4aa7bf0,0f -5349,5349,0,00000003e4aa7bf5,1c,00000003e4aa7bf0,0f -5350,5350,0,00000003e4aa7bf6,1c,00000003e4aa7bf0,0f -5351,5351,0,00000003e4aa7bf7,1c,00000003e4aa7bf0,0f -5352,5352,0,00000003e4aa7bf8,1c,00000003e4aa7bf0,0f -5353,5353,0,00000003e4aa7bf9,1c,00000003e4aa7bf0,0f -5354,5354,0,00000003e4aa7bfa,1c,00000003e4aa7bf0,0f -5355,5355,0,00000003e4aa7bfb,1c,00000003e4aa7bf0,0f -5356,5356,0,00000003e4aa7bfc,1c,00000003e4aa7bf0,0f -5357,5357,0,00000003e4aa7bfd,1c,00000003e4aa7bf0,0f -5358,5358,0,00000003e4aa7bfe,1c,00000003e4aa7bf0,0f -5359,5359,0,00000003e4aa7bff,1c,00000003e4aa7bf0,0f -5360,5360,0,00000003e4aa7c00,1e,00000003e4aa7bf0,0f -5361,5361,0,00000003e4aa7c01,1d,00000003e4aa7c01,10 -5362,5362,0,00000003e4aa7c02,1c,00000003e4aa7c02,00 -5363,5363,0,00000003e4aa7c03,1c,00000003e4aa7c03,00 -5364,5364,0,00000003e4aa7c04,1c,00000003e4aa7c04,00 -5365,5365,0,00000003e4aa7c05,1c,00000003e4aa7c05,00 -5366,5366,0,00000003e4aa7c06,1c,00000003e4aa7c06,00 -5367,5367,0,00000003e4aa7c07,1c,00000003e4aa7c07,00 -5368,5368,0,00000003e4aa7c08,1c,00000003e4aa7c08,00 -5369,5369,0,00000003e4aa7c09,1c,00000003e4aa7c09,00 -5370,5370,0,00000003e4aa7c0a,1c,00000003e4aa7c0a,00 -5371,5371,0,00000003e4aa7c0b,1c,00000003e4aa7c0b,00 -5372,5372,0,00000003e4aa7c0c,1c,00000003e4aa7c0c,00 -5373,5373,0,00000003e4aa7c0d,1c,00000003e4aa7c0d,00 -5374,5374,0,00000003e4aa7c0e,1c,00000003e4aa7c0e,00 -5375,5375,0,00000003e4aa7c0f,1c,00000003e4aa7c0f,00 -5376,5376,0,00000003e4aa7c10,1e,00000003e4aa7c10,0f -5377,5377,0,00000003e4aa7c11,1d,00000003e4aa7c11,10 -5378,5378,0,00000003e4aa7c12,1c,00000003e4aa7c12,00 -5379,5379,0,00000003e4aa7c13,1c,00000003e4aa7c13,00 -5380,5380,0,00000003e4aa7c14,1c,00000003e4aa7c14,00 -5381,5381,0,00000003e4aa7c15,1c,00000003e4aa7c15,00 -5382,5382,0,00000003e4aa7c16,1c,00000003e4aa7c16,00 -5383,5383,0,00000003e4aa7c17,1c,00000003e4aa7c17,00 -5384,5384,0,00000003e4aa7c18,1c,00000003e4aa7c18,00 -5385,5385,0,00000003e4aa7c19,1c,00000003e4aa7c19,00 -5386,5386,0,00000003e4aa7c1a,1c,00000003e4aa7c1a,00 -5387,5387,0,00000003e4aa7c1b,1c,00000003e4aa7c1b,00 -5388,5388,0,00000003e4aa7c1c,1c,00000003e4aa7c1c,00 -5389,5389,0,00000003e4aa7c1d,1c,00000003e4aa7c1d,00 -5390,5390,0,00000003e4aa7c1e,1c,00000003e4aa7c1e,00 -5391,5391,0,00000003e4aa7c1f,1c,00000003e4aa7c1f,00 -5392,5392,0,00000003e4aa7c20,1e,00000003e4aa7c20,0f -5393,5393,0,00000003e4aa7c21,1d,00000003e4aa7c21,10 -5394,5394,0,00000003e4aa7c22,1c,00000003e4aa7c22,00 -5395,5395,0,00000003e4aa7c23,1c,00000003e4aa7c23,00 -5396,5396,0,00000003e4aa7c24,1c,00000003e4aa7c24,00 -5397,5397,0,00000003e4aa7c25,1c,00000003e4aa7c25,00 -5398,5398,0,00000003e4aa7c26,1c,00000003e4aa7c26,00 -5399,5399,0,00000003e4aa7c27,1c,00000003e4aa7c27,00 -5400,5400,0,00000003e4aa7c28,1c,00000003e4aa7c28,00 -5401,5401,0,00000003e4aa7c29,1c,00000003e4aa7c29,00 -5402,5402,0,00000003e4aa7c2a,1c,00000003e4aa7c2a,00 -5403,5403,0,00000003e4aa7c2b,1c,00000003e4aa7c2b,00 -5404,5404,0,00000003e4aa7c2c,1c,00000003e4aa7c2c,00 -5405,5405,0,00000003e4aa7c2d,1c,00000003e4aa7c2d,00 -5406,5406,0,00000003e4aa7c2e,1c,00000003e4aa7c2e,00 -5407,5407,0,00000003e4aa7c2f,1c,00000003e4aa7c2f,00 -5408,5408,0,00000003e4aa7c30,1e,00000003e4aa7c30,0f -5409,5409,0,00000003e4aa7c31,1d,00000003e4aa7c31,10 -5410,5410,0,00000003e4aa7c32,1c,00000003e4aa7c32,00 -5411,5411,0,00000003e4aa7c33,1c,00000003e4aa7c33,00 -5412,5412,0,00000003e4aa7c34,1c,00000003e4aa7c34,00 -5413,5413,0,00000003e4aa7c35,1c,00000003e4aa7c35,00 -5414,5414,0,00000003e4aa7c36,1c,00000003e4aa7c36,00 -5415,5415,0,00000003e4aa7c37,1c,00000003e4aa7c37,00 -5416,5416,0,00000003e4aa7c38,1c,00000003e4aa7c38,00 -5417,5417,0,00000003e4aa7c39,1c,00000003e4aa7c39,00 -5418,5418,0,00000003e4aa7c3a,1c,00000003e4aa7c3a,00 -5419,5419,0,00000003e4aa7c3b,1c,00000003e4aa7c3b,00 -5420,5420,0,00000003e4aa7c3c,1c,00000003e4aa7c3c,00 -5421,5421,0,00000003e4aa7c3d,1c,00000003e4aa7c3d,00 -5422,5422,0,00000003e4aa7c3e,1c,00000003e4aa7c3e,00 -5423,5423,0,00000003e4aa7c3f,1c,00000003e4aa7c3f,00 -5424,5424,0,00000003e4aa7c40,1e,00000003e4aa7c40,0f -5425,5425,0,00000003e4aa7c41,1d,00000003e4aa7c41,10 -5426,5426,0,00000003e4aa7c42,1c,00000003e4aa7c42,00 -5427,5427,0,00000003e4aa7c43,1c,00000003e4aa7c43,00 -5428,5428,0,00000003e4aa7c44,1c,00000003e4aa7c44,00 -5429,5429,0,00000003e4aa7c45,1c,00000003e4aa7c45,00 -5430,5430,0,00000003e4aa7c46,1c,00000003e4aa7c46,00 -5431,5431,0,00000003e4aa7c47,1c,00000003e4aa7c47,00 -5432,5432,0,00000003e4aa7c48,1c,00000003e4aa7c48,00 -5433,5433,0,00000003e4aa7c49,1c,00000003e4aa7c49,00 -5434,5434,0,00000003e4aa7c4a,1c,00000003e4aa7c4a,00 -5435,5435,0,00000003e4aa7c4b,1c,00000003e4aa7c4b,00 -5436,5436,0,00000003e4aa7c4c,1c,00000003e4aa7c4c,00 -5437,5437,0,00000003e4aa7c4d,1c,00000003e4aa7c4d,00 -5438,5438,0,00000003e4aa7c4e,1c,00000003e4aa7c4e,00 -5439,5439,0,00000003e4aa7c4f,1c,00000003e4aa7c4f,00 -5440,5440,0,00000003e4aa7c50,1e,00000003e4aa7c50,00 -5441,5441,0,00000003e4aa7c51,1d,00000003e4aa7c51,10 -5442,5442,0,00000003e4aa7c52,1c,00000003e4aa7c52,00 -5443,5443,0,00000003e4aa7c53,1c,00000003e4aa7c53,00 -5444,5444,0,00000003e4aa7c54,1c,00000003e4aa7c54,00 -5445,5445,0,00000003e4aa7c55,1c,00000003e4aa7c55,00 -5446,5446,0,00000003e4aa7c56,1c,00000003e4aa7c56,00 -5447,5447,0,00000003e4aa7c57,1c,00000003e4aa7c57,00 -5448,5448,0,00000003e4aa7c58,1c,00000003e4aa7c58,00 -5449,5449,0,00000003e4aa7c59,1c,00000003e4aa7c59,00 -5450,5450,0,00000003e4aa7c5a,1c,00000003e4aa7c5a,00 -5451,5451,0,00000003e4aa7c5b,1c,00000003e4aa7c5b,00 -5452,5452,0,00000003e4aa7c5c,1c,00000003e4aa7c5c,00 -5453,5453,0,00000003e4aa7c5d,1c,00000003e4aa7c5d,00 -5454,5454,0,00000003e4aa7c5e,1c,00000003e4aa7c5e,00 -5455,5455,0,00000003e4aa7c5f,1c,00000003e4aa7c5f,00 -5456,5456,0,00000003e4aa7c60,1e,00000003e4aa7c60,00 -5457,5457,0,00000003e4aa7c61,1d,00000003e4aa7c61,10 -5458,5458,0,00000003e4aa7c62,1c,00000003e4aa7c62,00 -5459,5459,0,00000003e4aa7c63,1c,00000003e4aa7c63,00 -5460,5460,0,00000003e4aa7c64,1c,00000003e4aa7c64,00 -5461,5461,0,00000003e4aa7c65,1c,00000003e4aa7c65,00 -5462,5462,0,00000003e4aa7c66,1c,00000003e4aa7c66,00 -5463,5463,0,00000003e4aa7c67,1c,00000003e4aa7c67,00 -5464,5464,0,00000003e4aa7c68,1c,00000003e4aa7c68,00 -5465,5465,0,00000003e4aa7c69,1c,00000003e4aa7c69,00 -5466,5466,0,00000003e4aa7c6a,1c,00000003e4aa7c6a,00 -5467,5467,0,00000003e4aa7c6b,1c,00000003e4aa7c6b,00 -5468,5468,0,00000003e4aa7c6c,1c,00000003e4aa7c6c,00 -5469,5469,0,00000003e4aa7c6d,1c,00000003e4aa7c6d,00 -5470,5470,0,00000003e4aa7c6e,1c,00000003e4aa7c6e,00 -5471,5471,0,00000003e4aa7c6f,1c,00000003e4aa7c6f,00 -5472,5472,0,00000003e4aa7c70,1e,00000003e4aa7c70,0f -5473,5473,0,00000003e4aa7c71,1d,00000003e4aa7c71,10 -5474,5474,0,00000003e4aa7c72,1c,00000003e4aa7c72,00 -5475,5475,0,00000003e4aa7c73,1c,00000003e4aa7c73,00 -5476,5476,0,00000003e4aa7c74,1c,00000003e4aa7c74,00 -5477,5477,0,00000003e4aa7c75,1c,00000003e4aa7c75,00 -5478,5478,0,00000003e4aa7c76,1c,00000003e4aa7c76,00 -5479,5479,0,00000003e4aa7c77,1c,00000003e4aa7c77,00 -5480,5480,0,00000003e4aa7c78,1c,00000003e4aa7c78,00 -5481,5481,0,00000003e4aa7c79,1c,00000003e4aa7c79,00 -5482,5482,0,00000003e4aa7c7a,1c,00000003e4aa7c7a,00 -5483,5483,0,00000003e4aa7c7b,1c,00000003e4aa7c7b,00 -5484,5484,0,00000003e4aa7c7c,1c,00000003e4aa7c7c,00 -5485,5485,0,00000003e4aa7c7d,1c,00000003e4aa7c7d,00 -5486,5486,0,00000003e4aa7c7e,1c,00000003e4aa7c7e,00 -5487,5487,0,00000003e4aa7c7f,1c,00000003e4aa7c7f,00 -5488,5488,0,00000003e4aa7c80,1e,00000003e4aa7c80,0f -5489,5489,0,00000003e4aa7c81,1d,00000003e4aa7c81,10 -5490,5490,0,00000003e4aa7c82,1c,00000003e4aa7c82,00 -5491,5491,0,00000003e4aa7c83,1c,00000003e4aa7c83,00 -5492,5492,0,00000003e4aa7c84,1c,00000003e4aa7c84,00 -5493,5493,0,00000003e4aa7c85,1c,00000003e4aa7c85,00 -5494,5494,0,00000003e4aa7c86,1c,00000003e4aa7c86,00 -5495,5495,0,00000003e4aa7c87,1c,00000003e4aa7c87,00 -5496,5496,0,00000003e4aa7c88,1c,00000003e4aa7c88,00 -5497,5497,0,00000003e4aa7c89,1c,00000003e4aa7c89,00 -5498,5498,0,00000003e4aa7c8a,1c,00000003e4aa7c8a,00 -5499,5499,0,00000003e4aa7c8b,1c,00000003e4aa7c8b,00 -5500,5500,0,00000003e4aa7c8c,1c,00000003e4aa7c8c,00 -5501,5501,0,00000003e4aa7c8d,1c,00000003e4aa7c8d,00 -5502,5502,0,00000003e4aa7c8e,1c,00000003e4aa7c8e,00 -5503,5503,0,00000003e4aa7c8f,1c,00000003e4aa7c8f,00 -5504,5504,0,00000003e4aa7c90,1e,00000003e4aa7c90,0f -5505,5505,0,00000003e4aa7c91,1d,00000003e4aa7c91,10 -5506,5506,0,00000003e4aa7c92,1c,00000003e4aa7c92,00 -5507,5507,0,00000003e4aa7c93,1c,00000003e4aa7c93,00 -5508,5508,0,00000003e4aa7c94,1c,00000003e4aa7c94,00 -5509,5509,0,00000003e4aa7c95,1c,00000003e4aa7c95,00 -5510,5510,0,00000003e4aa7c96,1c,00000003e4aa7c96,00 -5511,5511,0,00000003e4aa7c97,1c,00000003e4aa7c97,00 -5512,5512,0,00000003e4aa7c98,1c,00000003e4aa7c98,00 -5513,5513,0,00000003e4aa7c99,1c,00000003e4aa7c99,00 -5514,5514,0,00000003e4aa7c9a,1c,00000003e4aa7c9a,00 -5515,5515,0,00000003e4aa7c9b,1c,00000003e4aa7c9b,00 -5516,5516,0,00000003e4aa7c9c,1c,00000003e4aa7c9c,00 -5517,5517,0,00000003e4aa7c9d,1c,00000003e4aa7c9d,00 -5518,5518,0,00000003e4aa7c9e,1c,00000003e4aa7c9e,00 -5519,5519,0,00000003e4aa7c9f,1c,00000003e4aa7c9f,00 -5520,5520,0,00000003e4aa7ca0,1e,00000003e4aa7ca0,0f -5521,5521,0,00000003e4aa7ca1,1d,00000003e4aa7ca1,10 -5522,5522,0,00000003e4aa7ca2,1c,00000003e4aa7ca2,00 -5523,5523,0,00000003e4aa7ca3,1c,00000003e4aa7ca3,00 -5524,5524,0,00000003e4aa7ca4,1c,00000003e4aa7ca4,00 -5525,5525,0,00000003e4aa7ca5,1c,00000003e4aa7ca5,00 -5526,5526,0,00000003e4aa7ca6,1c,00000003e4aa7ca6,00 -5527,5527,0,00000003e4aa7ca7,1c,00000003e4aa7ca7,00 -5528,5528,0,00000003e4aa7ca8,1c,00000003e4aa7ca8,00 -5529,5529,0,00000003e4aa7ca9,1c,00000003e4aa7ca9,00 -5530,5530,0,00000003e4aa7caa,1c,00000003e4aa7caa,00 -5531,5531,0,00000003e4aa7cab,1c,00000003e4aa7cab,00 -5532,5532,0,00000003e4aa7cac,1c,00000003e4aa7cac,00 -5533,5533,0,00000003e4aa7cad,1c,00000003e4aa7cad,00 -5534,5534,0,00000003e4aa7cae,1c,00000003e4aa7cae,00 -5535,5535,0,00000003e4aa7caf,1c,00000003e4aa7caf,00 -5536,5536,0,00000003e4aa7cb0,1e,00000003e4aa7cb0,0f -5537,5537,0,00000003e4aa7cb1,1d,00000003e4aa7cb1,10 -5538,5538,0,00000003e4aa7cb2,1c,00000003e4aa7cb2,00 -5539,5539,0,00000003e4aa7cb3,1c,00000003e4aa7cb3,00 -5540,5540,0,00000003e4aa7cb4,1c,00000003e4aa7cb4,00 -5541,5541,0,00000003e4aa7cb5,1c,00000003e4aa7cb5,00 -5542,5542,0,00000003e4aa7cb6,1c,00000003e4aa7cb6,00 -5543,5543,0,00000003e4aa7cb7,1c,00000003e4aa7cb7,00 -5544,5544,0,00000003e4aa7cb8,1c,00000003e4aa7cb8,00 -5545,5545,0,00000003e4aa7cb9,1c,00000003e4aa7cb9,00 -5546,5546,0,00000003e4aa7cba,1c,00000003e4aa7cba,00 -5547,5547,0,00000003e4aa7cbb,1c,00000003e4aa7cbb,00 -5548,5548,0,00000003e4aa7cbc,1c,00000003e4aa7cbc,00 -5549,5549,0,00000003e4aa7cbd,1c,00000003e4aa7cbd,00 -5550,5550,0,00000003e4aa7cbe,1c,00000003e4aa7cbe,00 -5551,5551,0,00000003e4aa7cbf,1c,00000003e4aa7cbf,00 -5552,5552,0,00000003e4aa7cc0,1e,00000003e4aa7cc0,0f -5553,5553,0,00000003e4aa7cc1,1d,00000003e4aa7cc1,10 -5554,5554,0,00000003e4aa7cc2,1c,00000003e4aa7cc2,00 -5555,5555,0,00000003e4aa7cc3,1c,00000003e4aa7cc3,00 -5556,5556,0,00000003e4aa7cc4,1c,00000003e4aa7cc4,00 -5557,5557,0,00000003e4aa7cc5,1c,00000003e4aa7cc5,00 -5558,5558,0,00000003e4aa7cc6,1c,00000003e4aa7cc6,00 -5559,5559,0,00000003e4aa7cc7,1c,00000003e4aa7cc7,00 -5560,5560,0,00000003e4aa7cc8,1c,00000003e4aa7cc8,00 -5561,5561,0,00000003e4aa7cc9,1c,00000003e4aa7cc9,00 -5562,5562,0,00000003e4aa7cca,1c,00000003e4aa7cca,00 -5563,5563,0,00000003e4aa7ccb,1c,00000003e4aa7ccb,00 -5564,5564,0,00000003e4aa7ccc,1c,00000003e4aa7ccc,00 -5565,5565,0,00000003e4aa7ccd,1c,00000003e4aa7ccd,00 -5566,5566,0,00000003e4aa7cce,1c,00000003e4aa7cce,00 -5567,5567,0,00000003e4aa7ccf,1c,00000003e4aa7ccf,00 -5568,5568,0,00000003e4aa7cd0,1e,00000003e4aa7cd0,0f -5569,5569,0,00000003e4aa7cd1,1d,00000003e4aa7cd1,10 -5570,5570,0,00000003e4aa7cd2,1c,00000003e4aa7cd2,00 -5571,5571,0,00000003e4aa7cd3,1c,00000003e4aa7cd3,00 -5572,5572,0,00000003e4aa7cd4,1c,00000003e4aa7cd4,00 -5573,5573,0,00000003e4aa7cd5,1c,00000003e4aa7cd5,00 -5574,5574,0,00000003e4aa7cd6,1c,00000003e4aa7cd6,00 -5575,5575,0,00000003e4aa7cd7,1c,00000003e4aa7cd7,00 -5576,5576,0,00000003e4aa7cd8,1c,00000003e4aa7cd8,00 -5577,5577,0,00000003e4aa7cd9,1c,00000003e4aa7cd9,00 -5578,5578,0,00000003e4aa7cda,1c,00000003e4aa7cda,00 -5579,5579,0,00000003e4aa7cdb,1c,00000003e4aa7cdb,00 -5580,5580,0,00000003e4aa7cdc,1c,00000003e4aa7cdc,00 -5581,5581,0,00000003e4aa7cdd,1c,00000003e4aa7cdd,00 -5582,5582,0,00000003e4aa7cde,1c,00000003e4aa7cde,00 -5583,5583,0,00000003e4aa7cdf,1c,00000003e4aa7cdf,00 -5584,5584,0,00000003e4aa7ce0,1e,00000003e4aa7ce0,0f -5585,5585,0,00000003e4aa7ce1,1d,00000003e4aa7ce1,10 -5586,5586,0,00000003e4aa7ce2,1c,00000003e4aa7ce2,00 -5587,5587,0,00000003e4aa7ce3,1c,00000003e4aa7ce3,00 -5588,5588,0,00000003e4aa7ce4,1c,00000003e4aa7ce4,00 -5589,5589,0,00000003e4aa7ce5,1c,00000003e4aa7ce5,00 -5590,5590,0,00000003e4aa7ce6,1c,00000003e4aa7ce6,00 -5591,5591,0,00000003e4aa7ce7,1c,00000003e4aa7ce7,00 -5592,5592,0,00000003e4aa7ce8,1c,00000003e4aa7ce8,00 -5593,5593,0,00000003e4aa7ce9,1c,00000003e4aa7ce9,00 -5594,5594,0,00000003e4aa7cea,1c,00000003e4aa7cea,00 -5595,5595,0,00000003e4aa7ceb,1c,00000003e4aa7ceb,00 -5596,5596,0,00000003e4aa7cec,1c,00000003e4aa7cec,00 -5597,5597,0,00000003e4aa7ced,1c,00000003e4aa7ced,00 -5598,5598,0,00000003e4aa7cee,1c,00000003e4aa7cee,00 -5599,5599,0,00000003e4aa7cef,1c,00000003e4aa7cef,00 -5600,5600,0,00000003e4aa7cf0,1e,00000003e4aa7cf0,0f -5601,5601,0,00000003e4aa7cf1,1d,00000003e4aa7cf1,10 -5602,5602,0,00000003e4aa7cf2,1c,00000003e4aa7cf1,00 -5603,5603,0,00000003e4aa7cf3,1c,00000003e4aa7cf2,00 -5604,5604,0,00000003e4aa7cf4,1c,00000003e4aa7cf3,00 -5605,5605,0,00000003e4aa7cf5,1c,00000003e4aa7cf4,00 -5606,5606,0,00000003e4aa7cf6,1c,00000003e4aa7cf5,00 -5607,5607,0,00000003e4aa7cf7,1c,00000003e4aa7cf6,00 -5608,5608,0,00000003e4aa7cf8,1c,00000003e4aa7cf7,00 -5609,5609,0,00000003e4aa7cf9,1c,00000003e4aa7cf8,00 -5610,5610,0,00000003e4aa7cfa,1c,00000003e4aa7cf9,00 -5611,5611,0,00000003e4aa7cfb,1c,00000003e4aa7cfa,00 -5612,5612,0,00000003e4aa7cfc,1c,00000003e4aa7cfb,00 -5613,5613,0,00000003e4aa7cfd,1c,00000003e4aa7cfc,00 -5614,5614,0,00000003e4aa7cfe,1c,00000003e4aa7cfd,00 -5615,5615,0,00000003e4aa7cff,1c,00000003e4aa7cfe,00 -5616,5616,0,00000003e4aa7d00,1e,00000003e4aa7cff,00 -5617,5617,0,00000003e4aa7d01,1d,00000003e4aa7d00,00 -5618,5618,0,00000003e4aa7d02,1c,00000003e4aa7d01,10 -5619,5619,0,00000003e4aa7d03,1c,00000003e4aa7d02,00 -5620,5620,0,00000003e4aa7d04,1c,00000003e4aa7d03,00 -5621,5621,0,00000003e4aa7d05,1c,00000003e4aa7d04,00 -5622,5622,0,00000003e4aa7d06,1c,00000003e4aa7d05,00 -5623,5623,0,00000003e4aa7d07,1c,00000003e4aa7d06,00 -5624,5624,0,00000003e4aa7d08,1c,00000003e4aa7d07,00 -5625,5625,0,00000003e4aa7d09,1c,00000003e4aa7d08,00 -5626,5626,0,00000003e4aa7d0a,1c,00000003e4aa7d09,00 -5627,5627,0,00000003e4aa7d0b,1c,00000003e4aa7d0a,00 -5628,5628,0,00000003e4aa7d0c,1c,00000003e4aa7d0b,00 -5629,5629,0,00000003e4aa7d0d,1c,00000003e4aa7d0c,00 -5630,5630,0,00000003e4aa7d0e,1c,00000003e4aa7d0d,00 -5631,5631,0,00000003e4aa7d0f,1c,00000003e4aa7d0e,00 -5632,5632,0,00000003e4aa7d10,1e,00000003e4aa7d0f,00 -5633,5633,0,00000003e4aa7d11,1d,00000003e4aa7d10,0f -5634,5634,0,00000003e4aa7d12,1c,00000003e4aa7d11,10 -5635,5635,0,00000003e4aa7d13,1c,00000003e4aa7d12,00 -5636,5636,0,00000003e4aa7d14,1c,00000003e4aa7d13,00 -5637,5637,0,00000003e4aa7d15,1c,00000003e4aa7d14,00 -5638,5638,0,00000003e4aa7d16,1c,00000003e4aa7d15,00 -5639,5639,0,00000003e4aa7d17,1c,00000003e4aa7d16,00 -5640,5640,0,00000003e4aa7d18,1c,00000003e4aa7d17,00 -5641,5641,0,00000003e4aa7d19,1c,00000003e4aa7d18,00 -5642,5642,0,00000003e4aa7d1a,1c,00000003e4aa7d19,00 -5643,5643,0,00000003e4aa7d1b,1c,00000003e4aa7d1a,00 -5644,5644,0,00000003e4aa7d1c,1c,00000003e4aa7d1b,00 -5645,5645,0,00000003e4aa7d1d,1c,00000003e4aa7d1c,00 -5646,5646,0,00000003e4aa7d1e,1c,00000003e4aa7d1d,00 -5647,5647,0,00000003e4aa7d1f,1c,00000003e4aa7d1e,00 -5648,5648,0,00000003e4aa7d20,1e,00000003e4aa7d1f,00 -5649,5649,0,00000003e4aa7d21,1d,00000003e4aa7d20,00 -5650,5650,0,00000003e4aa7d22,1c,00000003e4aa7d21,10 -5651,5651,0,00000003e4aa7d23,1c,00000003e4aa7d22,00 -5652,5652,0,00000003e4aa7d24,1c,00000003e4aa7d23,00 -5653,5653,0,00000003e4aa7d25,1c,00000003e4aa7d24,00 -5654,5654,0,00000003e4aa7d26,1c,00000003e4aa7d25,00 -5655,5655,0,00000003e4aa7d27,1c,00000003e4aa7d26,00 -5656,5656,0,00000003e4aa7d28,1c,00000003e4aa7d27,00 -5657,5657,0,00000003e4aa7d29,1c,00000003e4aa7d28,00 -5658,5658,0,00000003e4aa7d2a,1c,00000003e4aa7d29,00 -5659,5659,0,00000003e4aa7d2b,1c,00000003e4aa7d2a,00 -5660,5660,0,00000003e4aa7d2c,1c,00000003e4aa7d2b,00 -5661,5661,0,00000003e4aa7d2d,1c,00000003e4aa7d2c,00 -5662,5662,0,00000003e4aa7d2e,1c,00000003e4aa7d2d,00 -5663,5663,0,00000003e4aa7d2f,1c,00000003e4aa7d2e,00 -5664,5664,0,00000003e4aa7d30,1e,00000003e4aa7d2f,00 -5665,5665,0,00000003e4aa7d31,1d,00000003e4aa7d30,0f -5666,5666,0,00000003e4aa7d32,1c,00000003e4aa7d31,10 -5667,5667,0,00000003e4aa7d33,1c,00000003e4aa7d32,00 -5668,5668,0,00000003e4aa7d34,1c,00000003e4aa7d33,00 -5669,5669,0,00000003e4aa7d35,1c,00000003e4aa7d34,00 -5670,5670,0,00000003e4aa7d36,1c,00000003e4aa7d35,00 -5671,5671,0,00000003e4aa7d37,1c,00000003e4aa7d36,00 -5672,5672,0,00000003e4aa7d38,1c,00000003e4aa7d37,00 -5673,5673,0,00000003e4aa7d39,1c,00000003e4aa7d38,00 -5674,5674,0,00000003e4aa7d3a,1c,00000003e4aa7d39,00 -5675,5675,0,00000003e4aa7d3b,1c,00000003e4aa7d3a,00 -5676,5676,0,00000003e4aa7d3c,1c,00000003e4aa7d3b,00 -5677,5677,0,00000003e4aa7d3d,1c,00000003e4aa7d3c,00 -5678,5678,0,00000003e4aa7d3e,1c,00000003e4aa7d3d,00 -5679,5679,0,00000003e4aa7d3f,1c,00000003e4aa7d3e,00 -5680,5680,0,00000003e4aa7d40,1e,00000003e4aa7d3f,00 -5681,5681,0,00000003e4aa7d41,1d,00000003e4aa7d40,0f -5682,5682,0,00000003e4aa7d42,1c,00000003e4aa7d41,10 -5683,5683,0,00000003e4aa7d43,1c,00000003e4aa7d41,00 -5684,5684,0,00000003e4aa7d44,1c,00000003e4aa7d42,00 -5685,5685,0,00000003e4aa7d45,1c,00000003e4aa7d43,00 -5686,5686,0,00000003e4aa7d46,1c,00000003e4aa7d44,00 -5687,5687,0,00000003e4aa7d47,1c,00000003e4aa7d45,00 -5688,5688,0,00000003e4aa7d48,1c,00000003e4aa7d46,00 -5689,5689,0,00000003e4aa7d49,1c,00000003e4aa7d47,00 -5690,5690,0,00000003e4aa7d4a,1c,00000003e4aa7d48,00 -5691,5691,0,00000003e4aa7d4b,1c,00000003e4aa7d49,00 -5692,5692,0,00000003e4aa7d4c,1c,00000003e4aa7d4a,00 -5693,5693,0,00000003e4aa7d4d,1c,00000003e4aa7d4b,00 -5694,5694,0,00000003e4aa7d4e,1c,00000003e4aa7d4c,00 -5695,5695,0,00000003e4aa7d4f,1c,00000003e4aa7d4d,00 -5696,5696,0,00000003e4aa7d50,1e,00000003e4aa7d4e,00 -5697,5697,0,00000003e4aa7d51,1d,00000003e4aa7d4f,00 -5698,5698,0,00000003e4aa7d52,1c,00000003e4aa7d50,0f -5699,5699,0,00000003e4aa7d53,1c,00000003e4aa7d51,10 -5700,5700,0,00000003e4aa7d54,1c,00000003e4aa7d52,00 -5701,5701,0,00000003e4aa7d55,1c,00000003e4aa7d53,00 -5702,5702,0,00000003e4aa7d56,1c,00000003e4aa7d54,00 -5703,5703,0,00000003e4aa7d57,1c,00000003e4aa7d55,00 -5704,5704,0,00000003e4aa7d58,1c,00000003e4aa7d56,00 -5705,5705,0,00000003e4aa7d59,1c,00000003e4aa7d57,00 -5706,5706,0,00000003e4aa7d5a,1c,00000003e4aa7d58,00 -5707,5707,0,00000003e4aa7d5b,1c,00000003e4aa7d59,00 -5708,5708,0,00000003e4aa7d5c,1c,00000003e4aa7d5a,00 -5709,5709,0,00000003e4aa7d5d,1c,00000003e4aa7d5b,00 -5710,5710,0,00000003e4aa7d5e,1c,00000003e4aa7d5c,00 -5711,5711,0,00000003e4aa7d5f,1c,00000003e4aa7d5d,00 -5712,5712,0,00000003e4aa7d60,1e,00000003e4aa7d5e,00 -5713,5713,0,00000003e4aa7d61,1d,00000003e4aa7d5f,00 -5714,5714,0,00000003e4aa7d62,1c,00000003e4aa7d60,0f -5715,5715,0,00000003e4aa7d63,1c,00000003e4aa7d61,10 -5716,5716,0,00000003e4aa7d64,1c,00000003e4aa7d62,00 -5717,5717,0,00000003e4aa7d65,1c,00000003e4aa7d63,00 -5718,5718,0,00000003e4aa7d66,1c,00000003e4aa7d64,00 -5719,5719,0,00000003e4aa7d67,1c,00000003e4aa7d65,00 -5720,5720,0,00000003e4aa7d68,1c,00000003e4aa7d66,00 -5721,5721,0,00000003e4aa7d69,1c,00000003e4aa7d67,00 -5722,5722,0,00000003e4aa7d6a,1c,00000003e4aa7d68,00 -5723,5723,0,00000003e4aa7d6b,1c,00000003e4aa7d69,00 -5724,5724,0,00000003e4aa7d6c,1c,00000003e4aa7d6a,00 -5725,5725,0,00000003e4aa7d6d,1c,00000003e4aa7d6b,00 -5726,5726,0,00000003e4aa7d6e,1c,00000003e4aa7d6c,00 -5727,5727,0,00000003e4aa7d6f,1c,00000003e4aa7d6d,00 -5728,5728,0,00000003e4aa7d70,1e,00000003e4aa7d6e,00 -5729,5729,0,00000003e4aa7d71,1d,00000003e4aa7d6f,00 -5730,5730,0,00000003e4aa7d72,1c,00000003e4aa7d70,0f -5731,5731,0,00000003e4aa7d73,1c,00000003e4aa7d71,10 -5732,5732,0,00000003e4aa7d74,1c,00000003e4aa7d72,00 -5733,5733,0,00000003e4aa7d75,1c,00000003e4aa7d73,00 -5734,5734,0,00000003e4aa7d76,1c,00000003e4aa7d74,00 -5735,5735,0,00000003e4aa7d77,1c,00000003e4aa7d75,00 -5736,5736,0,00000003e4aa7d78,1c,00000003e4aa7d76,00 -5737,5737,0,00000003e4aa7d79,1c,00000003e4aa7d77,00 -5738,5738,0,00000003e4aa7d7a,1c,00000003e4aa7d78,00 -5739,5739,0,00000003e4aa7d7b,1c,00000003e4aa7d79,00 -5740,5740,0,00000003e4aa7d7c,1c,00000003e4aa7d7a,00 -5741,5741,0,00000003e4aa7d7d,1c,00000003e4aa7d7b,00 -5742,5742,0,00000003e4aa7d7e,1c,00000003e4aa7d7c,00 -5743,5743,0,00000003e4aa7d7f,1c,00000003e4aa7d7d,00 -5744,5744,0,00000003e4aa7d80,1e,00000003e4aa7d7e,00 -5745,5745,0,00000003e4aa7d81,1d,00000003e4aa7d7f,00 -5746,5746,0,00000003e4aa7d82,1c,00000003e4aa7d80,0f -5747,5747,0,00000003e4aa7d83,1c,00000003e4aa7d81,10 -5748,5748,0,00000003e4aa7d84,1c,00000003e4aa7d82,00 -5749,5749,0,00000003e4aa7d85,1c,00000003e4aa7d83,00 -5750,5750,0,00000003e4aa7d86,1c,00000003e4aa7d84,00 -5751,5751,0,00000003e4aa7d87,1c,00000003e4aa7d85,00 -5752,5752,0,00000003e4aa7d88,1c,00000003e4aa7d86,00 -5753,5753,0,00000003e4aa7d89,1c,00000003e4aa7d87,00 -5754,5754,0,00000003e4aa7d8a,1c,00000003e4aa7d88,00 -5755,5755,0,00000003e4aa7d8b,1c,00000003e4aa7d89,00 -5756,5756,0,00000003e4aa7d8c,1c,00000003e4aa7d8a,00 -5757,5757,0,00000003e4aa7d8d,1c,00000003e4aa7d8b,00 -5758,5758,0,00000003e4aa7d8e,1c,00000003e4aa7d8c,00 -5759,5759,0,00000003e4aa7d8f,1c,00000003e4aa7d8d,00 -5760,5760,0,00000003e4aa7d90,1e,00000003e4aa7d8e,00 -5761,5761,0,00000003e4aa7d91,1d,00000003e4aa7d8f,00 -5762,5762,0,00000003e4aa7d92,1c,00000003e4aa7d90,0f -5763,5763,0,00000003e4aa7d93,1c,00000003e4aa7d91,10 -5764,5764,0,00000003e4aa7d94,1c,00000003e4aa7d92,00 -5765,5765,0,00000003e4aa7d95,1c,00000003e4aa7d93,00 -5766,5766,0,00000003e4aa7d96,1c,00000003e4aa7d94,00 -5767,5767,0,00000003e4aa7d97,1c,00000003e4aa7d95,00 -5768,5768,0,00000003e4aa7d98,1c,00000003e4aa7d96,00 -5769,5769,0,00000003e4aa7d99,1c,00000003e4aa7d97,00 -5770,5770,0,00000003e4aa7d9a,1c,00000003e4aa7d98,00 -5771,5771,0,00000003e4aa7d9b,1c,00000003e4aa7d99,00 -5772,5772,0,00000003e4aa7d9c,1c,00000003e4aa7d9a,00 -5773,5773,0,00000003e4aa7d9d,1c,00000003e4aa7d9b,00 -5774,5774,0,00000003e4aa7d9e,1c,00000003e4aa7d9c,00 -5775,5775,0,00000003e4aa7d9f,1c,00000003e4aa7d9d,00 -5776,5776,0,00000003e4aa7da0,1e,00000003e4aa7d9e,00 -5777,5777,0,00000003e4aa7da1,1d,00000003e4aa7d9f,00 -5778,5778,0,00000003e4aa7da2,1c,00000003e4aa7da0,0f -5779,5779,0,00000003e4aa7da3,1c,00000003e4aa7da1,10 -5780,5780,0,00000003e4aa7da4,1c,00000003e4aa7da2,00 -5781,5781,0,00000003e4aa7da5,1c,00000003e4aa7da3,00 -5782,5782,0,00000003e4aa7da6,1c,00000003e4aa7da4,00 -5783,5783,0,00000003e4aa7da7,1c,00000003e4aa7da5,00 -5784,5784,0,00000003e4aa7da8,1c,00000003e4aa7da6,00 -5785,5785,0,00000003e4aa7da9,1c,00000003e4aa7da7,00 -5786,5786,0,00000003e4aa7daa,1c,00000003e4aa7da8,00 -5787,5787,0,00000003e4aa7dab,1c,00000003e4aa7da9,00 -5788,5788,0,00000003e4aa7dac,1c,00000003e4aa7daa,00 -5789,5789,0,00000003e4aa7dad,1c,00000003e4aa7dab,00 -5790,5790,0,00000003e4aa7dae,1c,00000003e4aa7dac,00 -5791,5791,0,00000003e4aa7daf,1c,00000003e4aa7dad,00 -5792,5792,0,00000003e4aa7db0,1e,00000003e4aa7dae,00 -5793,5793,0,00000003e4aa7db1,1d,00000003e4aa7daf,00 -5794,5794,0,00000003e4aa7db2,1c,00000003e4aa7db0,0f -5795,5795,0,00000003e4aa7db3,1c,00000003e4aa7db1,10 -5796,5796,0,00000003e4aa7db4,1c,00000003e4aa7db2,00 -5797,5797,0,00000003e4aa7db5,1c,00000003e4aa7db3,00 -5798,5798,0,00000003e4aa7db6,1c,00000003e4aa7db4,00 -5799,5799,0,00000003e4aa7db7,1c,00000003e4aa7db5,00 -5800,5800,0,00000003e4aa7db8,1c,00000003e4aa7db6,00 -5801,5801,0,00000003e4aa7db9,1c,00000003e4aa7db7,00 -5802,5802,0,00000003e4aa7dba,1c,00000003e4aa7db8,00 -5803,5803,0,00000003e4aa7dbb,1c,00000003e4aa7db9,00 -5804,5804,0,00000003e4aa7dbc,1c,00000003e4aa7dba,00 -5805,5805,0,00000003e4aa7dbd,1c,00000003e4aa7dbb,00 -5806,5806,0,00000003e4aa7dbe,1c,00000003e4aa7dbc,00 -5807,5807,0,00000003e4aa7dbf,1c,00000003e4aa7dbd,00 -5808,5808,0,00000003e4aa7dc0,1e,00000003e4aa7dbe,00 -5809,5809,0,00000003e4aa7dc1,1d,00000003e4aa7dbf,00 -5810,5810,0,00000003e4aa7dc2,1c,00000003e4aa7dc0,0f -5811,5811,0,00000003e4aa7dc3,1c,00000003e4aa7dc0,0f -5812,5812,0,00000003e4aa7dc4,1c,00000003e4aa7dc0,0f -5813,5813,0,00000003e4aa7dc5,1c,00000003e4aa7dc0,0f -5814,5814,0,00000003e4aa7dc6,1c,00000003e4aa7dc0,0f -5815,5815,0,00000003e4aa7dc7,1c,00000003e4aa7dc0,0f -5816,5816,0,00000003e4aa7dc8,1c,00000003e4aa7dc0,0f -5817,5817,0,00000003e4aa7dc9,1c,00000003e4aa7dc0,0f -5818,5818,0,00000003e4aa7dca,1c,00000003e4aa7dc0,0f -5819,5819,0,00000003e4aa7dcb,1c,00000003e4aa7dc0,0f -5820,5820,0,00000003e4aa7dcc,1c,00000003e4aa7dc0,0f -5821,5821,0,00000003e4aa7dcd,1c,00000003e4aa7dc0,0f -5822,5822,0,00000003e4aa7dce,1c,00000003e4aa7dc0,0f -5823,5823,0,00000003e4aa7dcf,1c,00000003e4aa7dc0,0f -5824,5824,0,00000003e4aa7dd0,1e,00000003e4aa7dd1,10 -5825,5825,0,00000003e4aa7dd1,1d,00000003e4aa7dd2,00 -5826,5826,0,00000003e4aa7dd2,1c,00000003e4aa7dd3,00 -5827,5827,0,00000003e4aa7dd3,1c,00000003e4aa7dd4,00 -5828,5828,0,00000003e4aa7dd4,1c,00000003e4aa7dd5,00 -5829,5829,0,00000003e4aa7dd5,1c,00000003e4aa7dd6,00 -5830,5830,0,00000003e4aa7dd6,1c,00000003e4aa7dd7,00 -5831,5831,0,00000003e4aa7dd7,1c,00000003e4aa7dd8,00 -5832,5832,0,00000003e4aa7dd8,1c,00000003e4aa7dd9,00 -5833,5833,0,00000003e4aa7dd9,1c,00000003e4aa7dda,00 -5834,5834,0,00000003e4aa7dda,1c,00000003e4aa7ddb,00 -5835,5835,0,00000003e4aa7ddb,1c,00000003e4aa7ddc,00 -5836,5836,0,00000003e4aa7ddc,1c,00000003e4aa7ddd,00 -5837,5837,0,00000003e4aa7ddd,1c,00000003e4aa7dde,00 -5838,5838,0,00000003e4aa7dde,1c,00000003e4aa7ddf,00 -5839,5839,0,00000003e4aa7ddf,1c,00000003e4aa7de0,0f -5840,5840,0,00000003e4aa7de0,1e,00000003e4aa7de0,0f -5841,5841,0,00000003e4aa7de1,1d,00000003e4aa7de1,10 -5842,5842,0,00000003e4aa7de2,1c,00000003e4aa7de2,00 -5843,5843,0,00000003e4aa7de3,1c,00000003e4aa7de3,00 -5844,5844,0,00000003e4aa7de4,1c,00000003e4aa7de4,00 -5845,5845,0,00000003e4aa7de5,1c,00000003e4aa7de5,00 -5846,5846,0,00000003e4aa7de6,1c,00000003e4aa7de6,00 -5847,5847,0,00000003e4aa7de7,1c,00000003e4aa7de7,00 -5848,5848,0,00000003e4aa7de8,1c,00000003e4aa7de8,00 -5849,5849,0,00000003e4aa7de9,1c,00000003e4aa7de9,00 -5850,5850,0,00000003e4aa7dea,1c,00000003e4aa7dea,00 -5851,5851,0,00000003e4aa7deb,1c,00000003e4aa7deb,00 -5852,5852,0,00000003e4aa7dec,1c,00000003e4aa7dec,00 -5853,5853,0,00000003e4aa7ded,1c,00000003e4aa7ded,00 -5854,5854,0,00000003e4aa7dee,1c,00000003e4aa7dee,00 -5855,5855,0,00000003e4aa7def,1c,00000003e4aa7def,00 -5856,5856,0,00000003e4aa7df0,1e,00000003e4aa7df0,0f -5857,5857,0,00000003e4aa7df1,1d,00000003e4aa7df1,10 -5858,5858,0,00000003e4aa7df2,1c,00000003e4aa7df2,00 -5859,5859,0,00000003e4aa7df3,1c,00000003e4aa7df3,00 -5860,5860,0,00000003e4aa7df4,1c,00000003e4aa7df4,00 -5861,5861,0,00000003e4aa7df5,1c,00000003e4aa7df5,00 -5862,5862,0,00000003e4aa7df6,1c,00000003e4aa7df6,00 -5863,5863,0,00000003e4aa7df7,1c,00000003e4aa7df7,00 -5864,5864,0,00000003e4aa7df8,1c,00000003e4aa7df8,00 -5865,5865,0,00000003e4aa7df9,1c,00000003e4aa7df9,00 -5866,5866,0,00000003e4aa7dfa,1c,00000003e4aa7dfa,00 -5867,5867,0,00000003e4aa7dfb,1c,00000003e4aa7dfb,00 -5868,5868,0,00000003e4aa7dfc,1c,00000003e4aa7dfc,00 -5869,5869,0,00000003e4aa7dfd,1c,00000003e4aa7dfd,00 -5870,5870,0,00000003e4aa7dfe,1c,00000003e4aa7dfe,00 -5871,5871,0,00000003e4aa7dff,1c,00000003e4aa7dff,00 -5872,5872,0,00000003e4aa7e00,1e,00000003e4aa7e00,00 -5873,5873,0,00000003e4aa7e01,1d,00000003e4aa7e01,10 -5874,5874,0,00000003e4aa7e02,1c,00000003e4aa7e02,00 -5875,5875,0,00000003e4aa7e03,1c,00000003e4aa7e03,00 -5876,5876,0,00000003e4aa7e04,1c,00000003e4aa7e04,00 -5877,5877,0,00000003e4aa7e05,1c,00000003e4aa7e05,00 -5878,5878,0,00000003e4aa7e06,1c,00000003e4aa7e06,00 -5879,5879,0,00000003e4aa7e07,1c,00000003e4aa7e07,00 -5880,5880,0,00000003e4aa7e08,1c,00000003e4aa7e08,00 -5881,5881,0,00000003e4aa7e09,1c,00000003e4aa7e09,00 -5882,5882,0,00000003e4aa7e0a,1c,00000003e4aa7e0a,00 -5883,5883,0,00000003e4aa7e0b,1c,00000003e4aa7e0b,00 -5884,5884,0,00000003e4aa7e0c,1c,00000003e4aa7e0c,00 -5885,5885,0,00000003e4aa7e0d,1c,00000003e4aa7e0d,00 -5886,5886,0,00000003e4aa7e0e,1c,00000003e4aa7e0e,00 -5887,5887,0,00000003e4aa7e0f,1c,00000003e4aa7e0f,00 -5888,5888,0,00000003e4aa7e10,1e,00000003e4aa7e10,00 -5889,5889,0,00000003e4aa7e11,1d,00000003e4aa7e11,00 -5890,5890,0,00000003e4aa7e12,1c,00000003e4aa7e12,00 -5891,5891,0,00000003e4aa7e13,1c,00000003e4aa7e13,00 -5892,5892,0,00000003e4aa7e14,1c,00000003e4aa7e14,00 -5893,5893,0,00000003e4aa7e15,1c,00000003e4aa7e15,00 -5894,5894,0,00000003e4aa7e16,1c,00000003e4aa7e16,00 -5895,5895,0,00000003e4aa7e17,1c,00000003e4aa7e17,00 -5896,5896,0,00000003e4aa7e18,1c,00000003e4aa7e18,00 -5897,5897,0,00000003e4aa7e19,1c,00000003e4aa7e19,00 -5898,5898,0,00000003e4aa7e1a,1c,00000003e4aa7e1a,00 -5899,5899,0,00000003e4aa7e1b,1c,00000003e4aa7e1b,00 -5900,5900,0,00000003e4aa7e1c,1c,00000003e4aa7e1c,00 -5901,5901,0,00000003e4aa7e1d,1c,00000003e4aa7e1d,00 -5902,5902,0,00000003e4aa7e1e,1c,00000003e4aa7e1e,00 -5903,5903,0,00000003e4aa7e1f,1c,00000003e4aa7e1f,00 -5904,5904,0,00000003e4aa7e20,1e,00000003e4aa7e20,0f -5905,5905,0,00000003e4aa7e21,1d,00000003e4aa7e21,10 -5906,5906,0,00000003e4aa7e22,1c,00000003e4aa7e22,00 -5907,5907,0,00000003e4aa7e23,1c,00000003e4aa7e23,00 -5908,5908,0,00000003e4aa7e24,1c,00000003e4aa7e24,00 -5909,5909,0,00000003e4aa7e25,1c,00000003e4aa7e25,00 -5910,5910,0,00000003e4aa7e26,1c,00000003e4aa7e26,00 -5911,5911,0,00000003e4aa7e27,1c,00000003e4aa7e27,00 -5912,5912,0,00000003e4aa7e28,1c,00000003e4aa7e28,00 -5913,5913,0,00000003e4aa7e29,1c,00000003e4aa7e29,00 -5914,5914,0,00000003e4aa7e2a,1c,00000003e4aa7e2a,00 -5915,5915,0,00000003e4aa7e2b,1c,00000003e4aa7e2b,00 -5916,5916,0,00000003e4aa7e2c,1c,00000003e4aa7e2c,00 -5917,5917,0,00000003e4aa7e2d,1c,00000003e4aa7e2d,00 -5918,5918,0,00000003e4aa7e2e,1c,00000003e4aa7e2e,00 -5919,5919,0,00000003e4aa7e2f,1c,00000003e4aa7e2f,00 -5920,5920,0,00000003e4aa7e30,1e,00000003e4aa7e30,0f -5921,5921,0,00000003e4aa7e31,1d,00000003e4aa7e31,10 -5922,5922,0,00000003e4aa7e32,1c,00000003e4aa7e32,00 -5923,5923,0,00000003e4aa7e33,1c,00000003e4aa7e33,00 -5924,5924,0,00000003e4aa7e34,1c,00000003e4aa7e34,00 -5925,5925,0,00000003e4aa7e35,1c,00000003e4aa7e35,00 -5926,5926,0,00000003e4aa7e36,1c,00000003e4aa7e36,00 -5927,5927,0,00000003e4aa7e37,1c,00000003e4aa7e37,00 -5928,5928,0,00000003e4aa7e38,1c,00000003e4aa7e38,00 -5929,5929,0,00000003e4aa7e39,1c,00000003e4aa7e39,00 -5930,5930,0,00000003e4aa7e3a,1c,00000003e4aa7e3a,00 -5931,5931,0,00000003e4aa7e3b,1c,00000003e4aa7e3b,00 -5932,5932,0,00000003e4aa7e3c,1c,00000003e4aa7e3c,00 -5933,5933,0,00000003e4aa7e3d,1c,00000003e4aa7e3d,00 -5934,5934,0,00000003e4aa7e3e,1c,00000003e4aa7e3e,00 -5935,5935,0,00000003e4aa7e3f,1c,00000003e4aa7e3f,00 -5936,5936,0,00000003e4aa7e40,1e,00000003e4aa7e40,0f -5937,5937,0,00000003e4aa7e41,1d,00000003e4aa7e41,10 -5938,5938,0,00000003e4aa7e42,1c,00000003e4aa7e42,00 -5939,5939,0,00000003e4aa7e43,1c,00000003e4aa7e43,00 -5940,5940,0,00000003e4aa7e44,1c,00000003e4aa7e44,00 -5941,5941,0,00000003e4aa7e45,1c,00000003e4aa7e45,00 -5942,5942,0,00000003e4aa7e46,1c,00000003e4aa7e46,00 -5943,5943,0,00000003e4aa7e47,1c,00000003e4aa7e47,00 -5944,5944,0,00000003e4aa7e48,1c,00000003e4aa7e48,00 -5945,5945,0,00000003e4aa7e49,1c,00000003e4aa7e49,00 -5946,5946,0,00000003e4aa7e4a,1c,00000003e4aa7e4a,00 -5947,5947,0,00000003e4aa7e4b,1c,00000003e4aa7e4b,00 -5948,5948,0,00000003e4aa7e4c,1c,00000003e4aa7e4c,00 -5949,5949,0,00000003e4aa7e4d,1c,00000003e4aa7e4d,00 -5950,5950,0,00000003e4aa7e4e,1c,00000003e4aa7e4e,00 -5951,5951,0,00000003e4aa7e4f,1c,00000003e4aa7e4f,00 -5952,5952,0,00000003e4aa7e50,1e,00000003e4aa7e50,00 -5953,5953,0,00000003e4aa7e51,1d,00000003e4aa7e51,10 -5954,5954,0,00000003e4aa7e52,1c,00000003e4aa7e52,00 -5955,5955,0,00000003e4aa7e53,1c,00000003e4aa7e53,00 -5956,5956,0,00000003e4aa7e54,1c,00000003e4aa7e54,00 -5957,5957,0,00000003e4aa7e55,1c,00000003e4aa7e55,00 -5958,5958,0,00000003e4aa7e56,1c,00000003e4aa7e56,00 -5959,5959,0,00000003e4aa7e57,1c,00000003e4aa7e57,00 -5960,5960,0,00000003e4aa7e58,1c,00000003e4aa7e58,00 -5961,5961,0,00000003e4aa7e59,1c,00000003e4aa7e59,00 -5962,5962,0,00000003e4aa7e5a,1c,00000003e4aa7e5a,00 -5963,5963,0,00000003e4aa7e5b,1c,00000003e4aa7e5b,00 -5964,5964,0,00000003e4aa7e5c,1c,00000003e4aa7e5c,00 -5965,5965,0,00000003e4aa7e5d,1c,00000003e4aa7e5d,00 -5966,5966,0,00000003e4aa7e5e,1c,00000003e4aa7e5e,00 -5967,5967,0,00000003e4aa7e5f,1c,00000003e4aa7e5f,00 -5968,5968,0,00000003e4aa7e60,1e,00000003e4aa7e60,00 -5969,5969,0,00000003e4aa7e61,1d,00000003e4aa7e61,00 -5970,5970,0,00000003e4aa7e62,1c,00000003e4aa7e62,00 -5971,5971,0,00000003e4aa7e63,1c,00000003e4aa7e63,00 -5972,5972,0,00000003e4aa7e64,1c,00000003e4aa7e64,00 -5973,5973,0,00000003e4aa7e65,1c,00000003e4aa7e65,00 -5974,5974,0,00000003e4aa7e66,1c,00000003e4aa7e66,00 -5975,5975,0,00000003e4aa7e67,1c,00000003e4aa7e67,00 -5976,5976,0,00000003e4aa7e68,1c,00000003e4aa7e68,00 -5977,5977,0,00000003e4aa7e69,1c,00000003e4aa7e69,00 -5978,5978,0,00000003e4aa7e6a,1c,00000003e4aa7e6a,00 -5979,5979,0,00000003e4aa7e6b,1c,00000003e4aa7e6b,00 -5980,5980,0,00000003e4aa7e6c,1c,00000003e4aa7e6c,00 -5981,5981,0,00000003e4aa7e6d,1c,00000003e4aa7e6d,00 -5982,5982,0,00000003e4aa7e6e,1c,00000003e4aa7e6e,00 -5983,5983,0,00000003e4aa7e6f,1c,00000003e4aa7e6f,00 -5984,5984,0,00000003e4aa7e70,1e,00000003e4aa7e70,0f -5985,5985,0,00000003e4aa7e71,1d,00000003e4aa7e71,10 -5986,5986,0,00000003e4aa7e72,1c,00000003e4aa7e72,00 -5987,5987,0,00000003e4aa7e73,1c,00000003e4aa7e73,00 -5988,5988,0,00000003e4aa7e74,1c,00000003e4aa7e74,00 -5989,5989,0,00000003e4aa7e75,1c,00000003e4aa7e75,00 -5990,5990,0,00000003e4aa7e76,1c,00000003e4aa7e76,00 -5991,5991,0,00000003e4aa7e77,1c,00000003e4aa7e77,00 -5992,5992,0,00000003e4aa7e78,1c,00000003e4aa7e78,00 -5993,5993,0,00000003e4aa7e79,1c,00000003e4aa7e79,00 -5994,5994,0,00000003e4aa7e7a,1c,00000003e4aa7e7a,00 -5995,5995,0,00000003e4aa7e7b,1c,00000003e4aa7e7b,00 -5996,5996,0,00000003e4aa7e7c,1c,00000003e4aa7e7c,00 -5997,5997,0,00000003e4aa7e7d,1c,00000003e4aa7e7d,00 -5998,5998,0,00000003e4aa7e7e,1c,00000003e4aa7e7e,00 -5999,5999,0,00000003e4aa7e7f,1c,00000003e4aa7e7f,00 -6000,6000,0,00000003e4aa7e80,1e,00000003e4aa7e80,0f -6001,6001,0,00000003e4aa7e81,1d,00000003e4aa7e81,10 -6002,6002,0,00000003e4aa7e82,1c,00000003e4aa7e82,00 -6003,6003,0,00000003e4aa7e83,1c,00000003e4aa7e83,00 -6004,6004,0,00000003e4aa7e84,1c,00000003e4aa7e84,00 -6005,6005,0,00000003e4aa7e85,1c,00000003e4aa7e85,00 -6006,6006,0,00000003e4aa7e86,1c,00000003e4aa7e86,00 -6007,6007,0,00000003e4aa7e87,1c,00000003e4aa7e87,00 -6008,6008,0,00000003e4aa7e88,1c,00000003e4aa7e88,00 -6009,6009,0,00000003e4aa7e89,1c,00000003e4aa7e89,00 -6010,6010,0,00000003e4aa7e8a,1c,00000003e4aa7e8a,00 -6011,6011,0,00000003e4aa7e8b,1c,00000003e4aa7e8b,00 -6012,6012,0,00000003e4aa7e8c,1c,00000003e4aa7e8c,00 -6013,6013,0,00000003e4aa7e8d,1c,00000003e4aa7e8d,00 -6014,6014,0,00000003e4aa7e8e,1c,00000003e4aa7e8e,00 -6015,6015,0,00000003e4aa7e8f,1c,00000003e4aa7e8f,00 -6016,6016,0,00000003e4aa7e90,1e,00000003e4aa7e90,0f -6017,6017,0,00000003e4aa7e91,1d,00000003e4aa7e91,10 -6018,6018,0,00000003e4aa7e92,1c,00000003e4aa7e92,00 -6019,6019,0,00000003e4aa7e93,1c,00000003e4aa7e93,00 -6020,6020,0,00000003e4aa7e94,1c,00000003e4aa7e94,00 -6021,6021,0,00000003e4aa7e95,1c,00000003e4aa7e95,00 -6022,6022,0,00000003e4aa7e96,1c,00000003e4aa7e96,00 -6023,6023,0,00000003e4aa7e97,1c,00000003e4aa7e97,00 -6024,6024,0,00000003e4aa7e98,1c,00000003e4aa7e98,00 -6025,6025,0,00000003e4aa7e99,1c,00000003e4aa7e99,00 -6026,6026,0,00000003e4aa7e9a,1c,00000003e4aa7e9a,00 -6027,6027,0,00000003e4aa7e9b,1c,00000003e4aa7e9b,00 -6028,6028,0,00000003e4aa7e9c,1c,00000003e4aa7e9c,00 -6029,6029,0,00000003e4aa7e9d,1c,00000003e4aa7e9d,00 -6030,6030,0,00000003e4aa7e9e,1c,00000003e4aa7e9e,00 -6031,6031,0,00000003e4aa7e9f,1c,00000003e4aa7e9f,00 -6032,6032,0,00000003e4aa7ea0,1e,00000003e4aa7ea0,0f -6033,6033,0,00000003e4aa7ea1,1d,00000003e4aa7ea1,10 -6034,6034,0,00000003e4aa7ea2,1c,00000003e4aa7ea2,00 -6035,6035,0,00000003e4aa7ea3,1c,00000003e4aa7ea3,00 -6036,6036,0,00000003e4aa7ea4,1c,00000003e4aa7ea4,00 -6037,6037,0,00000003e4aa7ea5,1c,00000003e4aa7ea5,00 -6038,6038,0,00000003e4aa7ea6,1c,00000003e4aa7ea6,00 -6039,6039,0,00000003e4aa7ea7,1c,00000003e4aa7ea7,00 -6040,6040,0,00000003e4aa7ea8,1c,00000003e4aa7ea8,00 -6041,6041,0,00000003e4aa7ea9,1c,00000003e4aa7ea9,00 -6042,6042,0,00000003e4aa7eaa,1c,00000003e4aa7eaa,00 -6043,6043,0,00000003e4aa7eab,1c,00000003e4aa7eab,00 -6044,6044,0,00000003e4aa7eac,1c,00000003e4aa7eac,00 -6045,6045,0,00000003e4aa7ead,1c,00000003e4aa7ead,00 -6046,6046,0,00000003e4aa7eae,1c,00000003e4aa7eae,00 -6047,6047,0,00000003e4aa7eaf,1c,00000003e4aa7eaf,00 -6048,6048,0,00000003e4aa7eb0,1e,00000003e4aa7eb0,0f -6049,6049,0,00000003e4aa7eb1,1d,00000003e4aa7eb1,10 -6050,6050,0,00000003e4aa7eb2,1c,00000003e4aa7eb2,00 -6051,6051,0,00000003e4aa7eb3,1c,00000003e4aa7eb3,00 -6052,6052,0,00000003e4aa7eb4,1c,00000003e4aa7eb4,00 -6053,6053,0,00000003e4aa7eb5,1c,00000003e4aa7eb5,00 -6054,6054,0,00000003e4aa7eb6,1c,00000003e4aa7eb6,00 -6055,6055,0,00000003e4aa7eb7,1c,00000003e4aa7eb7,00 -6056,6056,0,00000003e4aa7eb8,1c,00000003e4aa7eb8,00 -6057,6057,0,00000003e4aa7eb9,1c,00000003e4aa7eb9,00 -6058,6058,0,00000003e4aa7eba,1c,00000003e4aa7eba,00 -6059,6059,0,00000003e4aa7ebb,1c,00000003e4aa7ebb,00 -6060,6060,0,00000003e4aa7ebc,1c,00000003e4aa7ebc,00 -6061,6061,0,00000003e4aa7ebd,1c,00000003e4aa7ebd,00 -6062,6062,0,00000003e4aa7ebe,1c,00000003e4aa7ebe,00 -6063,6063,0,00000003e4aa7ebf,1c,00000003e4aa7ebf,00 -6064,6064,0,00000003e4aa7ec0,1e,00000003e4aa7ec0,00 -6065,6065,0,00000003e4aa7ec1,1d,00000003e4aa7ec1,10 -6066,6066,0,00000003e4aa7ec2,1c,00000003e4aa7ec2,00 -6067,6067,0,00000003e4aa7ec3,1c,00000003e4aa7ec3,00 -6068,6068,0,00000003e4aa7ec4,1c,00000003e4aa7ec4,00 -6069,6069,0,00000003e4aa7ec5,1c,00000003e4aa7ec5,00 -6070,6070,0,00000003e4aa7ec6,1c,00000003e4aa7ec6,00 -6071,6071,0,00000003e4aa7ec7,1c,00000003e4aa7ec7,00 -6072,6072,0,00000003e4aa7ec8,1c,00000003e4aa7ec8,00 -6073,6073,0,00000003e4aa7ec9,1c,00000003e4aa7ec9,00 -6074,6074,0,00000003e4aa7eca,1c,00000003e4aa7eca,00 -6075,6075,0,00000003e4aa7ecb,1c,00000003e4aa7ecb,00 -6076,6076,0,00000003e4aa7ecc,1c,00000003e4aa7ecc,00 -6077,6077,0,00000003e4aa7ecd,1c,00000003e4aa7ecd,00 -6078,6078,0,00000003e4aa7ece,1c,00000003e4aa7ece,00 -6079,6079,0,00000003e4aa7ecf,1c,00000003e4aa7ecf,00 -6080,6080,0,00000003e4aa7ed0,1e,00000003e4aa7ed0,0f -6081,6081,0,00000003e4aa7ed1,1d,00000003e4aa7ed1,10 -6082,6082,0,00000003e4aa7ed2,1c,00000003e4aa7ed2,00 -6083,6083,0,00000003e4aa7ed3,1c,00000003e4aa7ed3,00 -6084,6084,0,00000003e4aa7ed4,1c,00000003e4aa7ed4,00 -6085,6085,0,00000003e4aa7ed5,1c,00000003e4aa7ed5,00 -6086,6086,0,00000003e4aa7ed6,1c,00000003e4aa7ed6,00 -6087,6087,0,00000003e4aa7ed7,1c,00000003e4aa7ed7,00 -6088,6088,0,00000003e4aa7ed8,1c,00000003e4aa7ed8,00 -6089,6089,0,00000003e4aa7ed9,1c,00000003e4aa7ed9,00 -6090,6090,0,00000003e4aa7eda,1c,00000003e4aa7eda,00 -6091,6091,0,00000003e4aa7edb,1c,00000003e4aa7edb,00 -6092,6092,0,00000003e4aa7edc,1c,00000003e4aa7edc,00 -6093,6093,0,00000003e4aa7edd,1c,00000003e4aa7edd,00 -6094,6094,0,00000003e4aa7ede,1c,00000003e4aa7ede,00 -6095,6095,0,00000003e4aa7edf,1c,00000003e4aa7edf,00 -6096,6096,0,00000003e4aa7ee0,1e,00000003e4aa7ee0,00 -6097,6097,0,00000003e4aa7ee1,1d,00000003e4aa7ee1,10 -6098,6098,0,00000003e4aa7ee2,1c,00000003e4aa7ee2,00 -6099,6099,0,00000003e4aa7ee3,1c,00000003e4aa7ee3,00 -6100,6100,0,00000003e4aa7ee4,1c,00000003e4aa7ee4,00 -6101,6101,0,00000003e4aa7ee5,1c,00000003e4aa7ee5,00 -6102,6102,0,00000003e4aa7ee6,1c,00000003e4aa7ee6,00 -6103,6103,0,00000003e4aa7ee7,1c,00000003e4aa7ee7,00 -6104,6104,0,00000003e4aa7ee8,1c,00000003e4aa7ee8,00 -6105,6105,0,00000003e4aa7ee9,1c,00000003e4aa7ee9,00 -6106,6106,0,00000003e4aa7eea,1c,00000003e4aa7eea,00 -6107,6107,0,00000003e4aa7eeb,1c,00000003e4aa7eeb,00 -6108,6108,0,00000003e4aa7eec,1c,00000003e4aa7eec,00 -6109,6109,0,00000003e4aa7eed,1c,00000003e4aa7eed,00 -6110,6110,0,00000003e4aa7eee,1c,00000003e4aa7eee,00 -6111,6111,0,00000003e4aa7eef,1c,00000003e4aa7eef,00 -6112,6112,0,00000003e4aa7ef0,1e,00000003e4aa7ef0,00 -6113,6113,0,00000003e4aa7ef1,1d,00000003e4aa7ef1,10 -6114,6114,0,00000003e4aa7ef2,1c,00000003e4aa7ef2,00 -6115,6115,0,00000003e4aa7ef3,1c,00000003e4aa7ef3,00 -6116,6116,0,00000003e4aa7ef4,1c,00000003e4aa7ef4,00 -6117,6117,0,00000003e4aa7ef5,1c,00000003e4aa7ef5,00 -6118,6118,0,00000003e4aa7ef6,1c,00000003e4aa7ef6,00 -6119,6119,0,00000003e4aa7ef7,1c,00000003e4aa7ef7,00 -6120,6120,0,00000003e4aa7ef8,1c,00000003e4aa7ef8,00 -6121,6121,0,00000003e4aa7ef9,1c,00000003e4aa7ef9,00 -6122,6122,0,00000003e4aa7efa,1c,00000003e4aa7efa,00 -6123,6123,0,00000003e4aa7efb,1c,00000003e4aa7efb,00 -6124,6124,0,00000003e4aa7efc,1c,00000003e4aa7efc,00 -6125,6125,0,00000003e4aa7efd,1c,00000003e4aa7efd,00 -6126,6126,0,00000003e4aa7efe,1c,00000003e4aa7efe,00 -6127,6127,0,00000003e4aa7eff,1c,00000003e4aa7eff,00 -6128,6128,0,00000003e4aa7f00,1e,00000003e4aa7f00,00 -6129,6129,0,00000003e4aa7f01,1d,00000003e4aa7f01,10 -6130,6130,0,00000003e4aa7f02,1c,00000003e4aa7f02,00 -6131,6131,0,00000003e4aa7f03,1c,00000003e4aa7f03,00 -6132,6132,0,00000003e4aa7f04,1c,00000003e4aa7f04,00 -6133,6133,0,00000003e4aa7f05,1c,00000003e4aa7f05,00 -6134,6134,0,00000003e4aa7f06,1c,00000003e4aa7f06,00 -6135,6135,0,00000003e4aa7f07,1c,00000003e4aa7f07,00 -6136,6136,0,00000003e4aa7f08,1c,00000003e4aa7f08,00 -6137,6137,0,00000003e4aa7f09,1c,00000003e4aa7f09,00 -6138,6138,0,00000003e4aa7f0a,1c,00000003e4aa7f0a,00 -6139,6139,0,00000003e4aa7f0b,1c,00000003e4aa7f0b,00 -6140,6140,0,00000003e4aa7f0c,1c,00000003e4aa7f0c,00 -6141,6141,0,00000003e4aa7f0d,1c,00000003e4aa7f0d,00 -6142,6142,0,00000003e4aa7f0e,1c,00000003e4aa7f0e,00 -6143,6143,0,00000003e4aa7f0f,1c,00000003e4aa7f0f,00 -6144,6144,0,00000003e4aa7f10,1e,00000003e4aa7f10,0f -6145,6145,0,00000003e4aa7f11,1d,00000003e4aa7f11,10 -6146,6146,0,00000003e4aa7f12,1c,00000003e4aa7f12,00 -6147,6147,0,00000003e4aa7f13,1c,00000003e4aa7f13,00 -6148,6148,0,00000003e4aa7f14,1c,00000003e4aa7f14,00 -6149,6149,0,00000003e4aa7f15,1c,00000003e4aa7f15,00 -6150,6150,0,00000003e4aa7f16,1c,00000003e4aa7f16,00 -6151,6151,0,00000003e4aa7f17,1c,00000003e4aa7f17,00 -6152,6152,0,00000003e4aa7f18,1c,00000003e4aa7f18,00 -6153,6153,0,00000003e4aa7f19,1c,00000003e4aa7f19,00 -6154,6154,0,00000003e4aa7f1a,1c,00000003e4aa7f1a,00 -6155,6155,0,00000003e4aa7f1b,1c,00000003e4aa7f1b,00 -6156,6156,0,00000003e4aa7f1c,1c,00000003e4aa7f1c,00 -6157,6157,0,00000003e4aa7f1d,1c,00000003e4aa7f1d,00 -6158,6158,0,00000003e4aa7f1e,1c,00000003e4aa7f1e,00 -6159,6159,0,00000003e4aa7f1f,1c,00000003e4aa7f1f,00 -6160,6160,0,00000003e4aa7f20,1e,00000003e4aa7f20,0f -6161,6161,0,00000003e4aa7f21,1d,00000003e4aa7f21,10 -6162,6162,0,00000003e4aa7f22,1c,00000003e4aa7f22,00 -6163,6163,0,00000003e4aa7f23,1c,00000003e4aa7f23,00 -6164,6164,0,00000003e4aa7f24,1c,00000003e4aa7f24,00 -6165,6165,0,00000003e4aa7f25,1c,00000003e4aa7f25,00 -6166,6166,0,00000003e4aa7f26,1c,00000003e4aa7f26,00 -6167,6167,0,00000003e4aa7f27,1c,00000003e4aa7f27,00 -6168,6168,0,00000003e4aa7f28,1c,00000003e4aa7f28,00 -6169,6169,0,00000003e4aa7f29,1c,00000003e4aa7f29,00 -6170,6170,0,00000003e4aa7f2a,1c,00000003e4aa7f2a,00 -6171,6171,0,00000003e4aa7f2b,1c,00000003e4aa7f2b,00 -6172,6172,0,00000003e4aa7f2c,1c,00000003e4aa7f2c,00 -6173,6173,0,00000003e4aa7f2d,1c,00000003e4aa7f2d,00 -6174,6174,0,00000003e4aa7f2e,1c,00000003e4aa7f2e,00 -6175,6175,0,00000003e4aa7f2f,1c,00000003e4aa7f2f,00 -6176,6176,0,00000003e4aa7f30,1e,00000003e4aa7f30,0f -6177,6177,0,00000003e4aa7f31,1d,00000003e4aa7f31,10 -6178,6178,0,00000003e4aa7f32,1c,00000003e4aa7f32,00 -6179,6179,0,00000003e4aa7f33,1c,00000003e4aa7f33,00 -6180,6180,0,00000003e4aa7f34,1c,00000003e4aa7f34,00 -6181,6181,0,00000003e4aa7f35,1c,00000003e4aa7f35,00 -6182,6182,0,00000003e4aa7f36,1c,00000003e4aa7f36,00 -6183,6183,0,00000003e4aa7f37,1c,00000003e4aa7f37,00 -6184,6184,0,00000003e4aa7f38,1c,00000003e4aa7f38,00 -6185,6185,0,00000003e4aa7f39,1c,00000003e4aa7f39,00 -6186,6186,0,00000003e4aa7f3a,1c,00000003e4aa7f3a,00 -6187,6187,0,00000003e4aa7f3b,1c,00000003e4aa7f3b,00 -6188,6188,0,00000003e4aa7f3c,1c,00000003e4aa7f3c,00 -6189,6189,0,00000003e4aa7f3d,1c,00000003e4aa7f3d,00 -6190,6190,0,00000003e4aa7f3e,1c,00000003e4aa7f3e,00 -6191,6191,0,00000003e4aa7f3f,1c,00000003e4aa7f3f,00 -6192,6192,0,00000003e4aa7f40,1e,00000003e4aa7f40,00 -6193,6193,0,00000003e4aa7f41,1d,00000003e4aa7f41,10 -6194,6194,0,00000003e4aa7f42,1c,00000003e4aa7f42,00 -6195,6195,0,00000003e4aa7f43,1c,00000003e4aa7f43,00 -6196,6196,0,00000003e4aa7f44,1c,00000003e4aa7f44,00 -6197,6197,0,00000003e4aa7f45,1c,00000003e4aa7f45,00 -6198,6198,0,00000003e4aa7f46,1c,00000003e4aa7f46,00 -6199,6199,0,00000003e4aa7f47,1c,00000003e4aa7f47,00 -6200,6200,0,00000003e4aa7f48,1c,00000003e4aa7f48,00 -6201,6201,0,00000003e4aa7f49,1c,00000003e4aa7f49,00 -6202,6202,0,00000003e4aa7f4a,1c,00000003e4aa7f4a,00 -6203,6203,0,00000003e4aa7f4b,1c,00000003e4aa7f4b,00 -6204,6204,0,00000003e4aa7f4c,1c,00000003e4aa7f4c,00 -6205,6205,0,00000003e4aa7f4d,1c,00000003e4aa7f4d,00 -6206,6206,0,00000003e4aa7f4e,1c,00000003e4aa7f4e,00 -6207,6207,0,00000003e4aa7f4f,1c,00000003e4aa7f4f,00 -6208,6208,0,00000003e4aa7f50,1e,00000003e4aa7f50,0f -6209,6209,0,00000003e4aa7f51,1d,00000003e4aa7f51,10 -6210,6210,0,00000003e4aa7f52,1c,00000003e4aa7f52,00 -6211,6211,0,00000003e4aa7f53,1c,00000003e4aa7f53,00 -6212,6212,0,00000003e4aa7f54,1c,00000003e4aa7f54,00 -6213,6213,0,00000003e4aa7f55,1c,00000003e4aa7f55,00 -6214,6214,0,00000003e4aa7f56,1c,00000003e4aa7f56,00 -6215,6215,0,00000003e4aa7f57,1c,00000003e4aa7f57,00 -6216,6216,0,00000003e4aa7f58,1c,00000003e4aa7f58,00 -6217,6217,0,00000003e4aa7f59,1c,00000003e4aa7f59,00 -6218,6218,0,00000003e4aa7f5a,1c,00000003e4aa7f5a,00 -6219,6219,0,00000003e4aa7f5b,1c,00000003e4aa7f5b,00 -6220,6220,0,00000003e4aa7f5c,1c,00000003e4aa7f5c,00 -6221,6221,0,00000003e4aa7f5d,1c,00000003e4aa7f5d,00 -6222,6222,0,00000003e4aa7f5e,1c,00000003e4aa7f5e,00 -6223,6223,0,00000003e4aa7f5f,1c,00000003e4aa7f5f,00 -6224,6224,0,00000003e4aa7f60,1e,00000003e4aa7f60,0f -6225,6225,0,00000003e4aa7f61,1d,00000003e4aa7f61,10 -6226,6226,0,00000003e4aa7f62,1c,00000003e4aa7f62,00 -6227,6227,0,00000003e4aa7f63,1c,00000003e4aa7f63,00 -6228,6228,0,00000003e4aa7f64,1c,00000003e4aa7f64,00 -6229,6229,0,00000003e4aa7f65,1c,00000003e4aa7f65,00 -6230,6230,0,00000003e4aa7f66,1c,00000003e4aa7f66,00 -6231,6231,0,00000003e4aa7f67,1c,00000003e4aa7f67,00 -6232,6232,0,00000003e4aa7f68,1c,00000003e4aa7f68,00 -6233,6233,0,00000003e4aa7f69,1c,00000003e4aa7f69,00 -6234,6234,0,00000003e4aa7f6a,1c,00000003e4aa7f6a,00 -6235,6235,0,00000003e4aa7f6b,1c,00000003e4aa7f6b,00 -6236,6236,0,00000003e4aa7f6c,1c,00000003e4aa7f6c,00 -6237,6237,0,00000003e4aa7f6d,1c,00000003e4aa7f6d,00 -6238,6238,0,00000003e4aa7f6e,1c,00000003e4aa7f6e,00 -6239,6239,0,00000003e4aa7f6f,1c,00000003e4aa7f6f,00 -6240,6240,0,00000003e4aa7f70,1e,00000003e4aa7f70,0f -6241,6241,0,00000003e4aa7f71,1d,00000003e4aa7f71,10 -6242,6242,0,00000003e4aa7f72,1c,00000003e4aa7f72,00 -6243,6243,0,00000003e4aa7f73,1c,00000003e4aa7f73,00 -6244,6244,0,00000003e4aa7f74,1c,00000003e4aa7f74,00 -6245,6245,0,00000003e4aa7f75,1c,00000003e4aa7f75,00 -6246,6246,0,00000003e4aa7f76,1c,00000003e4aa7f76,00 -6247,6247,0,00000003e4aa7f77,1c,00000003e4aa7f77,00 -6248,6248,0,00000003e4aa7f78,1c,00000003e4aa7f78,00 -6249,6249,0,00000003e4aa7f79,1c,00000003e4aa7f79,00 -6250,6250,0,00000003e4aa7f7a,1c,00000003e4aa7f7a,00 -6251,6251,0,00000003e4aa7f7b,1c,00000003e4aa7f7b,00 -6252,6252,0,00000003e4aa7f7c,1c,00000003e4aa7f7c,00 -6253,6253,0,00000003e4aa7f7d,1c,00000003e4aa7f7d,00 -6254,6254,0,00000003e4aa7f7e,1c,00000003e4aa7f7e,00 -6255,6255,0,00000003e4aa7f7f,1c,00000003e4aa7f7f,00 -6256,6256,0,00000003e4aa7f80,1e,00000003e4aa7f80,00 -6257,6257,0,00000003e4aa7f81,1d,00000003e4aa7f81,10 -6258,6258,0,00000003e4aa7f82,1c,00000003e4aa7f82,00 -6259,6259,0,00000003e4aa7f83,1c,00000003e4aa7f83,00 -6260,6260,0,00000003e4aa7f84,1c,00000003e4aa7f84,00 -6261,6261,0,00000003e4aa7f85,1c,00000003e4aa7f85,00 -6262,6262,0,00000003e4aa7f86,1c,00000003e4aa7f86,00 -6263,6263,0,00000003e4aa7f87,1c,00000003e4aa7f87,00 -6264,6264,0,00000003e4aa7f88,1c,00000003e4aa7f88,00 -6265,6265,0,00000003e4aa7f89,1c,00000003e4aa7f89,00 -6266,6266,0,00000003e4aa7f8a,1c,00000003e4aa7f8a,00 -6267,6267,0,00000003e4aa7f8b,1c,00000003e4aa7f8b,00 -6268,6268,0,00000003e4aa7f8c,1c,00000003e4aa7f8c,00 -6269,6269,0,00000003e4aa7f8d,1c,00000003e4aa7f8d,00 -6270,6270,0,00000003e4aa7f8e,1c,00000003e4aa7f8e,00 -6271,6271,0,00000003e4aa7f8f,1c,00000003e4aa7f8f,00 -6272,6272,0,00000003e4aa7f90,1e,00000003e4aa7f90,0f -6273,6273,0,00000003e4aa7f91,1d,00000003e4aa7f91,10 -6274,6274,0,00000003e4aa7f92,1c,00000003e4aa7f92,00 -6275,6275,0,00000003e4aa7f93,1c,00000003e4aa7f93,00 -6276,6276,0,00000003e4aa7f94,1c,00000003e4aa7f94,00 -6277,6277,0,00000003e4aa7f95,1c,00000003e4aa7f95,00 -6278,6278,0,00000003e4aa7f96,1c,00000003e4aa7f96,00 -6279,6279,0,00000003e4aa7f97,1c,00000003e4aa7f97,00 -6280,6280,0,00000003e4aa7f98,1c,00000003e4aa7f98,00 -6281,6281,0,00000003e4aa7f99,1c,00000003e4aa7f99,00 -6282,6282,0,00000003e4aa7f9a,1c,00000003e4aa7f9a,00 -6283,6283,0,00000003e4aa7f9b,1c,00000003e4aa7f9b,00 -6284,6284,0,00000003e4aa7f9c,1c,00000003e4aa7f9c,00 -6285,6285,0,00000003e4aa7f9d,1c,00000003e4aa7f9d,00 -6286,6286,0,00000003e4aa7f9e,1c,00000003e4aa7f9e,00 -6287,6287,0,00000003e4aa7f9f,1c,00000003e4aa7f9f,00 -6288,6288,0,00000003e4aa7fa0,1e,00000003e4aa7fa0,0f -6289,6289,0,00000003e4aa7fa1,1d,00000003e4aa7fa1,10 -6290,6290,0,00000003e4aa7fa2,1c,00000003e4aa7fa2,00 -6291,6291,0,00000003e4aa7fa3,1c,00000003e4aa7fa3,00 -6292,6292,0,00000003e4aa7fa4,1c,00000003e4aa7fa4,00 -6293,6293,0,00000003e4aa7fa5,1c,00000003e4aa7fa5,00 -6294,6294,0,00000003e4aa7fa6,1c,00000003e4aa7fa6,00 -6295,6295,0,00000003e4aa7fa7,1c,00000003e4aa7fa7,00 -6296,6296,0,00000003e4aa7fa8,1c,00000003e4aa7fa8,00 -6297,6297,0,00000003e4aa7fa9,1c,00000003e4aa7fa9,00 -6298,6298,0,00000003e4aa7faa,1c,00000003e4aa7faa,00 -6299,6299,0,00000003e4aa7fab,1c,00000003e4aa7fab,00 -6300,6300,0,00000003e4aa7fac,1c,00000003e4aa7fac,00 -6301,6301,0,00000003e4aa7fad,1c,00000003e4aa7fad,00 -6302,6302,0,00000003e4aa7fae,1c,00000003e4aa7fae,00 -6303,6303,0,00000003e4aa7faf,1c,00000003e4aa7faf,00 -6304,6304,0,00000003e4aa7fb0,1e,00000003e4aa7fb0,00 -6305,6305,0,00000003e4aa7fb1,1d,00000003e4aa7fb1,10 -6306,6306,0,00000003e4aa7fb2,1c,00000003e4aa7fb2,00 -6307,6307,0,00000003e4aa7fb3,1c,00000003e4aa7fb3,00 -6308,6308,0,00000003e4aa7fb4,1c,00000003e4aa7fb4,00 -6309,6309,0,00000003e4aa7fb5,1c,00000003e4aa7fb5,00 -6310,6310,0,00000003e4aa7fb6,1c,00000003e4aa7fb6,00 -6311,6311,0,00000003e4aa7fb7,1c,00000003e4aa7fb7,00 -6312,6312,0,00000003e4aa7fb8,1c,00000003e4aa7fb8,00 -6313,6313,0,00000003e4aa7fb9,1c,00000003e4aa7fb9,00 -6314,6314,0,00000003e4aa7fba,1c,00000003e4aa7fba,00 -6315,6315,0,00000003e4aa7fbb,1c,00000003e4aa7fbb,00 -6316,6316,0,00000003e4aa7fbc,1c,00000003e4aa7fbc,00 -6317,6317,0,00000003e4aa7fbd,1c,00000003e4aa7fbd,00 -6318,6318,0,00000003e4aa7fbe,1c,00000003e4aa7fbe,00 -6319,6319,0,00000003e4aa7fbf,1c,00000003e4aa7fbf,00 -6320,6320,0,00000003e4aa7fc0,1e,00000003e4aa7fc0,00 -6321,6321,0,00000003e4aa7fc1,1d,00000003e4aa7fc1,10 -6322,6322,0,00000003e4aa7fc2,1c,00000003e4aa7fc2,00 -6323,6323,0,00000003e4aa7fc3,1c,00000003e4aa7fc3,00 -6324,6324,0,00000003e4aa7fc4,1c,00000003e4aa7fc4,00 -6325,6325,0,00000003e4aa7fc5,1c,00000003e4aa7fc5,00 -6326,6326,0,00000003e4aa7fc6,1c,00000003e4aa7fc6,00 -6327,6327,0,00000003e4aa7fc7,1c,00000003e4aa7fc7,00 -6328,6328,0,00000003e4aa7fc8,1c,00000003e4aa7fc8,00 -6329,6329,0,00000003e4aa7fc9,1c,00000003e4aa7fc9,00 -6330,6330,0,00000003e4aa7fca,1c,00000003e4aa7fca,00 -6331,6331,0,00000003e4aa7fcb,1c,00000003e4aa7fcb,00 -6332,6332,0,00000003e4aa7fcc,1c,00000003e4aa7fcc,00 -6333,6333,0,00000003e4aa7fcd,1c,00000003e4aa7fcd,00 -6334,6334,0,00000003e4aa7fce,1c,00000003e4aa7fce,00 -6335,6335,0,00000003e4aa7fcf,1c,00000003e4aa7fcf,00 -6336,6336,0,00000003e4aa7fd0,1e,00000003e4aa7fd0,00 -6337,6337,0,00000003e4aa7fd1,1d,00000003e4aa7fd1,10 -6338,6338,0,00000003e4aa7fd2,1c,00000003e4aa7fd2,00 -6339,6339,0,00000003e4aa7fd3,1c,00000003e4aa7fd3,00 -6340,6340,0,00000003e4aa7fd4,1c,00000003e4aa7fd4,00 -6341,6341,0,00000003e4aa7fd5,1c,00000003e4aa7fd5,00 -6342,6342,0,00000003e4aa7fd6,1c,00000003e4aa7fd6,00 -6343,6343,0,00000003e4aa7fd7,1c,00000003e4aa7fd7,00 -6344,6344,0,00000003e4aa7fd8,1c,00000003e4aa7fd8,00 -6345,6345,0,00000003e4aa7fd9,1c,00000003e4aa7fd9,00 -6346,6346,0,00000003e4aa7fda,1c,00000003e4aa7fda,00 -6347,6347,0,00000003e4aa7fdb,1c,00000003e4aa7fdb,00 -6348,6348,0,00000003e4aa7fdc,1c,00000003e4aa7fdc,00 -6349,6349,0,00000003e4aa7fdd,1c,00000003e4aa7fdd,00 -6350,6350,0,00000003e4aa7fde,1c,00000003e4aa7fde,00 -6351,6351,0,00000003e4aa7fdf,1c,00000003e4aa7fdf,00 -6352,6352,0,00000003e4aa7fe0,1e,00000003e4aa7fe0,0f -6353,6353,0,00000003e4aa7fe1,1d,00000003e4aa7fe1,10 -6354,6354,0,00000003e4aa7fe2,1c,00000003e4aa7fe2,00 -6355,6355,0,00000003e4aa7fe3,1c,00000003e4aa7fe3,00 -6356,6356,0,00000003e4aa7fe4,1c,00000003e4aa7fe4,00 -6357,6357,0,00000003e4aa7fe5,1c,00000003e4aa7fe5,00 -6358,6358,0,00000003e4aa7fe6,1c,00000003e4aa7fe6,00 -6359,6359,0,00000003e4aa7fe7,1c,00000003e4aa7fe7,00 -6360,6360,0,00000003e4aa7fe8,1c,00000003e4aa7fe8,00 -6361,6361,0,00000003e4aa7fe9,1c,00000003e4aa7fe9,00 -6362,6362,0,00000003e4aa7fea,1c,00000003e4aa7fea,00 -6363,6363,0,00000003e4aa7feb,1c,00000003e4aa7feb,00 -6364,6364,0,00000003e4aa7fec,1c,00000003e4aa7fec,00 -6365,6365,0,00000003e4aa7fed,1c,00000003e4aa7fed,00 -6366,6366,0,00000003e4aa7fee,1c,00000003e4aa7fee,00 -6367,6367,0,00000003e4aa7fef,1c,00000003e4aa7fef,00 -6368,6368,0,00000003e4aa7ff0,1e,00000003e4aa7ff0,0f -6369,6369,0,00000003e4aa7ff1,1d,00000003e4aa7ff1,10 -6370,6370,0,00000003e4aa7ff2,1c,00000003e4aa7ff2,00 -6371,6371,0,00000003e4aa7ff3,1c,00000003e4aa7ff3,00 -6372,6372,0,00000003e4aa7ff4,1c,00000003e4aa7ff4,00 -6373,6373,0,00000003e4aa7ff5,1c,00000003e4aa7ff5,00 -6374,6374,0,00000003e4aa7ff6,1c,00000003e4aa7ff6,00 -6375,6375,0,00000003e4aa7ff7,1c,00000003e4aa7ff7,00 -6376,6376,0,00000003e4aa7ff8,1c,00000003e4aa7ff8,00 -6377,6377,0,00000003e4aa7ff9,1c,00000003e4aa7ff9,00 -6378,6378,0,00000003e4aa7ffa,1c,00000003e4aa7ffa,00 -6379,6379,0,00000003e4aa7ffb,1c,00000003e4aa7ffb,00 -6380,6380,0,00000003e4aa7ffc,1c,00000003e4aa7ffc,00 -6381,6381,0,00000003e4aa7ffd,1c,00000003e4aa7ffd,00 -6382,6382,0,00000003e4aa7ffe,1c,00000003e4aa7ffe,00 -6383,6383,0,00000003e4aa7fff,1c,00000003e4aa7fff,00 -6384,6384,0,00000003e4aa8000,1e,00000003e4aa8000,0f -6385,6385,0,00000003e4aa8001,1d,00000003e4aa8001,10 -6386,6386,0,00000003e4aa8002,1c,00000003e4aa8002,00 -6387,6387,0,00000003e4aa8003,1c,00000003e4aa8003,00 -6388,6388,0,00000003e4aa8004,1c,00000003e4aa8004,00 -6389,6389,0,00000003e4aa8005,1c,00000003e4aa8005,00 -6390,6390,0,00000003e4aa8006,1c,00000003e4aa8006,00 -6391,6391,0,00000003e4aa8007,1c,00000003e4aa8007,00 -6392,6392,0,00000003e4aa8008,1c,00000003e4aa8008,00 -6393,6393,0,00000003e4aa8009,1c,00000003e4aa8009,00 -6394,6394,0,00000003e4aa800a,1c,00000003e4aa800a,00 -6395,6395,0,00000003e4aa800b,1c,00000003e4aa800b,00 -6396,6396,0,00000003e4aa800c,1c,00000003e4aa800c,00 -6397,6397,0,00000003e4aa800d,1c,00000003e4aa800d,00 -6398,6398,0,00000003e4aa800e,1c,00000003e4aa800e,00 -6399,6399,0,00000003e4aa800f,1c,00000003e4aa800f,00 -6400,6400,0,00000003e4aa8010,1e,00000003e4aa8010,0f -6401,6401,0,00000003e4aa8011,1d,00000003e4aa8011,10 -6402,6402,0,00000003e4aa8012,1c,00000003e4aa8011,00 -6403,6403,0,00000003e4aa8013,1c,00000003e4aa8012,00 -6404,6404,0,00000003e4aa8014,1c,00000003e4aa8013,00 -6405,6405,0,00000003e4aa8015,1c,00000003e4aa8014,00 -6406,6406,0,00000003e4aa8016,1c,00000003e4aa8015,00 -6407,6407,0,00000003e4aa8017,1c,00000003e4aa8016,00 -6408,6408,0,00000003e4aa8018,1c,00000003e4aa8017,00 -6409,6409,0,00000003e4aa8019,1c,00000003e4aa8018,00 -6410,6410,0,00000003e4aa801a,1c,00000003e4aa8019,00 -6411,6411,0,00000003e4aa801b,1c,00000003e4aa801a,00 -6412,6412,0,00000003e4aa801c,1c,00000003e4aa801b,00 -6413,6413,0,00000003e4aa801d,1c,00000003e4aa801c,00 -6414,6414,0,00000003e4aa801e,1c,00000003e4aa801d,00 -6415,6415,0,00000003e4aa801f,1c,00000003e4aa801e,00 -6416,6416,0,00000003e4aa8020,1e,00000003e4aa801f,00 -6417,6417,0,00000003e4aa8021,1d,00000003e4aa8020,00 -6418,6418,0,00000003e4aa8022,1c,00000003e4aa8021,10 -6419,6419,0,00000003e4aa8023,1c,00000003e4aa8022,00 -6420,6420,0,00000003e4aa8024,1c,00000003e4aa8023,00 -6421,6421,0,00000003e4aa8025,1c,00000003e4aa8024,00 -6422,6422,0,00000003e4aa8026,1c,00000003e4aa8025,00 -6423,6423,0,00000003e4aa8027,1c,00000003e4aa8026,00 -6424,6424,0,00000003e4aa8028,1c,00000003e4aa8027,00 -6425,6425,0,00000003e4aa8029,1c,00000003e4aa8028,00 -6426,6426,0,00000003e4aa802a,1c,00000003e4aa8029,00 -6427,6427,0,00000003e4aa802b,1c,00000003e4aa802a,00 -6428,6428,0,00000003e4aa802c,1c,00000003e4aa802b,00 -6429,6429,0,00000003e4aa802d,1c,00000003e4aa802c,00 -6430,6430,0,00000003e4aa802e,1c,00000003e4aa802d,00 -6431,6431,0,00000003e4aa802f,1c,00000003e4aa802e,00 -6432,6432,0,00000003e4aa8030,1e,00000003e4aa802f,00 -6433,6433,0,00000003e4aa8031,1d,00000003e4aa8030,0f -6434,6434,0,00000003e4aa8032,1c,00000003e4aa8031,10 -6435,6435,0,00000003e4aa8033,1c,00000003e4aa8032,00 -6436,6436,0,00000003e4aa8034,1c,00000003e4aa8033,00 -6437,6437,0,00000003e4aa8035,1c,00000003e4aa8034,00 -6438,6438,0,00000003e4aa8036,1c,00000003e4aa8035,00 -6439,6439,0,00000003e4aa8037,1c,00000003e4aa8036,00 -6440,6440,0,00000003e4aa8038,1c,00000003e4aa8037,00 -6441,6441,0,00000003e4aa8039,1c,00000003e4aa8038,00 -6442,6442,0,00000003e4aa803a,1c,00000003e4aa8039,00 -6443,6443,0,00000003e4aa803b,1c,00000003e4aa803a,00 -6444,6444,0,00000003e4aa803c,1c,00000003e4aa803b,00 -6445,6445,0,00000003e4aa803d,1c,00000003e4aa803c,00 -6446,6446,0,00000003e4aa803e,1c,00000003e4aa803d,00 -6447,6447,0,00000003e4aa803f,1c,00000003e4aa803e,00 -6448,6448,0,00000003e4aa8040,1e,00000003e4aa803f,00 -6449,6449,0,00000003e4aa8041,1d,00000003e4aa8040,0f -6450,6450,0,00000003e4aa8042,1c,00000003e4aa8041,10 -6451,6451,0,00000003e4aa8043,1c,00000003e4aa8042,00 -6452,6452,0,00000003e4aa8044,1c,00000003e4aa8043,00 -6453,6453,0,00000003e4aa8045,1c,00000003e4aa8044,00 -6454,6454,0,00000003e4aa8046,1c,00000003e4aa8045,00 -6455,6455,0,00000003e4aa8047,1c,00000003e4aa8046,00 -6456,6456,0,00000003e4aa8048,1c,00000003e4aa8047,00 -6457,6457,0,00000003e4aa8049,1c,00000003e4aa8048,00 -6458,6458,0,00000003e4aa804a,1c,00000003e4aa8049,00 -6459,6459,0,00000003e4aa804b,1c,00000003e4aa804a,00 -6460,6460,0,00000003e4aa804c,1c,00000003e4aa804b,00 -6461,6461,0,00000003e4aa804d,1c,00000003e4aa804c,00 -6462,6462,0,00000003e4aa804e,1c,00000003e4aa804d,00 -6463,6463,0,00000003e4aa804f,1c,00000003e4aa804e,00 -6464,6464,0,00000003e4aa8050,1e,00000003e4aa804f,00 -6465,6465,0,00000003e4aa8051,1d,00000003e4aa8050,0f -6466,6466,0,00000003e4aa8052,1c,00000003e4aa8050,0f -6467,6467,0,00000003e4aa8053,1c,00000003e4aa8050,0f -6468,6468,0,00000003e4aa8054,1c,00000003e4aa8050,0f -6469,6469,0,00000003e4aa8055,1c,00000003e4aa8050,0f -6470,6470,0,00000003e4aa8056,1c,00000003e4aa8050,0f -6471,6471,0,00000003e4aa8057,1c,00000003e4aa8050,0f -6472,6472,0,00000003e4aa8058,1c,00000003e4aa8050,0f -6473,6473,0,00000003e4aa8059,1c,00000003e4aa8050,0f -6474,6474,0,00000003e4aa805a,1c,00000003e4aa8050,0f -6475,6475,0,00000003e4aa805b,1c,00000003e4aa8050,0f -6476,6476,0,00000003e4aa805c,1c,00000003e4aa8050,0f -6477,6477,0,00000003e4aa805d,1c,00000003e4aa8050,0f -6478,6478,0,00000003e4aa805e,1c,00000003e4aa8050,0f -6479,6479,0,00000003e4aa805f,1c,00000003e4aa8050,0f -6480,6480,0,00000003e4aa8060,1e,00000003e4aa8061,10 -6481,6481,0,00000003e4aa8061,1d,00000003e4aa8062,00 -6482,6482,0,00000003e4aa8062,1c,00000003e4aa8063,00 -6483,6483,0,00000003e4aa8063,1c,00000003e4aa8064,00 -6484,6484,0,00000003e4aa8064,1c,00000003e4aa8065,00 -6485,6485,0,00000003e4aa8065,1c,00000003e4aa8066,00 -6486,6486,0,00000003e4aa8066,1c,00000003e4aa8067,00 -6487,6487,0,00000003e4aa8067,1c,00000003e4aa8068,00 -6488,6488,0,00000003e4aa8068,1c,00000003e4aa8069,00 -6489,6489,0,00000003e4aa8069,1c,00000003e4aa806a,00 -6490,6490,0,00000003e4aa806a,1c,00000003e4aa806b,00 -6491,6491,0,00000003e4aa806b,1c,00000003e4aa806c,00 -6492,6492,0,00000003e4aa806c,1c,00000003e4aa806d,00 -6493,6493,0,00000003e4aa806d,1c,00000003e4aa806e,00 -6494,6494,0,00000003e4aa806e,1c,00000003e4aa806f,00 -6495,6495,0,00000003e4aa806f,1c,00000003e4aa8070,0f -6496,6496,0,00000003e4aa8070,1e,00000003e4aa8070,0f -6497,6497,0,00000003e4aa8071,1d,00000003e4aa8071,10 -6498,6498,0,00000003e4aa8072,1c,00000003e4aa8072,00 -6499,6499,0,00000003e4aa8073,1c,00000003e4aa8073,00 -6500,6500,0,00000003e4aa8074,1c,00000003e4aa8074,00 -6501,6501,0,00000003e4aa8075,1c,00000003e4aa8075,00 -6502,6502,0,00000003e4aa8076,1c,00000003e4aa8076,00 -6503,6503,0,00000003e4aa8077,1c,00000003e4aa8077,00 -6504,6504,0,00000003e4aa8078,1c,00000003e4aa8078,00 -6505,6505,0,00000003e4aa8079,1c,00000003e4aa8079,00 -6506,6506,0,00000003e4aa807a,1c,00000003e4aa807a,00 -6507,6507,0,00000003e4aa807b,1c,00000003e4aa807b,00 -6508,6508,0,00000003e4aa807c,1c,00000003e4aa807c,00 -6509,6509,0,00000003e4aa807d,1c,00000003e4aa807d,00 -6510,6510,0,00000003e4aa807e,1c,00000003e4aa807e,00 -6511,6511,0,00000003e4aa807f,1c,00000003e4aa807f,00 -6512,6512,0,00000003e4aa8080,1e,00000003e4aa8080,0f -6513,6513,0,00000003e4aa8081,1d,00000003e4aa8081,10 -6514,6514,0,00000003e4aa8082,1c,00000003e4aa8082,00 -6515,6515,0,00000003e4aa8083,1c,00000003e4aa8083,00 -6516,6516,0,00000003e4aa8084,1c,00000003e4aa8084,00 -6517,6517,0,00000003e4aa8085,1c,00000003e4aa8085,00 -6518,6518,0,00000003e4aa8086,1c,00000003e4aa8086,00 -6519,6519,0,00000003e4aa8087,1c,00000003e4aa8087,00 -6520,6520,0,00000003e4aa8088,1c,00000003e4aa8088,00 -6521,6521,0,00000003e4aa8089,1c,00000003e4aa8089,00 -6522,6522,0,00000003e4aa808a,1c,00000003e4aa808a,00 -6523,6523,0,00000003e4aa808b,1c,00000003e4aa808b,00 -6524,6524,0,00000003e4aa808c,1c,00000003e4aa808c,00 -6525,6525,0,00000003e4aa808d,1c,00000003e4aa808d,00 -6526,6526,0,00000003e4aa808e,1c,00000003e4aa808e,00 -6527,6527,0,00000003e4aa808f,1c,00000003e4aa808f,00 -6528,6528,0,00000003e4aa8090,1e,00000003e4aa8090,00 -6529,6529,0,00000003e4aa8091,1d,00000003e4aa8091,10 -6530,6530,0,00000003e4aa8092,1c,00000003e4aa8092,00 -6531,6531,0,00000003e4aa8093,1c,00000003e4aa8093,00 -6532,6532,0,00000003e4aa8094,1c,00000003e4aa8094,00 -6533,6533,0,00000003e4aa8095,1c,00000003e4aa8095,00 -6534,6534,0,00000003e4aa8096,1c,00000003e4aa8096,00 -6535,6535,0,00000003e4aa8097,1c,00000003e4aa8097,00 -6536,6536,0,00000003e4aa8098,1c,00000003e4aa8098,00 -6537,6537,0,00000003e4aa8099,1c,00000003e4aa8099,00 -6538,6538,0,00000003e4aa809a,1c,00000003e4aa809a,00 -6539,6539,0,00000003e4aa809b,1c,00000003e4aa809b,00 -6540,6540,0,00000003e4aa809c,1c,00000003e4aa809c,00 -6541,6541,0,00000003e4aa809d,1c,00000003e4aa809d,00 -6542,6542,0,00000003e4aa809e,1c,00000003e4aa809e,00 -6543,6543,0,00000003e4aa809f,1c,00000003e4aa809f,00 -6544,6544,0,00000003e4aa80a0,1e,00000003e4aa80a0,00 -6545,6545,0,00000003e4aa80a1,1d,00000003e4aa80a1,00 -6546,6546,0,00000003e4aa80a2,1c,00000003e4aa80a2,00 -6547,6547,0,00000003e4aa80a3,1c,00000003e4aa80a3,00 -6548,6548,0,00000003e4aa80a4,1c,00000003e4aa80a4,00 -6549,6549,0,00000003e4aa80a5,1c,00000003e4aa80a5,00 -6550,6550,0,00000003e4aa80a6,1c,00000003e4aa80a6,00 -6551,6551,0,00000003e4aa80a7,1c,00000003e4aa80a7,00 -6552,6552,0,00000003e4aa80a8,1c,00000003e4aa80a8,00 -6553,6553,0,00000003e4aa80a9,1c,00000003e4aa80a9,00 -6554,6554,0,00000003e4aa80aa,1c,00000003e4aa80aa,00 -6555,6555,0,00000003e4aa80ab,1c,00000003e4aa80ab,00 -6556,6556,0,00000003e4aa80ac,1c,00000003e4aa80ac,00 -6557,6557,0,00000003e4aa80ad,1c,00000003e4aa80ad,00 -6558,6558,0,00000003e4aa80ae,1c,00000003e4aa80ae,00 -6559,6559,0,00000003e4aa80af,1c,00000003e4aa80af,00 -6560,6560,0,00000003e4aa80b0,1e,00000003e4aa80b0,0f -6561,6561,0,00000003e4aa80b1,1d,00000003e4aa80b1,10 -6562,6562,0,00000003e4aa80b2,1c,00000003e4aa80b2,00 -6563,6563,0,00000003e4aa80b3,1c,00000003e4aa80b3,00 -6564,6564,0,00000003e4aa80b4,1c,00000003e4aa80b4,00 -6565,6565,0,00000003e4aa80b5,1c,00000003e4aa80b5,00 -6566,6566,0,00000003e4aa80b6,1c,00000003e4aa80b6,00 -6567,6567,0,00000003e4aa80b7,1c,00000003e4aa80b7,00 -6568,6568,0,00000003e4aa80b8,1c,00000003e4aa80b8,00 -6569,6569,0,00000003e4aa80b9,1c,00000003e4aa80b9,00 -6570,6570,0,00000003e4aa80ba,1c,00000003e4aa80ba,00 -6571,6571,0,00000003e4aa80bb,1c,00000003e4aa80bb,00 -6572,6572,0,00000003e4aa80bc,1c,00000003e4aa80bc,00 -6573,6573,0,00000003e4aa80bd,1c,00000003e4aa80bd,00 -6574,6574,0,00000003e4aa80be,1c,00000003e4aa80be,00 -6575,6575,0,00000003e4aa80bf,1c,00000003e4aa80bf,00 -6576,6576,0,00000003e4aa80c0,1e,00000003e4aa80c0,0f -6577,6577,0,00000003e4aa80c1,1d,00000003e4aa80c1,10 -6578,6578,0,00000003e4aa80c2,1c,00000003e4aa80c2,00 -6579,6579,0,00000003e4aa80c3,1c,00000003e4aa80c3,00 -6580,6580,0,00000003e4aa80c4,1c,00000003e4aa80c4,00 -6581,6581,0,00000003e4aa80c5,1c,00000003e4aa80c5,00 -6582,6582,0,00000003e4aa80c6,1c,00000003e4aa80c6,00 -6583,6583,0,00000003e4aa80c7,1c,00000003e4aa80c7,00 -6584,6584,0,00000003e4aa80c8,1c,00000003e4aa80c8,00 -6585,6585,0,00000003e4aa80c9,1c,00000003e4aa80c9,00 -6586,6586,0,00000003e4aa80ca,1c,00000003e4aa80ca,00 -6587,6587,0,00000003e4aa80cb,1c,00000003e4aa80cb,00 -6588,6588,0,00000003e4aa80cc,1c,00000003e4aa80cc,00 -6589,6589,0,00000003e4aa80cd,1c,00000003e4aa80cd,00 -6590,6590,0,00000003e4aa80ce,1c,00000003e4aa80ce,00 -6591,6591,0,00000003e4aa80cf,1c,00000003e4aa80cf,00 -6592,6592,0,00000003e4aa80d0,1e,00000003e4aa80d0,0f -6593,6593,0,00000003e4aa80d1,1d,00000003e4aa80d1,10 -6594,6594,0,00000003e4aa80d2,1c,00000003e4aa80d2,00 -6595,6595,0,00000003e4aa80d3,1c,00000003e4aa80d3,00 -6596,6596,0,00000003e4aa80d4,1c,00000003e4aa80d4,00 -6597,6597,0,00000003e4aa80d5,1c,00000003e4aa80d5,00 -6598,6598,0,00000003e4aa80d6,1c,00000003e4aa80d6,00 -6599,6599,0,00000003e4aa80d7,1c,00000003e4aa80d7,00 -6600,6600,0,00000003e4aa80d8,1c,00000003e4aa80d8,00 -6601,6601,0,00000003e4aa80d9,1c,00000003e4aa80d9,00 -6602,6602,0,00000003e4aa80da,1c,00000003e4aa80da,00 -6603,6603,0,00000003e4aa80db,1c,00000003e4aa80db,00 -6604,6604,0,00000003e4aa80dc,1c,00000003e4aa80dc,00 -6605,6605,0,00000003e4aa80dd,1c,00000003e4aa80dd,00 -6606,6606,0,00000003e4aa80de,1c,00000003e4aa80de,00 -6607,6607,0,00000003e4aa80df,1c,00000003e4aa80df,00 -6608,6608,0,00000003e4aa80e0,1e,00000003e4aa80e0,0f -6609,6609,0,00000003e4aa80e1,1d,00000003e4aa80e1,10 -6610,6610,0,00000003e4aa80e2,1c,00000003e4aa80e2,00 -6611,6611,0,00000003e4aa80e3,1c,00000003e4aa80e3,00 -6612,6612,0,00000003e4aa80e4,1c,00000003e4aa80e4,00 -6613,6613,0,00000003e4aa80e5,1c,00000003e4aa80e5,00 -6614,6614,0,00000003e4aa80e6,1c,00000003e4aa80e6,00 -6615,6615,0,00000003e4aa80e7,1c,00000003e4aa80e7,00 -6616,6616,0,00000003e4aa80e8,1c,00000003e4aa80e8,00 -6617,6617,0,00000003e4aa80e9,1c,00000003e4aa80e9,00 -6618,6618,0,00000003e4aa80ea,1c,00000003e4aa80ea,00 -6619,6619,0,00000003e4aa80eb,1c,00000003e4aa80eb,00 -6620,6620,0,00000003e4aa80ec,1c,00000003e4aa80ec,00 -6621,6621,0,00000003e4aa80ed,1c,00000003e4aa80ed,00 -6622,6622,0,00000003e4aa80ee,1c,00000003e4aa80ee,00 -6623,6623,0,00000003e4aa80ef,1c,00000003e4aa80ef,00 -6624,6624,0,00000003e4aa80f0,1e,00000003e4aa80f0,0f -6625,6625,0,00000003e4aa80f1,1d,00000003e4aa80f0,0f -6626,6626,0,00000003e4aa80f2,1c,00000003e4aa80f0,0f -6627,6627,0,00000003e4aa80f3,1c,00000003e4aa80f0,0f -6628,6628,0,00000003e4aa80f4,1c,00000003e4aa80f0,0f -6629,6629,0,00000003e4aa80f5,1c,00000003e4aa80f0,0f -6630,6630,0,00000003e4aa80f6,1c,00000003e4aa80f0,0f -6631,6631,0,00000003e4aa80f7,1c,00000003e4aa80f0,0f -6632,6632,0,00000003e4aa80f8,1c,00000003e4aa80f0,0f -6633,6633,0,00000003e4aa80f9,1c,00000003e4aa80f0,0f -6634,6634,0,00000003e4aa80fa,1c,00000003e4aa80f0,0f -6635,6635,0,00000003e4aa80fb,1c,00000003e4aa80f0,0f -6636,6636,0,00000003e4aa80fc,1c,00000003e4aa80f0,0f -6637,6637,0,00000003e4aa80fd,1c,00000003e4aa80f0,0f -6638,6638,0,00000003e4aa80fe,1c,00000003e4aa80f0,0f -6639,6639,0,00000003e4aa80ff,1c,00000003e4aa80f0,0f -6640,6640,0,00000003e4aa8100,1e,00000003e4aa80f0,0f -6641,6641,0,00000003e4aa8101,1d,00000003e4aa8101,10 -6642,6642,0,00000003e4aa8102,1c,00000003e4aa8102,00 -6643,6643,0,00000003e4aa8103,1c,00000003e4aa8103,00 -6644,6644,0,00000003e4aa8104,1c,00000003e4aa8104,00 -6645,6645,0,00000003e4aa8105,1c,00000003e4aa8105,00 -6646,6646,0,00000003e4aa8106,1c,00000003e4aa8106,00 -6647,6647,0,00000003e4aa8107,1c,00000003e4aa8107,00 -6648,6648,0,00000003e4aa8108,1c,00000003e4aa8108,00 -6649,6649,0,00000003e4aa8109,1c,00000003e4aa8109,00 -6650,6650,0,00000003e4aa810a,1c,00000003e4aa810a,00 -6651,6651,0,00000003e4aa810b,1c,00000003e4aa810b,00 -6652,6652,0,00000003e4aa810c,1c,00000003e4aa810c,00 -6653,6653,0,00000003e4aa810d,1c,00000003e4aa810d,00 -6654,6654,0,00000003e4aa810e,1c,00000003e4aa810e,00 -6655,6655,0,00000003e4aa810f,1c,00000003e4aa810f,00 -6656,6656,0,00000003e4aa8110,1e,00000003e4aa8110,0f -6657,6657,0,00000003e4aa8111,1d,00000003e4aa8111,10 -6658,6658,0,00000003e4aa8112,1c,00000003e4aa8112,00 -6659,6659,0,00000003e4aa8113,1c,00000003e4aa8113,00 -6660,6660,0,00000003e4aa8114,1c,00000003e4aa8114,00 -6661,6661,0,00000003e4aa8115,1c,00000003e4aa8115,00 -6662,6662,0,00000003e4aa8116,1c,00000003e4aa8116,00 -6663,6663,0,00000003e4aa8117,1c,00000003e4aa8117,00 -6664,6664,0,00000003e4aa8118,1c,00000003e4aa8118,00 -6665,6665,0,00000003e4aa8119,1c,00000003e4aa8119,00 -6666,6666,0,00000003e4aa811a,1c,00000003e4aa811a,00 -6667,6667,0,00000003e4aa811b,1c,00000003e4aa811b,00 -6668,6668,0,00000003e4aa811c,1c,00000003e4aa811c,00 -6669,6669,0,00000003e4aa811d,1c,00000003e4aa811d,00 -6670,6670,0,00000003e4aa811e,1c,00000003e4aa811e,00 -6671,6671,0,00000003e4aa811f,1c,00000003e4aa811f,00 -6672,6672,0,00000003e4aa8120,1e,00000003e4aa8120,0f -6673,6673,0,00000003e4aa8121,1d,00000003e4aa8121,10 -6674,6674,0,00000003e4aa8122,1c,00000003e4aa8122,00 -6675,6675,0,00000003e4aa8123,1c,00000003e4aa8123,00 -6676,6676,0,00000003e4aa8124,1c,00000003e4aa8124,00 -6677,6677,0,00000003e4aa8125,1c,00000003e4aa8125,00 -6678,6678,0,00000003e4aa8126,1c,00000003e4aa8126,00 -6679,6679,0,00000003e4aa8127,1c,00000003e4aa8127,00 -6680,6680,0,00000003e4aa8128,1c,00000003e4aa8128,00 -6681,6681,0,00000003e4aa8129,1c,00000003e4aa8129,00 -6682,6682,0,00000003e4aa812a,1c,00000003e4aa812a,00 -6683,6683,0,00000003e4aa812b,1c,00000003e4aa812b,00 -6684,6684,0,00000003e4aa812c,1c,00000003e4aa812c,00 -6685,6685,0,00000003e4aa812d,1c,00000003e4aa812d,00 -6686,6686,0,00000003e4aa812e,1c,00000003e4aa812e,00 -6687,6687,0,00000003e4aa812f,1c,00000003e4aa812f,00 -6688,6688,0,00000003e4aa8130,1e,00000003e4aa8130,0f -6689,6689,0,00000003e4aa8131,1d,00000003e4aa8131,10 -6690,6690,0,00000003e4aa8132,1c,00000003e4aa8132,00 -6691,6691,0,00000003e4aa8133,1c,00000003e4aa8133,00 -6692,6692,0,00000003e4aa8134,1c,00000003e4aa8134,00 -6693,6693,0,00000003e4aa8135,1c,00000003e4aa8135,00 -6694,6694,0,00000003e4aa8136,1c,00000003e4aa8136,00 -6695,6695,0,00000003e4aa8137,1c,00000003e4aa8137,00 -6696,6696,0,00000003e4aa8138,1c,00000003e4aa8138,00 -6697,6697,0,00000003e4aa8139,1c,00000003e4aa8139,00 -6698,6698,0,00000003e4aa813a,1c,00000003e4aa813a,00 -6699,6699,0,00000003e4aa813b,1c,00000003e4aa813b,00 -6700,6700,0,00000003e4aa813c,1c,00000003e4aa813c,00 -6701,6701,0,00000003e4aa813d,1c,00000003e4aa813d,00 -6702,6702,0,00000003e4aa813e,1c,00000003e4aa813e,00 -6703,6703,0,00000003e4aa813f,1c,00000003e4aa813f,00 -6704,6704,0,00000003e4aa8140,1e,00000003e4aa8140,0f -6705,6705,0,00000003e4aa8141,1d,00000003e4aa8141,10 -6706,6706,0,00000003e4aa8142,1c,00000003e4aa8142,00 -6707,6707,0,00000003e4aa8143,1c,00000003e4aa8143,00 -6708,6708,0,00000003e4aa8144,1c,00000003e4aa8144,00 -6709,6709,0,00000003e4aa8145,1c,00000003e4aa8145,00 -6710,6710,0,00000003e4aa8146,1c,00000003e4aa8146,00 -6711,6711,0,00000003e4aa8147,1c,00000003e4aa8147,00 -6712,6712,0,00000003e4aa8148,1c,00000003e4aa8148,00 -6713,6713,0,00000003e4aa8149,1c,00000003e4aa8149,00 -6714,6714,0,00000003e4aa814a,1c,00000003e4aa814a,00 -6715,6715,0,00000003e4aa814b,1c,00000003e4aa814b,00 -6716,6716,0,00000003e4aa814c,1c,00000003e4aa814c,00 -6717,6717,0,00000003e4aa814d,1c,00000003e4aa814d,00 -6718,6718,0,00000003e4aa814e,1c,00000003e4aa814e,00 -6719,6719,0,00000003e4aa814f,1c,00000003e4aa814f,00 -6720,6720,0,00000003e4aa8150,1e,00000003e4aa8150,00 -6721,6721,0,00000003e4aa8151,1d,00000003e4aa8151,10 -6722,6722,0,00000003e4aa8152,1c,00000003e4aa8152,00 -6723,6723,0,00000003e4aa8153,1c,00000003e4aa8153,00 -6724,6724,0,00000003e4aa8154,1c,00000003e4aa8154,00 -6725,6725,0,00000003e4aa8155,1c,00000003e4aa8155,00 -6726,6726,0,00000003e4aa8156,1c,00000003e4aa8156,00 -6727,6727,0,00000003e4aa8157,1c,00000003e4aa8157,00 -6728,6728,0,00000003e4aa8158,1c,00000003e4aa8158,00 -6729,6729,0,00000003e4aa8159,1c,00000003e4aa8159,00 -6730,6730,0,00000003e4aa815a,1c,00000003e4aa815a,00 -6731,6731,0,00000003e4aa815b,1c,00000003e4aa815b,00 -6732,6732,0,00000003e4aa815c,1c,00000003e4aa815c,00 -6733,6733,0,00000003e4aa815d,1c,00000003e4aa815d,00 -6734,6734,0,00000003e4aa815e,1c,00000003e4aa815e,00 -6735,6735,0,00000003e4aa815f,1c,00000003e4aa815f,00 -6736,6736,0,00000003e4aa8160,1e,00000003e4aa8160,00 -6737,6737,0,00000003e4aa8161,1d,00000003e4aa8161,10 -6738,6738,0,00000003e4aa8162,1c,00000003e4aa8162,00 -6739,6739,0,00000003e4aa8163,1c,00000003e4aa8163,00 -6740,6740,0,00000003e4aa8164,1c,00000003e4aa8164,00 -6741,6741,0,00000003e4aa8165,1c,00000003e4aa8165,00 -6742,6742,0,00000003e4aa8166,1c,00000003e4aa8166,00 -6743,6743,0,00000003e4aa8167,1c,00000003e4aa8167,00 -6744,6744,0,00000003e4aa8168,1c,00000003e4aa8168,00 -6745,6745,0,00000003e4aa8169,1c,00000003e4aa8169,00 -6746,6746,0,00000003e4aa816a,1c,00000003e4aa816a,00 -6747,6747,0,00000003e4aa816b,1c,00000003e4aa816b,00 -6748,6748,0,00000003e4aa816c,1c,00000003e4aa816c,00 -6749,6749,0,00000003e4aa816d,1c,00000003e4aa816d,00 -6750,6750,0,00000003e4aa816e,1c,00000003e4aa816e,00 -6751,6751,0,00000003e4aa816f,1c,00000003e4aa816f,00 -6752,6752,0,00000003e4aa8170,1e,00000003e4aa8170,0f -6753,6753,0,00000003e4aa8171,1d,00000003e4aa8171,10 -6754,6754,0,00000003e4aa8172,1c,00000003e4aa8172,00 -6755,6755,0,00000003e4aa8173,1c,00000003e4aa8173,00 -6756,6756,0,00000003e4aa8174,1c,00000003e4aa8174,00 -6757,6757,0,00000003e4aa8175,1c,00000003e4aa8175,00 -6758,6758,0,00000003e4aa8176,1c,00000003e4aa8176,00 -6759,6759,0,00000003e4aa8177,1c,00000003e4aa8177,00 -6760,6760,0,00000003e4aa8178,1c,00000003e4aa8178,00 -6761,6761,0,00000003e4aa8179,1c,00000003e4aa8179,00 -6762,6762,0,00000003e4aa817a,1c,00000003e4aa817a,00 -6763,6763,0,00000003e4aa817b,1c,00000003e4aa817b,00 -6764,6764,0,00000003e4aa817c,1c,00000003e4aa817c,00 -6765,6765,0,00000003e4aa817d,1c,00000003e4aa817d,00 -6766,6766,0,00000003e4aa817e,1c,00000003e4aa817e,00 -6767,6767,0,00000003e4aa817f,1c,00000003e4aa817f,00 -6768,6768,0,00000003e4aa8180,1e,00000003e4aa8180,0f -6769,6769,0,00000003e4aa8181,1d,00000003e4aa8181,10 -6770,6770,0,00000003e4aa8182,1c,00000003e4aa8182,00 -6771,6771,0,00000003e4aa8183,1c,00000003e4aa8183,00 -6772,6772,0,00000003e4aa8184,1c,00000003e4aa8184,00 -6773,6773,0,00000003e4aa8185,1c,00000003e4aa8185,00 -6774,6774,0,00000003e4aa8186,1c,00000003e4aa8186,00 -6775,6775,0,00000003e4aa8187,1c,00000003e4aa8187,00 -6776,6776,0,00000003e4aa8188,1c,00000003e4aa8188,00 -6777,6777,0,00000003e4aa8189,1c,00000003e4aa8189,00 -6778,6778,0,00000003e4aa818a,1c,00000003e4aa818a,00 -6779,6779,0,00000003e4aa818b,1c,00000003e4aa818b,00 -6780,6780,0,00000003e4aa818c,1c,00000003e4aa818c,00 -6781,6781,0,00000003e4aa818d,1c,00000003e4aa818d,00 -6782,6782,0,00000003e4aa818e,1c,00000003e4aa818e,00 -6783,6783,0,00000003e4aa818f,1c,00000003e4aa818f,00 -6784,6784,0,00000003e4aa8190,1e,00000003e4aa8190,0f -6785,6785,0,00000003e4aa8191,1d,00000003e4aa8191,10 -6786,6786,0,00000003e4aa8192,1c,00000003e4aa8192,00 -6787,6787,0,00000003e4aa8193,1c,00000003e4aa8193,00 -6788,6788,0,00000003e4aa8194,1c,00000003e4aa8194,00 -6789,6789,0,00000003e4aa8195,1c,00000003e4aa8195,00 -6790,6790,0,00000003e4aa8196,1c,00000003e4aa8196,00 -6791,6791,0,00000003e4aa8197,1c,00000003e4aa8197,00 -6792,6792,0,00000003e4aa8198,1c,00000003e4aa8198,00 -6793,6793,0,00000003e4aa8199,1c,00000003e4aa8199,00 -6794,6794,0,00000003e4aa819a,1c,00000003e4aa819a,00 -6795,6795,0,00000003e4aa819b,1c,00000003e4aa819b,00 -6796,6796,0,00000003e4aa819c,1c,00000003e4aa819c,00 -6797,6797,0,00000003e4aa819d,1c,00000003e4aa819d,00 -6798,6798,0,00000003e4aa819e,1c,00000003e4aa819e,00 -6799,6799,0,00000003e4aa819f,1c,00000003e4aa819f,00 -6800,6800,0,00000003e4aa81a0,1e,00000003e4aa81a0,0f -6801,6801,0,00000003e4aa81a1,1d,00000003e4aa81a1,10 -6802,6802,0,00000003e4aa81a2,1c,00000003e4aa81a2,00 -6803,6803,0,00000003e4aa81a3,1c,00000003e4aa81a3,00 -6804,6804,0,00000003e4aa81a4,1c,00000003e4aa81a4,00 -6805,6805,0,00000003e4aa81a5,1c,00000003e4aa81a5,00 -6806,6806,0,00000003e4aa81a6,1c,00000003e4aa81a6,00 -6807,6807,0,00000003e4aa81a7,1c,00000003e4aa81a7,00 -6808,6808,0,00000003e4aa81a8,1c,00000003e4aa81a8,00 -6809,6809,0,00000003e4aa81a9,1c,00000003e4aa81a9,00 -6810,6810,0,00000003e4aa81aa,1c,00000003e4aa81aa,00 -6811,6811,0,00000003e4aa81ab,1c,00000003e4aa81ab,00 -6812,6812,0,00000003e4aa81ac,1c,00000003e4aa81ac,00 -6813,6813,0,00000003e4aa81ad,1c,00000003e4aa81ad,00 -6814,6814,0,00000003e4aa81ae,1c,00000003e4aa81ae,00 -6815,6815,0,00000003e4aa81af,1c,00000003e4aa81af,00 -6816,6816,0,00000003e4aa81b0,1e,00000003e4aa81b0,0f -6817,6817,0,00000003e4aa81b1,1d,00000003e4aa81b1,10 -6818,6818,0,00000003e4aa81b2,1c,00000003e4aa81b2,00 -6819,6819,0,00000003e4aa81b3,1c,00000003e4aa81b3,00 -6820,6820,0,00000003e4aa81b4,1c,00000003e4aa81b4,00 -6821,6821,0,00000003e4aa81b5,1c,00000003e4aa81b5,00 -6822,6822,0,00000003e4aa81b6,1c,00000003e4aa81b6,00 -6823,6823,0,00000003e4aa81b7,1c,00000003e4aa81b7,00 -6824,6824,0,00000003e4aa81b8,1c,00000003e4aa81b8,00 -6825,6825,0,00000003e4aa81b9,1c,00000003e4aa81b9,00 -6826,6826,0,00000003e4aa81ba,1c,00000003e4aa81ba,00 -6827,6827,0,00000003e4aa81bb,1c,00000003e4aa81bb,00 -6828,6828,0,00000003e4aa81bc,1c,00000003e4aa81bc,00 -6829,6829,0,00000003e4aa81bd,1c,00000003e4aa81bd,00 -6830,6830,0,00000003e4aa81be,1c,00000003e4aa81be,00 -6831,6831,0,00000003e4aa81bf,1c,00000003e4aa81bf,00 -6832,6832,0,00000003e4aa81c0,1e,00000003e4aa81c0,0f -6833,6833,0,00000003e4aa81c1,1d,00000003e4aa81c1,10 -6834,6834,0,00000003e4aa81c2,1c,00000003e4aa81c2,00 -6835,6835,0,00000003e4aa81c3,1c,00000003e4aa81c3,00 -6836,6836,0,00000003e4aa81c4,1c,00000003e4aa81c4,00 -6837,6837,0,00000003e4aa81c5,1c,00000003e4aa81c5,00 -6838,6838,0,00000003e4aa81c6,1c,00000003e4aa81c6,00 -6839,6839,0,00000003e4aa81c7,1c,00000003e4aa81c7,00 -6840,6840,0,00000003e4aa81c8,1c,00000003e4aa81c8,00 -6841,6841,0,00000003e4aa81c9,1c,00000003e4aa81c9,00 -6842,6842,0,00000003e4aa81ca,1c,00000003e4aa81ca,00 -6843,6843,0,00000003e4aa81cb,1c,00000003e4aa81cb,00 -6844,6844,0,00000003e4aa81cc,1c,00000003e4aa81cc,00 -6845,6845,0,00000003e4aa81cd,1c,00000003e4aa81cd,00 -6846,6846,0,00000003e4aa81ce,1c,00000003e4aa81ce,00 -6847,6847,0,00000003e4aa81cf,1c,00000003e4aa81cf,00 -6848,6848,0,00000003e4aa81d0,1e,00000003e4aa81d0,0f -6849,6849,0,00000003e4aa81d1,1d,00000003e4aa81d1,10 -6850,6850,0,00000003e4aa81d2,1c,00000003e4aa81d2,00 -6851,6851,0,00000003e4aa81d3,1c,00000003e4aa81d3,00 -6852,6852,0,00000003e4aa81d4,1c,00000003e4aa81d4,00 -6853,6853,0,00000003e4aa81d5,1c,00000003e4aa81d5,00 -6854,6854,0,00000003e4aa81d6,1c,00000003e4aa81d6,00 -6855,6855,0,00000003e4aa81d7,1c,00000003e4aa81d7,00 -6856,6856,0,00000003e4aa81d8,1c,00000003e4aa81d8,00 -6857,6857,0,00000003e4aa81d9,1c,00000003e4aa81d9,00 -6858,6858,0,00000003e4aa81da,1c,00000003e4aa81da,00 -6859,6859,0,00000003e4aa81db,1c,00000003e4aa81db,00 -6860,6860,0,00000003e4aa81dc,1c,00000003e4aa81dc,00 -6861,6861,0,00000003e4aa81dd,1c,00000003e4aa81dd,00 -6862,6862,0,00000003e4aa81de,1c,00000003e4aa81de,00 -6863,6863,0,00000003e4aa81df,1c,00000003e4aa81df,00 -6864,6864,0,00000003e4aa81e0,1e,00000003e4aa81e0,0f -6865,6865,0,00000003e4aa81e1,1d,00000003e4aa81e1,10 -6866,6866,0,00000003e4aa81e2,1c,00000003e4aa81e2,00 -6867,6867,0,00000003e4aa81e3,1c,00000003e4aa81e3,00 -6868,6868,0,00000003e4aa81e4,1c,00000003e4aa81e4,00 -6869,6869,0,00000003e4aa81e5,1c,00000003e4aa81e5,00 -6870,6870,0,00000003e4aa81e6,1c,00000003e4aa81e6,00 -6871,6871,0,00000003e4aa81e7,1c,00000003e4aa81e7,00 -6872,6872,0,00000003e4aa81e8,1c,00000003e4aa81e8,00 -6873,6873,0,00000003e4aa81e9,1c,00000003e4aa81e9,00 -6874,6874,0,00000003e4aa81ea,1c,00000003e4aa81ea,00 -6875,6875,0,00000003e4aa81eb,1c,00000003e4aa81eb,00 -6876,6876,0,00000003e4aa81ec,1c,00000003e4aa81ec,00 -6877,6877,0,00000003e4aa81ed,1c,00000003e4aa81ed,00 -6878,6878,0,00000003e4aa81ee,1c,00000003e4aa81ee,00 -6879,6879,0,00000003e4aa81ef,1c,00000003e4aa81ef,00 -6880,6880,0,00000003e4aa81f0,1e,00000003e4aa81f0,0f -6881,6881,0,00000003e4aa81f1,1d,00000003e4aa81f1,10 -6882,6882,0,00000003e4aa81f2,1c,00000003e4aa81f2,00 -6883,6883,0,00000003e4aa81f3,1c,00000003e4aa81f3,00 -6884,6884,0,00000003e4aa81f4,1c,00000003e4aa81f4,00 -6885,6885,0,00000003e4aa81f5,1c,00000003e4aa81f5,00 -6886,6886,0,00000003e4aa81f6,1c,00000003e4aa81f6,00 -6887,6887,0,00000003e4aa81f7,1c,00000003e4aa81f7,00 -6888,6888,0,00000003e4aa81f8,1c,00000003e4aa81f8,00 -6889,6889,0,00000003e4aa81f9,1c,00000003e4aa81f9,00 -6890,6890,0,00000003e4aa81fa,1c,00000003e4aa81fa,00 -6891,6891,0,00000003e4aa81fb,1c,00000003e4aa81fb,00 -6892,6892,0,00000003e4aa81fc,1c,00000003e4aa81fc,00 -6893,6893,0,00000003e4aa81fd,1c,00000003e4aa81fd,00 -6894,6894,0,00000003e4aa81fe,1c,00000003e4aa81fe,00 -6895,6895,0,00000003e4aa81ff,1c,00000003e4aa81ff,00 -6896,6896,0,00000003e4aa8200,1e,00000003e4aa8200,00 -6897,6897,0,00000003e4aa8201,1d,00000003e4aa8201,10 -6898,6898,0,00000003e4aa8202,1c,00000003e4aa8202,00 -6899,6899,0,00000003e4aa8203,1c,00000003e4aa8203,00 -6900,6900,0,00000003e4aa8204,1c,00000003e4aa8204,00 -6901,6901,0,00000003e4aa8205,1c,00000003e4aa8205,00 -6902,6902,0,00000003e4aa8206,1c,00000003e4aa8206,00 -6903,6903,0,00000003e4aa8207,1c,00000003e4aa8207,00 -6904,6904,0,00000003e4aa8208,1c,00000003e4aa8208,00 -6905,6905,0,00000003e4aa8209,1c,00000003e4aa8209,00 -6906,6906,0,00000003e4aa820a,1c,00000003e4aa820a,00 -6907,6907,0,00000003e4aa820b,1c,00000003e4aa820b,00 -6908,6908,0,00000003e4aa820c,1c,00000003e4aa820c,00 -6909,6909,0,00000003e4aa820d,1c,00000003e4aa820d,00 -6910,6910,0,00000003e4aa820e,1c,00000003e4aa820e,00 -6911,6911,0,00000003e4aa820f,1c,00000003e4aa820f,00 -6912,6912,0,00000003e4aa8210,1e,00000003e4aa8210,0f -6913,6913,0,00000003e4aa8211,1d,00000003e4aa8211,10 -6914,6914,0,00000003e4aa8212,1c,00000003e4aa8212,00 -6915,6915,0,00000003e4aa8213,1c,00000003e4aa8213,00 -6916,6916,0,00000003e4aa8214,1c,00000003e4aa8214,00 -6917,6917,0,00000003e4aa8215,1c,00000003e4aa8215,00 -6918,6918,0,00000003e4aa8216,1c,00000003e4aa8216,00 -6919,6919,0,00000003e4aa8217,1c,00000003e4aa8217,00 -6920,6920,0,00000003e4aa8218,1c,00000003e4aa8218,00 -6921,6921,0,00000003e4aa8219,1c,00000003e4aa8219,00 -6922,6922,0,00000003e4aa821a,1c,00000003e4aa821a,00 -6923,6923,0,00000003e4aa821b,1c,00000003e4aa821b,00 -6924,6924,0,00000003e4aa821c,1c,00000003e4aa821c,00 -6925,6925,0,00000003e4aa821d,1c,00000003e4aa821d,00 -6926,6926,0,00000003e4aa821e,1c,00000003e4aa821e,00 -6927,6927,0,00000003e4aa821f,1c,00000003e4aa821f,00 -6928,6928,0,00000003e4aa8220,1e,00000003e4aa8220,00 -6929,6929,0,00000003e4aa8221,1d,00000003e4aa8221,10 -6930,6930,0,00000003e4aa8222,1c,00000003e4aa8222,00 -6931,6931,0,00000003e4aa8223,1c,00000003e4aa8223,00 -6932,6932,0,00000003e4aa8224,1c,00000003e4aa8224,00 -6933,6933,0,00000003e4aa8225,1c,00000003e4aa8225,00 -6934,6934,0,00000003e4aa8226,1c,00000003e4aa8226,00 -6935,6935,0,00000003e4aa8227,1c,00000003e4aa8227,00 -6936,6936,0,00000003e4aa8228,1c,00000003e4aa8228,00 -6937,6937,0,00000003e4aa8229,1c,00000003e4aa8229,00 -6938,6938,0,00000003e4aa822a,1c,00000003e4aa822a,00 -6939,6939,0,00000003e4aa822b,1c,00000003e4aa822b,00 -6940,6940,0,00000003e4aa822c,1c,00000003e4aa822c,00 -6941,6941,0,00000003e4aa822d,1c,00000003e4aa822d,00 -6942,6942,0,00000003e4aa822e,1c,00000003e4aa822e,00 -6943,6943,0,00000003e4aa822f,1c,00000003e4aa822f,00 -6944,6944,0,00000003e4aa8230,1e,00000003e4aa8230,0f -6945,6945,0,00000003e4aa8231,1d,00000003e4aa8231,10 -6946,6946,0,00000003e4aa8232,1c,00000003e4aa8232,00 -6947,6947,0,00000003e4aa8233,1c,00000003e4aa8233,00 -6948,6948,0,00000003e4aa8234,1c,00000003e4aa8234,00 -6949,6949,0,00000003e4aa8235,1c,00000003e4aa8235,00 -6950,6950,0,00000003e4aa8236,1c,00000003e4aa8236,00 -6951,6951,0,00000003e4aa8237,1c,00000003e4aa8237,00 -6952,6952,0,00000003e4aa8238,1c,00000003e4aa8238,00 -6953,6953,0,00000003e4aa8239,1c,00000003e4aa8239,00 -6954,6954,0,00000003e4aa823a,1c,00000003e4aa823a,00 -6955,6955,0,00000003e4aa823b,1c,00000003e4aa823b,00 -6956,6956,0,00000003e4aa823c,1c,00000003e4aa823c,00 -6957,6957,0,00000003e4aa823d,1c,00000003e4aa823d,00 -6958,6958,0,00000003e4aa823e,1c,00000003e4aa823e,00 -6959,6959,0,00000003e4aa823f,1c,00000003e4aa823f,00 -6960,6960,0,00000003e4aa8240,1e,00000003e4aa8240,0f -6961,6961,0,00000003e4aa8241,1d,00000003e4aa8241,10 -6962,6962,0,00000003e4aa8242,1c,00000003e4aa8242,00 -6963,6963,0,00000003e4aa8243,1c,00000003e4aa8243,00 -6964,6964,0,00000003e4aa8244,1c,00000003e4aa8244,00 -6965,6965,0,00000003e4aa8245,1c,00000003e4aa8245,00 -6966,6966,0,00000003e4aa8246,1c,00000003e4aa8246,00 -6967,6967,0,00000003e4aa8247,1c,00000003e4aa8247,00 -6968,6968,0,00000003e4aa8248,1c,00000003e4aa8248,00 -6969,6969,0,00000003e4aa8249,1c,00000003e4aa8249,00 -6970,6970,0,00000003e4aa824a,1c,00000003e4aa824a,00 -6971,6971,0,00000003e4aa824b,1c,00000003e4aa824b,00 -6972,6972,0,00000003e4aa824c,1c,00000003e4aa824c,00 -6973,6973,0,00000003e4aa824d,1c,00000003e4aa824d,00 -6974,6974,0,00000003e4aa824e,1c,00000003e4aa824e,00 -6975,6975,0,00000003e4aa824f,1c,00000003e4aa824f,00 -6976,6976,0,00000003e4aa8250,1e,00000003e4aa8250,00 -6977,6977,0,00000003e4aa8251,1d,00000003e4aa8251,10 -6978,6978,0,00000003e4aa8252,1c,00000003e4aa8252,00 -6979,6979,0,00000003e4aa8253,1c,00000003e4aa8253,00 -6980,6980,0,00000003e4aa8254,1c,00000003e4aa8254,00 -6981,6981,0,00000003e4aa8255,1c,00000003e4aa8255,00 -6982,6982,0,00000003e4aa8256,1c,00000003e4aa8256,00 -6983,6983,0,00000003e4aa8257,1c,00000003e4aa8257,00 -6984,6984,0,00000003e4aa8258,1c,00000003e4aa8258,00 -6985,6985,0,00000003e4aa8259,1c,00000003e4aa8259,00 -6986,6986,0,00000003e4aa825a,1c,00000003e4aa825a,00 -6987,6987,0,00000003e4aa825b,1c,00000003e4aa825b,00 -6988,6988,0,00000003e4aa825c,1c,00000003e4aa825c,00 -6989,6989,0,00000003e4aa825d,1c,00000003e4aa825d,00 -6990,6990,0,00000003e4aa825e,1c,00000003e4aa825e,00 -6991,6991,0,00000003e4aa825f,1c,00000003e4aa825f,00 -6992,6992,0,00000003e4aa8260,1e,00000003e4aa8260,0f -6993,6993,0,00000003e4aa8261,1d,00000003e4aa8261,10 -6994,6994,0,00000003e4aa8262,1c,00000003e4aa8262,00 -6995,6995,0,00000003e4aa8263,1c,00000003e4aa8263,00 -6996,6996,0,00000003e4aa8264,1c,00000003e4aa8264,00 -6997,6997,0,00000003e4aa8265,1c,00000003e4aa8265,00 -6998,6998,0,00000003e4aa8266,1c,00000003e4aa8266,00 -6999,6999,0,00000003e4aa8267,1c,00000003e4aa8267,00 -7000,7000,0,00000003e4aa8268,1c,00000003e4aa8268,00 -7001,7001,0,00000003e4aa8269,1c,00000003e4aa8269,00 -7002,7002,0,00000003e4aa826a,1c,00000003e4aa826a,00 -7003,7003,0,00000003e4aa826b,1c,00000003e4aa826b,00 -7004,7004,0,00000003e4aa826c,1c,00000003e4aa826c,00 -7005,7005,0,00000003e4aa826d,1c,00000003e4aa826d,00 -7006,7006,0,00000003e4aa826e,1c,00000003e4aa826e,00 -7007,7007,0,00000003e4aa826f,1c,00000003e4aa826f,00 -7008,7008,0,00000003e4aa8270,1e,00000003e4aa8270,0f -7009,7009,0,00000003e4aa8271,1d,00000003e4aa8271,10 -7010,7010,0,00000003e4aa8272,1c,00000003e4aa8272,00 -7011,7011,0,00000003e4aa8273,1c,00000003e4aa8273,00 -7012,7012,0,00000003e4aa8274,1c,00000003e4aa8274,00 -7013,7013,0,00000003e4aa8275,1c,00000003e4aa8275,00 -7014,7014,0,00000003e4aa8276,1c,00000003e4aa8276,00 -7015,7015,0,00000003e4aa8277,1c,00000003e4aa8277,00 -7016,7016,0,00000003e4aa8278,1c,00000003e4aa8278,00 -7017,7017,0,00000003e4aa8279,1c,00000003e4aa8279,00 -7018,7018,0,00000003e4aa827a,1c,00000003e4aa827a,00 -7019,7019,0,00000003e4aa827b,1c,00000003e4aa827b,00 -7020,7020,0,00000003e4aa827c,1c,00000003e4aa827c,00 -7021,7021,0,00000003e4aa827d,1c,00000003e4aa827d,00 -7022,7022,0,00000003e4aa827e,1c,00000003e4aa827e,00 -7023,7023,0,00000003e4aa827f,1c,00000003e4aa827f,00 -7024,7024,0,00000003e4aa8280,1e,00000003e4aa8280,0f -7025,7025,0,00000003e4aa8281,1d,00000003e4aa8281,10 -7026,7026,0,00000003e4aa8282,1c,00000003e4aa8282,00 -7027,7027,0,00000003e4aa8283,1c,00000003e4aa8283,00 -7028,7028,0,00000003e4aa8284,1c,00000003e4aa8284,00 -7029,7029,0,00000003e4aa8285,1c,00000003e4aa8285,00 -7030,7030,0,00000003e4aa8286,1c,00000003e4aa8286,00 -7031,7031,0,00000003e4aa8287,1c,00000003e4aa8287,00 -7032,7032,0,00000003e4aa8288,1c,00000003e4aa8288,00 -7033,7033,0,00000003e4aa8289,1c,00000003e4aa8289,00 -7034,7034,0,00000003e4aa828a,1c,00000003e4aa828a,00 -7035,7035,0,00000003e4aa828b,1c,00000003e4aa828b,00 -7036,7036,0,00000003e4aa828c,1c,00000003e4aa828c,00 -7037,7037,0,00000003e4aa828d,1c,00000003e4aa828d,00 -7038,7038,0,00000003e4aa828e,1c,00000003e4aa828e,00 -7039,7039,0,00000003e4aa828f,1c,00000003e4aa828f,00 -7040,7040,0,00000003e4aa8290,1e,00000003e4aa8290,0f -7041,7041,0,00000003e4aa8291,1d,00000003e4aa8291,10 -7042,7042,0,00000003e4aa8292,1c,00000003e4aa8292,00 -7043,7043,0,00000003e4aa8293,1c,00000003e4aa8293,00 -7044,7044,0,00000003e4aa8294,1c,00000003e4aa8294,00 -7045,7045,0,00000003e4aa8295,1c,00000003e4aa8295,00 -7046,7046,0,00000003e4aa8296,1c,00000003e4aa8296,00 -7047,7047,0,00000003e4aa8297,1c,00000003e4aa8297,00 -7048,7048,0,00000003e4aa8298,1c,00000003e4aa8298,00 -7049,7049,0,00000003e4aa8299,1c,00000003e4aa8299,00 -7050,7050,0,00000003e4aa829a,1c,00000003e4aa829a,00 -7051,7051,0,00000003e4aa829b,1c,00000003e4aa829b,00 -7052,7052,0,00000003e4aa829c,1c,00000003e4aa829c,00 -7053,7053,0,00000003e4aa829d,1c,00000003e4aa829d,00 -7054,7054,0,00000003e4aa829e,1c,00000003e4aa829e,00 -7055,7055,0,00000003e4aa829f,1c,00000003e4aa829f,00 -7056,7056,0,00000003e4aa82a0,1e,00000003e4aa82a0,0f -7057,7057,0,00000003e4aa82a1,1d,00000003e4aa82a1,10 -7058,7058,0,00000003e4aa82a2,1c,00000003e4aa82a2,00 -7059,7059,0,00000003e4aa82a3,1c,00000003e4aa82a3,00 -7060,7060,0,00000003e4aa82a4,1c,00000003e4aa82a4,00 -7061,7061,0,00000003e4aa82a5,1c,00000003e4aa82a5,00 -7062,7062,0,00000003e4aa82a6,1c,00000003e4aa82a6,00 -7063,7063,0,00000003e4aa82a7,1c,00000003e4aa82a7,00 -7064,7064,0,00000003e4aa82a8,1c,00000003e4aa82a8,00 -7065,7065,0,00000003e4aa82a9,1c,00000003e4aa82a9,00 -7066,7066,0,00000003e4aa82aa,1c,00000003e4aa82aa,00 -7067,7067,0,00000003e4aa82ab,1c,00000003e4aa82ab,00 -7068,7068,0,00000003e4aa82ac,1c,00000003e4aa82ac,00 -7069,7069,0,00000003e4aa82ad,1c,00000003e4aa82ad,00 -7070,7070,0,00000003e4aa82ae,1c,00000003e4aa82ae,00 -7071,7071,0,00000003e4aa82af,1c,00000003e4aa82af,00 -7072,7072,0,00000003e4aa82b0,1e,00000003e4aa82b0,0f -7073,7073,0,00000003e4aa82b1,1d,00000003e4aa82b1,10 -7074,7074,0,00000003e4aa82b2,1c,00000003e4aa82b2,00 -7075,7075,0,00000003e4aa82b3,1c,00000003e4aa82b3,00 -7076,7076,0,00000003e4aa82b4,1c,00000003e4aa82b4,00 -7077,7077,0,00000003e4aa82b5,1c,00000003e4aa82b5,00 -7078,7078,0,00000003e4aa82b6,1c,00000003e4aa82b6,00 -7079,7079,0,00000003e4aa82b7,1c,00000003e4aa82b7,00 -7080,7080,0,00000003e4aa82b8,1c,00000003e4aa82b8,00 -7081,7081,0,00000003e4aa82b9,1c,00000003e4aa82b9,00 -7082,7082,0,00000003e4aa82ba,1c,00000003e4aa82ba,00 -7083,7083,0,00000003e4aa82bb,1c,00000003e4aa82bb,00 -7084,7084,0,00000003e4aa82bc,1c,00000003e4aa82bc,00 -7085,7085,0,00000003e4aa82bd,1c,00000003e4aa82bd,00 -7086,7086,0,00000003e4aa82be,1c,00000003e4aa82be,00 -7087,7087,0,00000003e4aa82bf,1c,00000003e4aa82bf,00 -7088,7088,0,00000003e4aa82c0,1e,00000003e4aa82c0,0f -7089,7089,0,00000003e4aa82c1,1d,00000003e4aa82c0,0f -7090,7090,0,00000003e4aa82c2,1c,00000003e4aa82c0,0f -7091,7091,0,00000003e4aa82c3,1c,00000003e4aa82c0,0f -7092,7092,0,00000003e4aa82c4,1c,00000003e4aa82c0,0f -7093,7093,0,00000003e4aa82c5,1c,00000003e4aa82c0,0f -7094,7094,0,00000003e4aa82c6,1c,00000003e4aa82c0,0f -7095,7095,0,00000003e4aa82c7,1c,00000003e4aa82c0,0f -7096,7096,0,00000003e4aa82c8,1c,00000003e4aa82c0,0f -7097,7097,0,00000003e4aa82c9,1c,00000003e4aa82c0,0f -7098,7098,0,00000003e4aa82ca,1c,00000003e4aa82c0,0f -7099,7099,0,00000003e4aa82cb,1c,00000003e4aa82c0,0f -7100,7100,0,00000003e4aa82cc,1c,00000003e4aa82c0,0f -7101,7101,0,00000003e4aa82cd,1c,00000003e4aa82c0,0f -7102,7102,0,00000003e4aa82ce,1c,00000003e4aa82c0,0f -7103,7103,0,00000003e4aa82cf,1c,00000003e4aa82c0,0f -7104,7104,0,00000003e4aa82d0,1e,00000003e4aa82d1,10 -7105,7105,0,00000003e4aa82d1,1d,00000003e4aa82d2,00 -7106,7106,0,00000003e4aa82d2,1c,00000003e4aa82d3,00 -7107,7107,0,00000003e4aa82d3,1c,00000003e4aa82d3,00 -7108,7108,0,00000003e4aa82d4,1c,00000003e4aa82d4,00 -7109,7109,0,00000003e4aa82d5,1c,00000003e4aa82d5,00 -7110,7110,0,00000003e4aa82d6,1c,00000003e4aa82d6,00 -7111,7111,0,00000003e4aa82d7,1c,00000003e4aa82d7,00 -7112,7112,0,00000003e4aa82d8,1c,00000003e4aa82d8,00 -7113,7113,0,00000003e4aa82d9,1c,00000003e4aa82d9,00 -7114,7114,0,00000003e4aa82da,1c,00000003e4aa82da,00 -7115,7115,0,00000003e4aa82db,1c,00000003e4aa82db,00 -7116,7116,0,00000003e4aa82dc,1c,00000003e4aa82dc,00 -7117,7117,0,00000003e4aa82dd,1c,00000003e4aa82dd,00 -7118,7118,0,00000003e4aa82de,1c,00000003e4aa82de,00 -7119,7119,0,00000003e4aa82df,1c,00000003e4aa82df,00 -7120,7120,0,00000003e4aa82e0,1e,00000003e4aa82e0,0f -7121,7121,0,00000003e4aa82e1,1d,00000003e4aa82e1,10 -7122,7122,0,00000003e4aa82e2,1c,00000003e4aa82e2,00 -7123,7123,0,00000003e4aa82e3,1c,00000003e4aa82e3,00 -7124,7124,0,00000003e4aa82e4,1c,00000003e4aa82e4,00 -7125,7125,0,00000003e4aa82e5,1c,00000003e4aa82e5,00 -7126,7126,0,00000003e4aa82e6,1c,00000003e4aa82e6,00 -7127,7127,0,00000003e4aa82e7,1c,00000003e4aa82e7,00 -7128,7128,0,00000003e4aa82e8,1c,00000003e4aa82e8,00 -7129,7129,0,00000003e4aa82e9,1c,00000003e4aa82e9,00 -7130,7130,0,00000003e4aa82ea,1c,00000003e4aa82ea,00 -7131,7131,0,00000003e4aa82eb,1c,00000003e4aa82eb,00 -7132,7132,0,00000003e4aa82ec,1c,00000003e4aa82ec,00 -7133,7133,0,00000003e4aa82ed,1c,00000003e4aa82ed,00 -7134,7134,0,00000003e4aa82ee,1c,00000003e4aa82ee,00 -7135,7135,0,00000003e4aa82ef,1c,00000003e4aa82ef,00 -7136,7136,0,00000003e4aa82f0,1e,00000003e4aa82f0,0f -7137,7137,0,00000003e4aa82f1,1d,00000003e4aa82f1,10 -7138,7138,0,00000003e4aa82f2,1c,00000003e4aa82f2,00 -7139,7139,0,00000003e4aa82f3,1c,00000003e4aa82f3,00 -7140,7140,0,00000003e4aa82f4,1c,00000003e4aa82f4,00 -7141,7141,0,00000003e4aa82f5,1c,00000003e4aa82f5,00 -7142,7142,0,00000003e4aa82f6,1c,00000003e4aa82f6,00 -7143,7143,0,00000003e4aa82f7,1c,00000003e4aa82f7,00 -7144,7144,0,00000003e4aa82f8,1c,00000003e4aa82f8,00 -7145,7145,0,00000003e4aa82f9,1c,00000003e4aa82f9,00 -7146,7146,0,00000003e4aa82fa,1c,00000003e4aa82fa,00 -7147,7147,0,00000003e4aa82fb,1c,00000003e4aa82fb,00 -7148,7148,0,00000003e4aa82fc,1c,00000003e4aa82fc,00 -7149,7149,0,00000003e4aa82fd,1c,00000003e4aa82fd,00 -7150,7150,0,00000003e4aa82fe,1c,00000003e4aa82fe,00 -7151,7151,0,00000003e4aa82ff,1c,00000003e4aa82ff,00 -7152,7152,0,00000003e4aa8300,1e,00000003e4aa8300,00 -7153,7153,0,00000003e4aa8301,1d,00000003e4aa8301,10 -7154,7154,0,00000003e4aa8302,1c,00000003e4aa8302,00 -7155,7155,0,00000003e4aa8303,1c,00000003e4aa8303,00 -7156,7156,0,00000003e4aa8304,1c,00000003e4aa8304,00 -7157,7157,0,00000003e4aa8305,1c,00000003e4aa8305,00 -7158,7158,0,00000003e4aa8306,1c,00000003e4aa8306,00 -7159,7159,0,00000003e4aa8307,1c,00000003e4aa8307,00 -7160,7160,0,00000003e4aa8308,1c,00000003e4aa8308,00 -7161,7161,0,00000003e4aa8309,1c,00000003e4aa8309,00 -7162,7162,0,00000003e4aa830a,1c,00000003e4aa830a,00 -7163,7163,0,00000003e4aa830b,1c,00000003e4aa830b,00 -7164,7164,0,00000003e4aa830c,1c,00000003e4aa830c,00 -7165,7165,0,00000003e4aa830d,1c,00000003e4aa830d,00 -7166,7166,0,00000003e4aa830e,1c,00000003e4aa830e,00 -7167,7167,0,00000003e4aa830f,1c,00000003e4aa830f,00 -7168,7168,0,00000003e4aa8310,1e,00000003e4aa8310,00 -7169,7169,0,00000003e4aa8311,1d,00000003e4aa8311,00 -7170,7170,0,00000003e4aa8312,1c,00000003e4aa8312,00 -7171,7171,0,00000003e4aa8313,1c,00000003e4aa8313,00 -7172,7172,0,00000003e4aa8314,1c,00000003e4aa8314,00 -7173,7173,0,00000003e4aa8315,1c,00000003e4aa8315,00 -7174,7174,0,00000003e4aa8316,1c,00000003e4aa8316,00 -7175,7175,0,00000003e4aa8317,1c,00000003e4aa8317,00 -7176,7176,0,00000003e4aa8318,1c,00000003e4aa8318,00 -7177,7177,0,00000003e4aa8319,1c,00000003e4aa8319,00 -7178,7178,0,00000003e4aa831a,1c,00000003e4aa831a,00 -7179,7179,0,00000003e4aa831b,1c,00000003e4aa831b,00 -7180,7180,0,00000003e4aa831c,1c,00000003e4aa831c,00 -7181,7181,0,00000003e4aa831d,1c,00000003e4aa831d,00 -7182,7182,0,00000003e4aa831e,1c,00000003e4aa831e,00 -7183,7183,0,00000003e4aa831f,1c,00000003e4aa831f,00 -7184,7184,0,00000003e4aa8320,1e,00000003e4aa8320,0f -7185,7185,0,00000003e4aa8321,1d,00000003e4aa8321,10 -7186,7186,0,00000003e4aa8322,1c,00000003e4aa8322,00 -7187,7187,0,00000003e4aa8323,1c,00000003e4aa8323,00 -7188,7188,0,00000003e4aa8324,1c,00000003e4aa8324,00 -7189,7189,0,00000003e4aa8325,1c,00000003e4aa8325,00 -7190,7190,0,00000003e4aa8326,1c,00000003e4aa8326,00 -7191,7191,0,00000003e4aa8327,1c,00000003e4aa8327,00 -7192,7192,0,00000003e4aa8328,1c,00000003e4aa8328,00 -7193,7193,0,00000003e4aa8329,1c,00000003e4aa8329,00 -7194,7194,0,00000003e4aa832a,1c,00000003e4aa832a,00 -7195,7195,0,00000003e4aa832b,1c,00000003e4aa832b,00 -7196,7196,0,00000003e4aa832c,1c,00000003e4aa832c,00 -7197,7197,0,00000003e4aa832d,1c,00000003e4aa832d,00 -7198,7198,0,00000003e4aa832e,1c,00000003e4aa832e,00 -7199,7199,0,00000003e4aa832f,1c,00000003e4aa832f,00 -7200,7200,0,00000003e4aa8330,1e,00000003e4aa8330,0f -7201,7201,0,00000003e4aa8331,1d,00000003e4aa8331,10 -7202,7202,0,00000003e4aa8332,1c,00000003e4aa8332,00 -7203,7203,0,00000003e4aa8333,1c,00000003e4aa8333,00 -7204,7204,0,00000003e4aa8334,1c,00000003e4aa8334,00 -7205,7205,0,00000003e4aa8335,1c,00000003e4aa8335,00 -7206,7206,0,00000003e4aa8336,1c,00000003e4aa8336,00 -7207,7207,0,00000003e4aa8337,1c,00000003e4aa8337,00 -7208,7208,0,00000003e4aa8338,1c,00000003e4aa8338,00 -7209,7209,0,00000003e4aa8339,1c,00000003e4aa8339,00 -7210,7210,0,00000003e4aa833a,1c,00000003e4aa833a,00 -7211,7211,0,00000003e4aa833b,1c,00000003e4aa833b,00 -7212,7212,0,00000003e4aa833c,1c,00000003e4aa833c,00 -7213,7213,0,00000003e4aa833d,1c,00000003e4aa833d,00 -7214,7214,0,00000003e4aa833e,1c,00000003e4aa833e,00 -7215,7215,0,00000003e4aa833f,1c,00000003e4aa833f,00 -7216,7216,0,00000003e4aa8340,1e,00000003e4aa8340,0f -7217,7217,0,00000003e4aa8341,1d,00000003e4aa8341,10 -7218,7218,0,00000003e4aa8342,1c,00000003e4aa8342,00 -7219,7219,0,00000003e4aa8343,1c,00000003e4aa8343,00 -7220,7220,0,00000003e4aa8344,1c,00000003e4aa8344,00 -7221,7221,0,00000003e4aa8345,1c,00000003e4aa8345,00 -7222,7222,0,00000003e4aa8346,1c,00000003e4aa8346,00 -7223,7223,0,00000003e4aa8347,1c,00000003e4aa8347,00 -7224,7224,0,00000003e4aa8348,1c,00000003e4aa8348,00 -7225,7225,0,00000003e4aa8349,1c,00000003e4aa8349,00 -7226,7226,0,00000003e4aa834a,1c,00000003e4aa834a,00 -7227,7227,0,00000003e4aa834b,1c,00000003e4aa834b,00 -7228,7228,0,00000003e4aa834c,1c,00000003e4aa834c,00 -7229,7229,0,00000003e4aa834d,1c,00000003e4aa834d,00 -7230,7230,0,00000003e4aa834e,1c,00000003e4aa834e,00 -7231,7231,0,00000003e4aa834f,1c,00000003e4aa834f,00 -7232,7232,0,00000003e4aa8350,1e,00000003e4aa8350,0f -7233,7233,0,00000003e4aa8351,1d,00000003e4aa8351,10 -7234,7234,0,00000003e4aa8352,1c,00000003e4aa8352,00 -7235,7235,0,00000003e4aa8353,1c,00000003e4aa8353,00 -7236,7236,0,00000003e4aa8354,1c,00000003e4aa8354,00 -7237,7237,0,00000003e4aa8355,1c,00000003e4aa8355,00 -7238,7238,0,00000003e4aa8356,1c,00000003e4aa8356,00 -7239,7239,0,00000003e4aa8357,1c,00000003e4aa8357,00 -7240,7240,0,00000003e4aa8358,1c,00000003e4aa8358,00 -7241,7241,0,00000003e4aa8359,1c,00000003e4aa8359,00 -7242,7242,0,00000003e4aa835a,1c,00000003e4aa835a,00 -7243,7243,0,00000003e4aa835b,1c,00000003e4aa835b,00 -7244,7244,0,00000003e4aa835c,1c,00000003e4aa835c,00 -7245,7245,0,00000003e4aa835d,1c,00000003e4aa835d,00 -7246,7246,0,00000003e4aa835e,1c,00000003e4aa835e,00 -7247,7247,0,00000003e4aa835f,1c,00000003e4aa835f,00 -7248,7248,0,00000003e4aa8360,1e,00000003e4aa8360,0f -7249,7249,0,00000003e4aa8361,1d,00000003e4aa8360,0f -7250,7250,0,00000003e4aa8362,1c,00000003e4aa8360,0f -7251,7251,0,00000003e4aa8363,1c,00000003e4aa8360,0f -7252,7252,0,00000003e4aa8364,1c,00000003e4aa8360,0f -7253,7253,0,00000003e4aa8365,1c,00000003e4aa8360,0f -7254,7254,0,00000003e4aa8366,1c,00000003e4aa8360,0f -7255,7255,0,00000003e4aa8367,1c,00000003e4aa8360,0f -7256,7256,0,00000003e4aa8368,1c,00000003e4aa8360,0f -7257,7257,0,00000003e4aa8369,1c,00000003e4aa8360,0f -7258,7258,0,00000003e4aa836a,1c,00000003e4aa8360,0f -7259,7259,0,00000003e4aa836b,1c,00000003e4aa8360,0f -7260,7260,0,00000003e4aa836c,1c,00000003e4aa8360,0f -7261,7261,0,00000003e4aa836d,1c,00000003e4aa8360,0f -7262,7262,0,00000003e4aa836e,1c,00000003e4aa8360,0f -7263,7263,0,00000003e4aa836f,1c,00000003e4aa8360,0f -7264,7264,0,00000003e4aa8370,1e,00000003e4aa8360,0f -7265,7265,0,00000003e4aa8371,1d,00000003e4aa8371,10 -7266,7266,0,00000003e4aa8372,1c,00000003e4aa8372,00 -7267,7267,0,00000003e4aa8373,1c,00000003e4aa8373,00 -7268,7268,0,00000003e4aa8374,1c,00000003e4aa8374,00 -7269,7269,0,00000003e4aa8375,1c,00000003e4aa8375,00 -7270,7270,0,00000003e4aa8376,1c,00000003e4aa8376,00 -7271,7271,0,00000003e4aa8377,1c,00000003e4aa8377,00 -7272,7272,0,00000003e4aa8378,1c,00000003e4aa8378,00 -7273,7273,0,00000003e4aa8379,1c,00000003e4aa8379,00 -7274,7274,0,00000003e4aa837a,1c,00000003e4aa837a,00 -7275,7275,0,00000003e4aa837b,1c,00000003e4aa837b,00 -7276,7276,0,00000003e4aa837c,1c,00000003e4aa837c,00 -7277,7277,0,00000003e4aa837d,1c,00000003e4aa837d,00 -7278,7278,0,00000003e4aa837e,1c,00000003e4aa837e,00 -7279,7279,0,00000003e4aa837f,1c,00000003e4aa837f,00 -7280,7280,0,00000003e4aa8380,1e,00000003e4aa8380,0f -7281,7281,0,00000003e4aa8381,1d,00000003e4aa8381,10 -7282,7282,0,00000003e4aa8382,1c,00000003e4aa8382,00 -7283,7283,0,00000003e4aa8383,1c,00000003e4aa8383,00 -7284,7284,0,00000003e4aa8384,1c,00000003e4aa8384,00 -7285,7285,0,00000003e4aa8385,1c,00000003e4aa8385,00 -7286,7286,0,00000003e4aa8386,1c,00000003e4aa8386,00 -7287,7287,0,00000003e4aa8387,1c,00000003e4aa8387,00 -7288,7288,0,00000003e4aa8388,1c,00000003e4aa8388,00 -7289,7289,0,00000003e4aa8389,1c,00000003e4aa8389,00 -7290,7290,0,00000003e4aa838a,1c,00000003e4aa838a,00 -7291,7291,0,00000003e4aa838b,1c,00000003e4aa838b,00 -7292,7292,0,00000003e4aa838c,1c,00000003e4aa838c,00 -7293,7293,0,00000003e4aa838d,1c,00000003e4aa838d,00 -7294,7294,0,00000003e4aa838e,1c,00000003e4aa838e,00 -7295,7295,0,00000003e4aa838f,1c,00000003e4aa838f,00 -7296,7296,0,00000003e4aa8390,1e,00000003e4aa8390,0f -7297,7297,0,00000003e4aa8391,1d,00000003e4aa8391,10 -7298,7298,0,00000003e4aa8392,1c,00000003e4aa8392,00 -7299,7299,0,00000003e4aa8393,1c,00000003e4aa8393,00 -7300,7300,0,00000003e4aa8394,1c,00000003e4aa8394,00 -7301,7301,0,00000003e4aa8395,1c,00000003e4aa8395,00 -7302,7302,0,00000003e4aa8396,1c,00000003e4aa8396,00 -7303,7303,0,00000003e4aa8397,1c,00000003e4aa8397,00 -7304,7304,0,00000003e4aa8398,1c,00000003e4aa8398,00 -7305,7305,0,00000003e4aa8399,1c,00000003e4aa8399,00 -7306,7306,0,00000003e4aa839a,1c,00000003e4aa839a,00 -7307,7307,0,00000003e4aa839b,1c,00000003e4aa839b,00 -7308,7308,0,00000003e4aa839c,1c,00000003e4aa839c,00 -7309,7309,0,00000003e4aa839d,1c,00000003e4aa839d,00 -7310,7310,0,00000003e4aa839e,1c,00000003e4aa839e,00 -7311,7311,0,00000003e4aa839f,1c,00000003e4aa839f,00 -7312,7312,0,00000003e4aa83a0,1e,00000003e4aa83a0,0f -7313,7313,0,00000003e4aa83a1,1d,00000003e4aa83a1,10 -7314,7314,0,00000003e4aa83a2,1c,00000003e4aa83a2,00 -7315,7315,0,00000003e4aa83a3,1c,00000003e4aa83a3,00 -7316,7316,0,00000003e4aa83a4,1c,00000003e4aa83a4,00 -7317,7317,0,00000003e4aa83a5,1c,00000003e4aa83a5,00 -7318,7318,0,00000003e4aa83a6,1c,00000003e4aa83a6,00 -7319,7319,0,00000003e4aa83a7,1c,00000003e4aa83a7,00 -7320,7320,0,00000003e4aa83a8,1c,00000003e4aa83a8,00 -7321,7321,0,00000003e4aa83a9,1c,00000003e4aa83a9,00 -7322,7322,0,00000003e4aa83aa,1c,00000003e4aa83aa,00 -7323,7323,0,00000003e4aa83ab,1c,00000003e4aa83ab,00 -7324,7324,0,00000003e4aa83ac,1c,00000003e4aa83ac,00 -7325,7325,0,00000003e4aa83ad,1c,00000003e4aa83ad,00 -7326,7326,0,00000003e4aa83ae,1c,00000003e4aa83ae,00 -7327,7327,0,00000003e4aa83af,1c,00000003e4aa83af,00 -7328,7328,0,00000003e4aa83b0,1e,00000003e4aa83b0,0f -7329,7329,0,00000003e4aa83b1,1d,00000003e4aa83b1,10 -7330,7330,0,00000003e4aa83b2,1c,00000003e4aa83b2,00 -7331,7331,0,00000003e4aa83b3,1c,00000003e4aa83b3,00 -7332,7332,0,00000003e4aa83b4,1c,00000003e4aa83b4,00 -7333,7333,0,00000003e4aa83b5,1c,00000003e4aa83b5,00 -7334,7334,0,00000003e4aa83b6,1c,00000003e4aa83b6,00 -7335,7335,0,00000003e4aa83b7,1c,00000003e4aa83b7,00 -7336,7336,0,00000003e4aa83b8,1c,00000003e4aa83b8,00 -7337,7337,0,00000003e4aa83b9,1c,00000003e4aa83b9,00 -7338,7338,0,00000003e4aa83ba,1c,00000003e4aa83ba,00 -7339,7339,0,00000003e4aa83bb,1c,00000003e4aa83bb,00 -7340,7340,0,00000003e4aa83bc,1c,00000003e4aa83bc,00 -7341,7341,0,00000003e4aa83bd,1c,00000003e4aa83bd,00 -7342,7342,0,00000003e4aa83be,1c,00000003e4aa83be,00 -7343,7343,0,00000003e4aa83bf,1c,00000003e4aa83bf,00 -7344,7344,0,00000003e4aa83c0,1e,00000003e4aa83c0,00 -7345,7345,0,00000003e4aa83c1,1d,00000003e4aa83c1,10 -7346,7346,0,00000003e4aa83c2,1c,00000003e4aa83c2,00 -7347,7347,0,00000003e4aa83c3,1c,00000003e4aa83c3,00 -7348,7348,0,00000003e4aa83c4,1c,00000003e4aa83c4,00 -7349,7349,0,00000003e4aa83c5,1c,00000003e4aa83c5,00 -7350,7350,0,00000003e4aa83c6,1c,00000003e4aa83c6,00 -7351,7351,0,00000003e4aa83c7,1c,00000003e4aa83c7,00 -7352,7352,0,00000003e4aa83c8,1c,00000003e4aa83c8,00 -7353,7353,0,00000003e4aa83c9,1c,00000003e4aa83c9,00 -7354,7354,0,00000003e4aa83ca,1c,00000003e4aa83ca,00 -7355,7355,0,00000003e4aa83cb,1c,00000003e4aa83cb,00 -7356,7356,0,00000003e4aa83cc,1c,00000003e4aa83cc,00 -7357,7357,0,00000003e4aa83cd,1c,00000003e4aa83cd,00 -7358,7358,0,00000003e4aa83ce,1c,00000003e4aa83ce,00 -7359,7359,0,00000003e4aa83cf,1c,00000003e4aa83cf,00 -7360,7360,0,00000003e4aa83d0,1e,00000003e4aa83d0,0f -7361,7361,0,00000003e4aa83d1,1d,00000003e4aa83d1,10 -7362,7362,0,00000003e4aa83d2,1c,00000003e4aa83d2,00 -7363,7363,0,00000003e4aa83d3,1c,00000003e4aa83d3,00 -7364,7364,0,00000003e4aa83d4,1c,00000003e4aa83d4,00 -7365,7365,0,00000003e4aa83d5,1c,00000003e4aa83d5,00 -7366,7366,0,00000003e4aa83d6,1c,00000003e4aa83d6,00 -7367,7367,0,00000003e4aa83d7,1c,00000003e4aa83d7,00 -7368,7368,0,00000003e4aa83d8,1c,00000003e4aa83d8,00 -7369,7369,0,00000003e4aa83d9,1c,00000003e4aa83d9,00 -7370,7370,0,00000003e4aa83da,1c,00000003e4aa83da,00 -7371,7371,0,00000003e4aa83db,1c,00000003e4aa83db,00 -7372,7372,0,00000003e4aa83dc,1c,00000003e4aa83dc,00 -7373,7373,0,00000003e4aa83dd,1c,00000003e4aa83dd,00 -7374,7374,0,00000003e4aa83de,1c,00000003e4aa83de,00 -7375,7375,0,00000003e4aa83df,1c,00000003e4aa83df,00 -7376,7376,0,00000003e4aa83e0,1e,00000003e4aa83e0,0f -7377,7377,0,00000003e4aa83e1,1d,00000003e4aa83e1,10 -7378,7378,0,00000003e4aa83e2,1c,00000003e4aa83e2,00 -7379,7379,0,00000003e4aa83e3,1c,00000003e4aa83e3,00 -7380,7380,0,00000003e4aa83e4,1c,00000003e4aa83e4,00 -7381,7381,0,00000003e4aa83e5,1c,00000003e4aa83e5,00 -7382,7382,0,00000003e4aa83e6,1c,00000003e4aa83e6,00 -7383,7383,0,00000003e4aa83e7,1c,00000003e4aa83e7,00 -7384,7384,0,00000003e4aa83e8,1c,00000003e4aa83e8,00 -7385,7385,0,00000003e4aa83e9,1c,00000003e4aa83e9,00 -7386,7386,0,00000003e4aa83ea,1c,00000003e4aa83ea,00 -7387,7387,0,00000003e4aa83eb,1c,00000003e4aa83eb,00 -7388,7388,0,00000003e4aa83ec,1c,00000003e4aa83ec,00 -7389,7389,0,00000003e4aa83ed,1c,00000003e4aa83ed,00 -7390,7390,0,00000003e4aa83ee,1c,00000003e4aa83ee,00 -7391,7391,0,00000003e4aa83ef,1c,00000003e4aa83ef,00 -7392,7392,0,00000003e4aa83f0,1e,00000003e4aa83f0,0f -7393,7393,0,00000003e4aa83f1,1d,00000003e4aa83f1,10 -7394,7394,0,00000003e4aa83f2,1c,00000003e4aa83f2,00 -7395,7395,0,00000003e4aa83f3,1c,00000003e4aa83f3,00 -7396,7396,0,00000003e4aa83f4,1c,00000003e4aa83f4,00 -7397,7397,0,00000003e4aa83f5,1c,00000003e4aa83f5,00 -7398,7398,0,00000003e4aa83f6,1c,00000003e4aa83f6,00 -7399,7399,0,00000003e4aa83f7,1c,00000003e4aa83f7,00 -7400,7400,0,00000003e4aa83f8,1c,00000003e4aa83f8,00 -7401,7401,0,00000003e4aa83f9,1c,00000003e4aa83f9,00 -7402,7402,0,00000003e4aa83fa,1c,00000003e4aa83fa,00 -7403,7403,0,00000003e4aa83fb,1c,00000003e4aa83fb,00 -7404,7404,0,00000003e4aa83fc,1c,00000003e4aa83fc,00 -7405,7405,0,00000003e4aa83fd,1c,00000003e4aa83fd,00 -7406,7406,0,00000003e4aa83fe,1c,00000003e4aa83fe,00 -7407,7407,0,00000003e4aa83ff,1c,00000003e4aa83ff,00 -7408,7408,0,00000003e4aa8400,1e,00000003e4aa8400,0f -7409,7409,0,00000003e4aa8401,1d,00000003e4aa8401,10 -7410,7410,0,00000003e4aa8402,1c,00000003e4aa8402,00 -7411,7411,0,00000003e4aa8403,1c,00000003e4aa8403,00 -7412,7412,0,00000003e4aa8404,1c,00000003e4aa8404,00 -7413,7413,0,00000003e4aa8405,1c,00000003e4aa8405,00 -7414,7414,0,00000003e4aa8406,1c,00000003e4aa8406,00 -7415,7415,0,00000003e4aa8407,1c,00000003e4aa8407,00 -7416,7416,0,00000003e4aa8408,1c,00000003e4aa8408,00 -7417,7417,0,00000003e4aa8409,1c,00000003e4aa8409,00 -7418,7418,0,00000003e4aa840a,1c,00000003e4aa840a,00 -7419,7419,0,00000003e4aa840b,1c,00000003e4aa840b,00 -7420,7420,0,00000003e4aa840c,1c,00000003e4aa840c,00 -7421,7421,0,00000003e4aa840d,1c,00000003e4aa840d,00 -7422,7422,0,00000003e4aa840e,1c,00000003e4aa840e,00 -7423,7423,0,00000003e4aa840f,1c,00000003e4aa840f,00 -7424,7424,0,00000003e4aa8410,1e,00000003e4aa8410,0f -7425,7425,0,00000003e4aa8411,1d,00000003e4aa8411,10 -7426,7426,0,00000003e4aa8412,1c,00000003e4aa8412,00 -7427,7427,0,00000003e4aa8413,1c,00000003e4aa8413,00 -7428,7428,0,00000003e4aa8414,1c,00000003e4aa8414,00 -7429,7429,0,00000003e4aa8415,1c,00000003e4aa8415,00 -7430,7430,0,00000003e4aa8416,1c,00000003e4aa8416,00 -7431,7431,0,00000003e4aa8417,1c,00000003e4aa8417,00 -7432,7432,0,00000003e4aa8418,1c,00000003e4aa8418,00 -7433,7433,0,00000003e4aa8419,1c,00000003e4aa8419,00 -7434,7434,0,00000003e4aa841a,1c,00000003e4aa841a,00 -7435,7435,0,00000003e4aa841b,1c,00000003e4aa841b,00 -7436,7436,0,00000003e4aa841c,1c,00000003e4aa841c,00 -7437,7437,0,00000003e4aa841d,1c,00000003e4aa841d,00 -7438,7438,0,00000003e4aa841e,1c,00000003e4aa841e,00 -7439,7439,0,00000003e4aa841f,1c,00000003e4aa841f,00 -7440,7440,0,00000003e4aa8420,1e,00000003e4aa8420,0f -7441,7441,0,00000003e4aa8421,1d,00000003e4aa8421,10 -7442,7442,0,00000003e4aa8422,1c,00000003e4aa8422,00 -7443,7443,0,00000003e4aa8423,1c,00000003e4aa8423,00 -7444,7444,0,00000003e4aa8424,1c,00000003e4aa8424,00 -7445,7445,0,00000003e4aa8425,1c,00000003e4aa8425,00 -7446,7446,0,00000003e4aa8426,1c,00000003e4aa8426,00 -7447,7447,0,00000003e4aa8427,1c,00000003e4aa8427,00 -7448,7448,0,00000003e4aa8428,1c,00000003e4aa8428,00 -7449,7449,0,00000003e4aa8429,1c,00000003e4aa8429,00 -7450,7450,0,00000003e4aa842a,1c,00000003e4aa842a,00 -7451,7451,0,00000003e4aa842b,1c,00000003e4aa842b,00 -7452,7452,0,00000003e4aa842c,1c,00000003e4aa842c,00 -7453,7453,0,00000003e4aa842d,1c,00000003e4aa842d,00 -7454,7454,0,00000003e4aa842e,1c,00000003e4aa842e,00 -7455,7455,0,00000003e4aa842f,1c,00000003e4aa842f,00 -7456,7456,0,00000003e4aa8430,1e,00000003e4aa8430,0f -7457,7457,0,00000003e4aa8431,1d,00000003e4aa8431,10 -7458,7458,0,00000003e4aa8432,1c,00000003e4aa8432,00 -7459,7459,0,00000003e4aa8433,1c,00000003e4aa8433,00 -7460,7460,0,00000003e4aa8434,1c,00000003e4aa8434,00 -7461,7461,0,00000003e4aa8435,1c,00000003e4aa8435,00 -7462,7462,0,00000003e4aa8436,1c,00000003e4aa8436,00 -7463,7463,0,00000003e4aa8437,1c,00000003e4aa8437,00 -7464,7464,0,00000003e4aa8438,1c,00000003e4aa8438,00 -7465,7465,0,00000003e4aa8439,1c,00000003e4aa8439,00 -7466,7466,0,00000003e4aa843a,1c,00000003e4aa843a,00 -7467,7467,0,00000003e4aa843b,1c,00000003e4aa843b,00 -7468,7468,0,00000003e4aa843c,1c,00000003e4aa843c,00 -7469,7469,0,00000003e4aa843d,1c,00000003e4aa843d,00 -7470,7470,0,00000003e4aa843e,1c,00000003e4aa843e,00 -7471,7471,0,00000003e4aa843f,1c,00000003e4aa843f,00 -7472,7472,0,00000003e4aa8440,1e,00000003e4aa8440,00 -7473,7473,0,00000003e4aa8441,1d,00000003e4aa8441,10 -7474,7474,0,00000003e4aa8442,1c,00000003e4aa8442,00 -7475,7475,0,00000003e4aa8443,1c,00000003e4aa8443,00 -7476,7476,0,00000003e4aa8444,1c,00000003e4aa8444,00 -7477,7477,0,00000003e4aa8445,1c,00000003e4aa8445,00 -7478,7478,0,00000003e4aa8446,1c,00000003e4aa8446,00 -7479,7479,0,00000003e4aa8447,1c,00000003e4aa8447,00 -7480,7480,0,00000003e4aa8448,1c,00000003e4aa8448,00 -7481,7481,0,00000003e4aa8449,1c,00000003e4aa8449,00 -7482,7482,0,00000003e4aa844a,1c,00000003e4aa844a,00 -7483,7483,0,00000003e4aa844b,1c,00000003e4aa844b,00 -7484,7484,0,00000003e4aa844c,1c,00000003e4aa844c,00 -7485,7485,0,00000003e4aa844d,1c,00000003e4aa844d,00 -7486,7486,0,00000003e4aa844e,1c,00000003e4aa844e,00 -7487,7487,0,00000003e4aa844f,1c,00000003e4aa844f,00 -7488,7488,0,00000003e4aa8450,1e,00000003e4aa8450,0f -7489,7489,0,00000003e4aa8451,1d,00000003e4aa8451,10 -7490,7490,0,00000003e4aa8452,1c,00000003e4aa8452,00 -7491,7491,0,00000003e4aa8453,1c,00000003e4aa8453,00 -7492,7492,0,00000003e4aa8454,1c,00000003e4aa8454,00 -7493,7493,0,00000003e4aa8455,1c,00000003e4aa8455,00 -7494,7494,0,00000003e4aa8456,1c,00000003e4aa8456,00 -7495,7495,0,00000003e4aa8457,1c,00000003e4aa8457,00 -7496,7496,0,00000003e4aa8458,1c,00000003e4aa8458,00 -7497,7497,0,00000003e4aa8459,1c,00000003e4aa8459,00 -7498,7498,0,00000003e4aa845a,1c,00000003e4aa845a,00 -7499,7499,0,00000003e4aa845b,1c,00000003e4aa845b,00 -7500,7500,0,00000003e4aa845c,1c,00000003e4aa845c,00 -7501,7501,0,00000003e4aa845d,1c,00000003e4aa845d,00 -7502,7502,0,00000003e4aa845e,1c,00000003e4aa845e,00 -7503,7503,0,00000003e4aa845f,1c,00000003e4aa845f,00 -7504,7504,0,00000003e4aa8460,1e,00000003e4aa8460,0f -7505,7505,0,00000003e4aa8461,1d,00000003e4aa8461,10 -7506,7506,0,00000003e4aa8462,1c,00000003e4aa8461,00 -7507,7507,0,00000003e4aa8463,1c,00000003e4aa8462,00 -7508,7508,0,00000003e4aa8464,1c,00000003e4aa8463,00 -7509,7509,0,00000003e4aa8465,1c,00000003e4aa8464,00 -7510,7510,0,00000003e4aa8466,1c,00000003e4aa8465,00 -7511,7511,0,00000003e4aa8467,1c,00000003e4aa8466,00 -7512,7512,0,00000003e4aa8468,1c,00000003e4aa8467,00 -7513,7513,0,00000003e4aa8469,1c,00000003e4aa8468,00 -7514,7514,0,00000003e4aa846a,1c,00000003e4aa8469,00 -7515,7515,0,00000003e4aa846b,1c,00000003e4aa846a,00 -7516,7516,0,00000003e4aa846c,1c,00000003e4aa846b,00 -7517,7517,0,00000003e4aa846d,1c,00000003e4aa846c,00 -7518,7518,0,00000003e4aa846e,1c,00000003e4aa846d,00 -7519,7519,0,00000003e4aa846f,1c,00000003e4aa846e,00 -7520,7520,0,00000003e4aa8470,1e,00000003e4aa846f,00 -7521,7521,0,00000003e4aa8471,1d,00000003e4aa8470,0f -7522,7522,0,00000003e4aa8472,1c,00000003e4aa8471,10 -7523,7523,0,00000003e4aa8473,1c,00000003e4aa8472,00 -7524,7524,0,00000003e4aa8474,1c,00000003e4aa8473,00 -7525,7525,0,00000003e4aa8475,1c,00000003e4aa8474,00 -7526,7526,0,00000003e4aa8476,1c,00000003e4aa8475,00 -7527,7527,0,00000003e4aa8477,1c,00000003e4aa8476,00 -7528,7528,0,00000003e4aa8478,1c,00000003e4aa8477,00 -7529,7529,0,00000003e4aa8479,1c,00000003e4aa8478,00 -7530,7530,0,00000003e4aa847a,1c,00000003e4aa8479,00 -7531,7531,0,00000003e4aa847b,1c,00000003e4aa847a,00 -7532,7532,0,00000003e4aa847c,1c,00000003e4aa847b,00 -7533,7533,0,00000003e4aa847d,1c,00000003e4aa847c,00 -7534,7534,0,00000003e4aa847e,1c,00000003e4aa847d,00 -7535,7535,0,00000003e4aa847f,1c,00000003e4aa847e,00 -7536,7536,0,00000003e4aa8480,1e,00000003e4aa847f,00 -7537,7537,0,00000003e4aa8481,1d,00000003e4aa8480,00 -7538,7538,0,00000003e4aa8482,1c,00000003e4aa8481,10 -7539,7539,0,00000003e4aa8483,1c,00000003e4aa8482,00 -7540,7540,0,00000003e4aa8484,1c,00000003e4aa8483,00 -7541,7541,0,00000003e4aa8485,1c,00000003e4aa8484,00 -7542,7542,0,00000003e4aa8486,1c,00000003e4aa8485,00 -7543,7543,0,00000003e4aa8487,1c,00000003e4aa8486,00 -7544,7544,0,00000003e4aa8488,1c,00000003e4aa8487,00 -7545,7545,0,00000003e4aa8489,1c,00000003e4aa8488,00 -7546,7546,0,00000003e4aa848a,1c,00000003e4aa8489,00 -7547,7547,0,00000003e4aa848b,1c,00000003e4aa848a,00 -7548,7548,0,00000003e4aa848c,1c,00000003e4aa848b,00 -7549,7549,0,00000003e4aa848d,1c,00000003e4aa848c,00 -7550,7550,0,00000003e4aa848e,1c,00000003e4aa848d,00 -7551,7551,0,00000003e4aa848f,1c,00000003e4aa848e,00 -7552,7552,0,00000003e4aa8490,1e,00000003e4aa848f,00 -7553,7553,0,00000003e4aa8491,1d,00000003e4aa8490,0f -7554,7554,0,00000003e4aa8492,1c,00000003e4aa8491,10 -7555,7555,0,00000003e4aa8493,1c,00000003e4aa8492,00 -7556,7556,0,00000003e4aa8494,1c,00000003e4aa8493,00 -7557,7557,0,00000003e4aa8495,1c,00000003e4aa8494,00 -7558,7558,0,00000003e4aa8496,1c,00000003e4aa8495,00 -7559,7559,0,00000003e4aa8497,1c,00000003e4aa8496,00 -7560,7560,0,00000003e4aa8498,1c,00000003e4aa8497,00 -7561,7561,0,00000003e4aa8499,1c,00000003e4aa8498,00 -7562,7562,0,00000003e4aa849a,1c,00000003e4aa8499,00 -7563,7563,0,00000003e4aa849b,1c,00000003e4aa849a,00 -7564,7564,0,00000003e4aa849c,1c,00000003e4aa849b,00 -7565,7565,0,00000003e4aa849d,1c,00000003e4aa849c,00 -7566,7566,0,00000003e4aa849e,1c,00000003e4aa849d,00 -7567,7567,0,00000003e4aa849f,1c,00000003e4aa849e,00 -7568,7568,0,00000003e4aa84a0,1e,00000003e4aa849f,00 -7569,7569,0,00000003e4aa84a1,1d,00000003e4aa84a0,0f -7570,7570,0,00000003e4aa84a2,1c,00000003e4aa84a1,10 -7571,7571,0,00000003e4aa84a3,1c,00000003e4aa84a2,00 -7572,7572,0,00000003e4aa84a4,1c,00000003e4aa84a3,00 -7573,7573,0,00000003e4aa84a5,1c,00000003e4aa84a4,00 -7574,7574,0,00000003e4aa84a6,1c,00000003e4aa84a5,00 -7575,7575,0,00000003e4aa84a7,1c,00000003e4aa84a6,00 -7576,7576,0,00000003e4aa84a8,1c,00000003e4aa84a7,00 -7577,7577,0,00000003e4aa84a9,1c,00000003e4aa84a8,00 -7578,7578,0,00000003e4aa84aa,1c,00000003e4aa84a9,00 -7579,7579,0,00000003e4aa84ab,1c,00000003e4aa84aa,00 -7580,7580,0,00000003e4aa84ac,1c,00000003e4aa84ab,00 -7581,7581,0,00000003e4aa84ad,1c,00000003e4aa84ac,00 -7582,7582,0,00000003e4aa84ae,1c,00000003e4aa84ad,00 -7583,7583,0,00000003e4aa84af,1c,00000003e4aa84ae,00 -7584,7584,0,00000003e4aa84b0,1e,00000003e4aa84af,00 -7585,7585,0,00000003e4aa84b1,1d,00000003e4aa84b0,00 -7586,7586,0,00000003e4aa84b2,1c,00000003e4aa84b1,10 -7587,7587,0,00000003e4aa84b3,1c,00000003e4aa84b2,00 -7588,7588,0,00000003e4aa84b4,1c,00000003e4aa84b3,00 -7589,7589,0,00000003e4aa84b5,1c,00000003e4aa84b4,00 -7590,7590,0,00000003e4aa84b6,1c,00000003e4aa84b5,00 -7591,7591,0,00000003e4aa84b7,1c,00000003e4aa84b6,00 -7592,7592,0,00000003e4aa84b8,1c,00000003e4aa84b7,00 -7593,7593,0,00000003e4aa84b9,1c,00000003e4aa84b8,00 -7594,7594,0,00000003e4aa84ba,1c,00000003e4aa84b9,00 -7595,7595,0,00000003e4aa84bb,1c,00000003e4aa84ba,00 -7596,7596,0,00000003e4aa84bc,1c,00000003e4aa84bb,00 -7597,7597,0,00000003e4aa84bd,1c,00000003e4aa84bc,00 -7598,7598,0,00000003e4aa84be,1c,00000003e4aa84bd,00 -7599,7599,0,00000003e4aa84bf,1c,00000003e4aa84be,00 -7600,7600,0,00000003e4aa84c0,1e,00000003e4aa84bf,00 -7601,7601,0,00000003e4aa84c1,1d,00000003e4aa84c0,00 -7602,7602,0,00000003e4aa84c2,1c,00000003e4aa84c1,10 -7603,7603,0,00000003e4aa84c3,1c,00000003e4aa84c2,00 -7604,7604,0,00000003e4aa84c4,1c,00000003e4aa84c3,00 -7605,7605,0,00000003e4aa84c5,1c,00000003e4aa84c4,00 -7606,7606,0,00000003e4aa84c6,1c,00000003e4aa84c5,00 -7607,7607,0,00000003e4aa84c7,1c,00000003e4aa84c6,00 -7608,7608,0,00000003e4aa84c8,1c,00000003e4aa84c7,00 -7609,7609,0,00000003e4aa84c9,1c,00000003e4aa84c8,00 -7610,7610,0,00000003e4aa84ca,1c,00000003e4aa84c9,00 -7611,7611,0,00000003e4aa84cb,1c,00000003e4aa84ca,00 -7612,7612,0,00000003e4aa84cc,1c,00000003e4aa84cb,00 -7613,7613,0,00000003e4aa84cd,1c,00000003e4aa84cc,00 -7614,7614,0,00000003e4aa84ce,1c,00000003e4aa84cd,00 -7615,7615,0,00000003e4aa84cf,1c,00000003e4aa84ce,00 -7616,7616,0,00000003e4aa84d0,1e,00000003e4aa84cf,00 -7617,7617,0,00000003e4aa84d1,1d,00000003e4aa84d0,00 -7618,7618,0,00000003e4aa84d2,1c,00000003e4aa84d1,10 -7619,7619,0,00000003e4aa84d3,1c,00000003e4aa84d2,00 -7620,7620,0,00000003e4aa84d4,1c,00000003e4aa84d3,00 -7621,7621,0,00000003e4aa84d5,1c,00000003e4aa84d4,00 -7622,7622,0,00000003e4aa84d6,1c,00000003e4aa84d5,00 -7623,7623,0,00000003e4aa84d7,1c,00000003e4aa84d6,00 -7624,7624,0,00000003e4aa84d8,1c,00000003e4aa84d7,00 -7625,7625,0,00000003e4aa84d9,1c,00000003e4aa84d8,00 -7626,7626,0,00000003e4aa84da,1c,00000003e4aa84d9,00 -7627,7627,0,00000003e4aa84db,1c,00000003e4aa84da,00 -7628,7628,0,00000003e4aa84dc,1c,00000003e4aa84db,00 -7629,7629,0,00000003e4aa84dd,1c,00000003e4aa84dc,00 -7630,7630,0,00000003e4aa84de,1c,00000003e4aa84dd,00 -7631,7631,0,00000003e4aa84df,1c,00000003e4aa84de,00 -7632,7632,0,00000003e4aa84e0,1e,00000003e4aa84df,00 -7633,7633,0,00000003e4aa84e1,1d,00000003e4aa84e0,0f -7634,7634,0,00000003e4aa84e2,1c,00000003e4aa84e1,10 -7635,7635,0,00000003e4aa84e3,1c,00000003e4aa84e2,00 -7636,7636,0,00000003e4aa84e4,1c,00000003e4aa84e3,00 -7637,7637,0,00000003e4aa84e5,1c,00000003e4aa84e4,00 -7638,7638,0,00000003e4aa84e6,1c,00000003e4aa84e5,00 -7639,7639,0,00000003e4aa84e7,1c,00000003e4aa84e6,00 -7640,7640,0,00000003e4aa84e8,1c,00000003e4aa84e7,00 -7641,7641,0,00000003e4aa84e9,1c,00000003e4aa84e8,00 -7642,7642,0,00000003e4aa84ea,1c,00000003e4aa84e9,00 -7643,7643,0,00000003e4aa84eb,1c,00000003e4aa84ea,00 -7644,7644,0,00000003e4aa84ec,1c,00000003e4aa84eb,00 -7645,7645,0,00000003e4aa84ed,1c,00000003e4aa84ec,00 -7646,7646,0,00000003e4aa84ee,1c,00000003e4aa84ed,00 -7647,7647,0,00000003e4aa84ef,1c,00000003e4aa84ee,00 -7648,7648,0,00000003e4aa84f0,1e,00000003e4aa84ef,00 -7649,7649,0,00000003e4aa84f1,1d,00000003e4aa84f0,0f -7650,7650,0,00000003e4aa84f2,1c,00000003e4aa84f1,10 -7651,7651,0,00000003e4aa84f3,1c,00000003e4aa84f2,00 -7652,7652,0,00000003e4aa84f4,1c,00000003e4aa84f3,00 -7653,7653,0,00000003e4aa84f5,1c,00000003e4aa84f4,00 -7654,7654,0,00000003e4aa84f6,1c,00000003e4aa84f5,00 -7655,7655,0,00000003e4aa84f7,1c,00000003e4aa84f6,00 -7656,7656,0,00000003e4aa84f8,1c,00000003e4aa84f7,00 -7657,7657,0,00000003e4aa84f9,1c,00000003e4aa84f8,00 -7658,7658,0,00000003e4aa84fa,1c,00000003e4aa84f9,00 -7659,7659,0,00000003e4aa84fb,1c,00000003e4aa84fa,00 -7660,7660,0,00000003e4aa84fc,1c,00000003e4aa84fb,00 -7661,7661,0,00000003e4aa84fd,1c,00000003e4aa84fc,00 -7662,7662,0,00000003e4aa84fe,1c,00000003e4aa84fd,00 -7663,7663,0,00000003e4aa84ff,1c,00000003e4aa84fe,00 -7664,7664,0,00000003e4aa8500,1e,00000003e4aa84ff,00 -7665,7665,0,00000003e4aa8501,1d,00000003e4aa8500,0f -7666,7666,0,00000003e4aa8502,1c,00000003e4aa8501,10 -7667,7667,0,00000003e4aa8503,1c,00000003e4aa8502,00 -7668,7668,0,00000003e4aa8504,1c,00000003e4aa8503,00 -7669,7669,0,00000003e4aa8505,1c,00000003e4aa8504,00 -7670,7670,0,00000003e4aa8506,1c,00000003e4aa8505,00 -7671,7671,0,00000003e4aa8507,1c,00000003e4aa8506,00 -7672,7672,0,00000003e4aa8508,1c,00000003e4aa8507,00 -7673,7673,0,00000003e4aa8509,1c,00000003e4aa8508,00 -7674,7674,0,00000003e4aa850a,1c,00000003e4aa8509,00 -7675,7675,0,00000003e4aa850b,1c,00000003e4aa850a,00 -7676,7676,0,00000003e4aa850c,1c,00000003e4aa850b,00 -7677,7677,0,00000003e4aa850d,1c,00000003e4aa850c,00 -7678,7678,0,00000003e4aa850e,1c,00000003e4aa850d,00 -7679,7679,0,00000003e4aa850f,1c,00000003e4aa850e,00 -7680,7680,0,00000003e4aa8510,1e,00000003e4aa850f,00 -7681,7681,0,00000003e4aa8511,1d,00000003e4aa8510,0f -7682,7682,0,00000003e4aa8512,1c,00000003e4aa8511,10 -7683,7683,0,00000003e4aa8513,1c,00000003e4aa8512,00 -7684,7684,0,00000003e4aa8514,1c,00000003e4aa8513,00 -7685,7685,0,00000003e4aa8515,1c,00000003e4aa8514,00 -7686,7686,0,00000003e4aa8516,1c,00000003e4aa8515,00 -7687,7687,0,00000003e4aa8517,1c,00000003e4aa8516,00 -7688,7688,0,00000003e4aa8518,1c,00000003e4aa8517,00 -7689,7689,0,00000003e4aa8519,1c,00000003e4aa8518,00 -7690,7690,0,00000003e4aa851a,1c,00000003e4aa8519,00 -7691,7691,0,00000003e4aa851b,1c,00000003e4aa851a,00 -7692,7692,0,00000003e4aa851c,1c,00000003e4aa851b,00 -7693,7693,0,00000003e4aa851d,1c,00000003e4aa851c,00 -7694,7694,0,00000003e4aa851e,1c,00000003e4aa851d,00 -7695,7695,0,00000003e4aa851f,1c,00000003e4aa851e,00 -7696,7696,0,00000003e4aa8520,1e,00000003e4aa851f,00 -7697,7697,0,00000003e4aa8521,1d,00000003e4aa8520,0f -7698,7698,0,00000003e4aa8522,1c,00000003e4aa8521,10 -7699,7699,0,00000003e4aa8523,1c,00000003e4aa8522,00 -7700,7700,0,00000003e4aa8524,1c,00000003e4aa8523,00 -7701,7701,0,00000003e4aa8525,1c,00000003e4aa8524,00 -7702,7702,0,00000003e4aa8526,1c,00000003e4aa8525,00 -7703,7703,0,00000003e4aa8527,1c,00000003e4aa8526,00 -7704,7704,0,00000003e4aa8528,1c,00000003e4aa8527,00 -7705,7705,0,00000003e4aa8529,1c,00000003e4aa8528,00 -7706,7706,0,00000003e4aa852a,1c,00000003e4aa8529,00 -7707,7707,0,00000003e4aa852b,1c,00000003e4aa852a,00 -7708,7708,0,00000003e4aa852c,1c,00000003e4aa852b,00 -7709,7709,0,00000003e4aa852d,1c,00000003e4aa852c,00 -7710,7710,0,00000003e4aa852e,1c,00000003e4aa852d,00 -7711,7711,0,00000003e4aa852f,1c,00000003e4aa852e,00 -7712,7712,0,00000003e4aa8530,1e,00000003e4aa852f,00 -7713,7713,0,00000003e4aa8531,1d,00000003e4aa8530,0f -7714,7714,0,00000003e4aa8532,1c,00000003e4aa8531,10 -7715,7715,0,00000003e4aa8533,1c,00000003e4aa8532,00 -7716,7716,0,00000003e4aa8534,1c,00000003e4aa8533,00 -7717,7717,0,00000003e4aa8535,1c,00000003e4aa8534,00 -7718,7718,0,00000003e4aa8536,1c,00000003e4aa8535,00 -7719,7719,0,00000003e4aa8537,1c,00000003e4aa8536,00 -7720,7720,0,00000003e4aa8538,1c,00000003e4aa8537,00 -7721,7721,0,00000003e4aa8539,1c,00000003e4aa8538,00 -7722,7722,0,00000003e4aa853a,1c,00000003e4aa8539,00 -7723,7723,0,00000003e4aa853b,1c,00000003e4aa853a,00 -7724,7724,0,00000003e4aa853c,1c,00000003e4aa853b,00 -7725,7725,0,00000003e4aa853d,1c,00000003e4aa853c,00 -7726,7726,0,00000003e4aa853e,1c,00000003e4aa853d,00 -7727,7727,0,00000003e4aa853f,1c,00000003e4aa853e,00 -7728,7728,0,00000003e4aa8540,1e,00000003e4aa853f,00 -7729,7729,0,00000003e4aa8541,1d,00000003e4aa8540,0f -7730,7730,0,00000003e4aa8542,1c,00000003e4aa8541,10 -7731,7731,0,00000003e4aa8543,1c,00000003e4aa8542,00 -7732,7732,0,00000003e4aa8544,1c,00000003e4aa8543,00 -7733,7733,0,00000003e4aa8545,1c,00000003e4aa8544,00 -7734,7734,0,00000003e4aa8546,1c,00000003e4aa8545,00 -7735,7735,0,00000003e4aa8547,1c,00000003e4aa8546,00 -7736,7736,0,00000003e4aa8548,1c,00000003e4aa8547,00 -7737,7737,0,00000003e4aa8549,1c,00000003e4aa8548,00 -7738,7738,0,00000003e4aa854a,1c,00000003e4aa8549,00 -7739,7739,0,00000003e4aa854b,1c,00000003e4aa854a,00 -7740,7740,0,00000003e4aa854c,1c,00000003e4aa854b,00 -7741,7741,0,00000003e4aa854d,1c,00000003e4aa854c,00 -7742,7742,0,00000003e4aa854e,1c,00000003e4aa854d,00 -7743,7743,0,00000003e4aa854f,1c,00000003e4aa854e,00 -7744,7744,0,00000003e4aa8550,1e,00000003e4aa854f,00 -7745,7745,0,00000003e4aa8551,1d,00000003e4aa8550,0f -7746,7746,0,00000003e4aa8552,1c,00000003e4aa8551,10 -7747,7747,0,00000003e4aa8553,1c,00000003e4aa8552,00 -7748,7748,0,00000003e4aa8554,1c,00000003e4aa8553,00 -7749,7749,0,00000003e4aa8555,1c,00000003e4aa8554,00 -7750,7750,0,00000003e4aa8556,1c,00000003e4aa8555,00 -7751,7751,0,00000003e4aa8557,1c,00000003e4aa8556,00 -7752,7752,0,00000003e4aa8558,1c,00000003e4aa8557,00 -7753,7753,0,00000003e4aa8559,1c,00000003e4aa8558,00 -7754,7754,0,00000003e4aa855a,1c,00000003e4aa8559,00 -7755,7755,0,00000003e4aa855b,1c,00000003e4aa855a,00 -7756,7756,0,00000003e4aa855c,1c,00000003e4aa855b,00 -7757,7757,0,00000003e4aa855d,1c,00000003e4aa855c,00 -7758,7758,0,00000003e4aa855e,1c,00000003e4aa855d,00 -7759,7759,0,00000003e4aa855f,1c,00000003e4aa855e,00 -7760,7760,0,00000003e4aa8560,1e,00000003e4aa855f,00 -7761,7761,0,00000003e4aa8561,1d,00000003e4aa8560,00 -7762,7762,0,00000003e4aa8562,1c,00000003e4aa8561,10 -7763,7763,0,00000003e4aa8563,1c,00000003e4aa8562,00 -7764,7764,0,00000003e4aa8564,1c,00000003e4aa8563,00 -7765,7765,0,00000003e4aa8565,1c,00000003e4aa8564,00 -7766,7766,0,00000003e4aa8566,1c,00000003e4aa8565,00 -7767,7767,0,00000003e4aa8567,1c,00000003e4aa8566,00 -7768,7768,0,00000003e4aa8568,1c,00000003e4aa8567,00 -7769,7769,0,00000003e4aa8569,1c,00000003e4aa8568,00 -7770,7770,0,00000003e4aa856a,1c,00000003e4aa8569,00 -7771,7771,0,00000003e4aa856b,1c,00000003e4aa856a,00 -7772,7772,0,00000003e4aa856c,1c,00000003e4aa856b,00 -7773,7773,0,00000003e4aa856d,1c,00000003e4aa856c,00 -7774,7774,0,00000003e4aa856e,1c,00000003e4aa856d,00 -7775,7775,0,00000003e4aa856f,1c,00000003e4aa856e,00 -7776,7776,0,00000003e4aa8570,1e,00000003e4aa856f,00 -7777,7777,0,00000003e4aa8571,1d,00000003e4aa8570,00 -7778,7778,0,00000003e4aa8572,1c,00000003e4aa8571,10 -7779,7779,0,00000003e4aa8573,1c,00000003e4aa8572,00 -7780,7780,0,00000003e4aa8574,1c,00000003e4aa8573,00 -7781,7781,0,00000003e4aa8575,1c,00000003e4aa8574,00 -7782,7782,0,00000003e4aa8576,1c,00000003e4aa8575,00 -7783,7783,0,00000003e4aa8577,1c,00000003e4aa8576,00 -7784,7784,0,00000003e4aa8578,1c,00000003e4aa8577,00 -7785,7785,0,00000003e4aa8579,1c,00000003e4aa8578,00 -7786,7786,0,00000003e4aa857a,1c,00000003e4aa8579,00 -7787,7787,0,00000003e4aa857b,1c,00000003e4aa857a,00 -7788,7788,0,00000003e4aa857c,1c,00000003e4aa857b,00 -7789,7789,0,00000003e4aa857d,1c,00000003e4aa857c,00 -7790,7790,0,00000003e4aa857e,1c,00000003e4aa857d,00 -7791,7791,0,00000003e4aa857f,1c,00000003e4aa857e,00 -7792,7792,0,00000003e4aa8580,1e,00000003e4aa857f,00 -7793,7793,0,00000003e4aa8581,1d,00000003e4aa8580,0f -7794,7794,0,00000003e4aa8582,1c,00000003e4aa8581,10 -7795,7795,0,00000003e4aa8583,1c,00000003e4aa8582,00 -7796,7796,0,00000003e4aa8584,1c,00000003e4aa8583,00 -7797,7797,0,00000003e4aa8585,1c,00000003e4aa8584,00 -7798,7798,0,00000003e4aa8586,1c,00000003e4aa8585,00 -7799,7799,0,00000003e4aa8587,1c,00000003e4aa8586,00 -7800,7800,0,00000003e4aa8588,1c,00000003e4aa8587,00 -7801,7801,0,00000003e4aa8589,1c,00000003e4aa8588,00 -7802,7802,0,00000003e4aa858a,1c,00000003e4aa8589,00 -7803,7803,0,00000003e4aa858b,1c,00000003e4aa858a,00 -7804,7804,0,00000003e4aa858c,1c,00000003e4aa858b,00 -7805,7805,0,00000003e4aa858d,1c,00000003e4aa858c,00 -7806,7806,0,00000003e4aa858e,1c,00000003e4aa858d,00 -7807,7807,0,00000003e4aa858f,1c,00000003e4aa858e,00 -7808,7808,0,00000003e4aa8590,1e,00000003e4aa858f,00 -7809,7809,0,00000003e4aa8591,1d,00000003e4aa8590,0f -7810,7810,0,00000003e4aa8592,1c,00000003e4aa8591,10 -7811,7811,0,00000003e4aa8593,1c,00000003e4aa8592,00 -7812,7812,0,00000003e4aa8594,1c,00000003e4aa8593,00 -7813,7813,0,00000003e4aa8595,1c,00000003e4aa8594,00 -7814,7814,0,00000003e4aa8596,1c,00000003e4aa8595,00 -7815,7815,0,00000003e4aa8597,1c,00000003e4aa8596,00 -7816,7816,0,00000003e4aa8598,1c,00000003e4aa8597,00 -7817,7817,0,00000003e4aa8599,1c,00000003e4aa8598,00 -7818,7818,0,00000003e4aa859a,1c,00000003e4aa8599,00 -7819,7819,0,00000003e4aa859b,1c,00000003e4aa859a,00 -7820,7820,0,00000003e4aa859c,1c,00000003e4aa859b,00 -7821,7821,0,00000003e4aa859d,1c,00000003e4aa859c,00 -7822,7822,0,00000003e4aa859e,1c,00000003e4aa859d,00 -7823,7823,0,00000003e4aa859f,1c,00000003e4aa859e,00 -7824,7824,0,00000003e4aa85a0,1e,00000003e4aa859f,00 -7825,7825,0,00000003e4aa85a1,1d,00000003e4aa85a0,0f -7826,7826,0,00000003e4aa85a2,1c,00000003e4aa85a1,10 -7827,7827,0,00000003e4aa85a3,1c,00000003e4aa85a2,00 -7828,7828,0,00000003e4aa85a4,1c,00000003e4aa85a3,00 -7829,7829,0,00000003e4aa85a5,1c,00000003e4aa85a4,00 -7830,7830,0,00000003e4aa85a6,1c,00000003e4aa85a5,00 -7831,7831,0,00000003e4aa85a7,1c,00000003e4aa85a6,00 -7832,7832,0,00000003e4aa85a8,1c,00000003e4aa85a7,00 -7833,7833,0,00000003e4aa85a9,1c,00000003e4aa85a8,00 -7834,7834,0,00000003e4aa85aa,1c,00000003e4aa85a9,00 -7835,7835,0,00000003e4aa85ab,1c,00000003e4aa85aa,00 -7836,7836,0,00000003e4aa85ac,1c,00000003e4aa85ab,00 -7837,7837,0,00000003e4aa85ad,1c,00000003e4aa85ac,00 -7838,7838,0,00000003e4aa85ae,1c,00000003e4aa85ad,00 -7839,7839,0,00000003e4aa85af,1c,00000003e4aa85ae,00 -7840,7840,0,00000003e4aa85b0,1e,00000003e4aa85af,00 -7841,7841,0,00000003e4aa85b1,1d,00000003e4aa85b0,0f -7842,7842,0,00000003e4aa85b2,1c,00000003e4aa85b0,0f -7843,7843,0,00000003e4aa85b3,1c,00000003e4aa85b0,0f -7844,7844,0,00000003e4aa85b4,1c,00000003e4aa85b0,0f -7845,7845,0,00000003e4aa85b5,1c,00000003e4aa85b0,0f -7846,7846,0,00000003e4aa85b6,1c,00000003e4aa85b0,0f -7847,7847,0,00000003e4aa85b7,1c,00000003e4aa85b0,0f -7848,7848,0,00000003e4aa85b8,1c,00000003e4aa85b0,0f -7849,7849,0,00000003e4aa85b9,1c,00000003e4aa85b0,0f -7850,7850,0,00000003e4aa85ba,1c,00000003e4aa85b0,0f -7851,7851,0,00000003e4aa85bb,1c,00000003e4aa85b0,0f -7852,7852,0,00000003e4aa85bc,1c,00000003e4aa85b0,0f -7853,7853,0,00000003e4aa85bd,1c,00000003e4aa85b0,0f -7854,7854,0,00000003e4aa85be,1c,00000003e4aa85b0,0f -7855,7855,0,00000003e4aa85bf,1c,00000003e4aa85b0,0f -7856,7856,0,00000003e4aa85c0,1e,00000003e4aa85c1,10 -7857,7857,0,00000003e4aa85c1,1d,00000003e4aa85c2,00 -7858,7858,0,00000003e4aa85c2,1c,00000003e4aa85c3,00 -7859,7859,0,00000003e4aa85c3,1c,00000003e4aa85c4,00 -7860,7860,0,00000003e4aa85c4,1c,00000003e4aa85c5,00 -7861,7861,0,00000003e4aa85c5,1c,00000003e4aa85c6,00 -7862,7862,0,00000003e4aa85c6,1c,00000003e4aa85c7,00 -7863,7863,0,00000003e4aa85c7,1c,00000003e4aa85c8,00 -7864,7864,0,00000003e4aa85c8,1c,00000003e4aa85c9,00 -7865,7865,0,00000003e4aa85c9,1c,00000003e4aa85ca,00 -7866,7866,0,00000003e4aa85ca,1c,00000003e4aa85cb,00 -7867,7867,0,00000003e4aa85cb,1c,00000003e4aa85cc,00 -7868,7868,0,00000003e4aa85cc,1c,00000003e4aa85cd,00 -7869,7869,0,00000003e4aa85cd,1c,00000003e4aa85ce,00 -7870,7870,0,00000003e4aa85ce,1c,00000003e4aa85cf,00 -7871,7871,0,00000003e4aa85cf,1c,00000003e4aa85d0,00 -7872,7872,0,00000003e4aa85d0,1e,00000003e4aa85d0,00 -7873,7873,0,00000003e4aa85d1,1d,00000003e4aa85d1,10 -7874,7874,0,00000003e4aa85d2,1c,00000003e4aa85d2,00 -7875,7875,0,00000003e4aa85d3,1c,00000003e4aa85d3,00 -7876,7876,0,00000003e4aa85d4,1c,00000003e4aa85d4,00 -7877,7877,0,00000003e4aa85d5,1c,00000003e4aa85d5,00 -7878,7878,0,00000003e4aa85d6,1c,00000003e4aa85d6,00 -7879,7879,0,00000003e4aa85d7,1c,00000003e4aa85d7,00 -7880,7880,0,00000003e4aa85d8,1c,00000003e4aa85d8,00 -7881,7881,0,00000003e4aa85d9,1c,00000003e4aa85d9,00 -7882,7882,0,00000003e4aa85da,1c,00000003e4aa85da,00 -7883,7883,0,00000003e4aa85db,1c,00000003e4aa85db,00 -7884,7884,0,00000003e4aa85dc,1c,00000003e4aa85dc,00 -7885,7885,0,00000003e4aa85dd,1c,00000003e4aa85dd,00 -7886,7886,0,00000003e4aa85de,1c,00000003e4aa85de,00 -7887,7887,0,00000003e4aa85df,1c,00000003e4aa85df,00 -7888,7888,0,00000003e4aa85e0,1e,00000003e4aa85e0,0f -7889,7889,0,00000003e4aa85e1,1d,00000003e4aa85e1,10 -7890,7890,0,00000003e4aa85e2,1c,00000003e4aa85e2,00 -7891,7891,0,00000003e4aa85e3,1c,00000003e4aa85e3,00 -7892,7892,0,00000003e4aa85e4,1c,00000003e4aa85e4,00 -7893,7893,0,00000003e4aa85e5,1c,00000003e4aa85e5,00 -7894,7894,0,00000003e4aa85e6,1c,00000003e4aa85e6,00 -7895,7895,0,00000003e4aa85e7,1c,00000003e4aa85e7,00 -7896,7896,0,00000003e4aa85e8,1c,00000003e4aa85e8,00 -7897,7897,0,00000003e4aa85e9,1c,00000003e4aa85e9,00 -7898,7898,0,00000003e4aa85ea,1c,00000003e4aa85ea,00 -7899,7899,0,00000003e4aa85eb,1c,00000003e4aa85eb,00 -7900,7900,0,00000003e4aa85ec,1c,00000003e4aa85ec,00 -7901,7901,0,00000003e4aa85ed,1c,00000003e4aa85ed,00 -7902,7902,0,00000003e4aa85ee,1c,00000003e4aa85ee,00 -7903,7903,0,00000003e4aa85ef,1c,00000003e4aa85ef,00 -7904,7904,0,00000003e4aa85f0,1e,00000003e4aa85f0,0f -7905,7905,0,00000003e4aa85f1,1d,00000003e4aa85f1,10 -7906,7906,0,00000003e4aa85f2,1c,00000003e4aa85f1,00 -7907,7907,0,00000003e4aa85f3,1c,00000003e4aa85f2,00 -7908,7908,0,00000003e4aa85f4,1c,00000003e4aa85f3,00 -7909,7909,0,00000003e4aa85f5,1c,00000003e4aa85f4,00 -7910,7910,0,00000003e4aa85f6,1c,00000003e4aa85f5,00 -7911,7911,0,00000003e4aa85f7,1c,00000003e4aa85f6,00 -7912,7912,0,00000003e4aa85f8,1c,00000003e4aa85f7,00 -7913,7913,0,00000003e4aa85f9,1c,00000003e4aa85f8,00 -7914,7914,0,00000003e4aa85fa,1c,00000003e4aa85f9,00 -7915,7915,0,00000003e4aa85fb,1c,00000003e4aa85fa,00 -7916,7916,0,00000003e4aa85fc,1c,00000003e4aa85fb,00 -7917,7917,0,00000003e4aa85fd,1c,00000003e4aa85fc,00 -7918,7918,0,00000003e4aa85fe,1c,00000003e4aa85fd,00 -7919,7919,0,00000003e4aa85ff,1c,00000003e4aa85fe,00 -7920,7920,0,00000003e4aa8600,1e,00000003e4aa85ff,00 -7921,7921,0,00000003e4aa8601,1d,00000003e4aa8600,0f -7922,7922,0,00000003e4aa8602,1c,00000003e4aa8601,10 -7923,7923,0,00000003e4aa8603,1c,00000003e4aa8602,00 -7924,7924,0,00000003e4aa8604,1c,00000003e4aa8603,00 -7925,7925,0,00000003e4aa8605,1c,00000003e4aa8604,00 -7926,7926,0,00000003e4aa8606,1c,00000003e4aa8605,00 -7927,7927,0,00000003e4aa8607,1c,00000003e4aa8606,00 -7928,7928,0,00000003e4aa8608,1c,00000003e4aa8607,00 -7929,7929,0,00000003e4aa8609,1c,00000003e4aa8608,00 -7930,7930,0,00000003e4aa860a,1c,00000003e4aa8609,00 -7931,7931,0,00000003e4aa860b,1c,00000003e4aa860a,00 -7932,7932,0,00000003e4aa860c,1c,00000003e4aa860b,00 -7933,7933,0,00000003e4aa860d,1c,00000003e4aa860c,00 -7934,7934,0,00000003e4aa860e,1c,00000003e4aa860d,00 -7935,7935,0,00000003e4aa860f,1c,00000003e4aa860e,00 -7936,7936,0,00000003e4aa8610,1e,00000003e4aa860f,00 -7937,7937,0,00000003e4aa8611,1d,00000003e4aa8610,0f -7938,7938,0,00000003e4aa8612,1c,00000003e4aa8611,10 -7939,7939,0,00000003e4aa8613,1c,00000003e4aa8612,00 -7940,7940,0,00000003e4aa8614,1c,00000003e4aa8613,00 -7941,7941,0,00000003e4aa8615,1c,00000003e4aa8614,00 -7942,7942,0,00000003e4aa8616,1c,00000003e4aa8615,00 -7943,7943,0,00000003e4aa8617,1c,00000003e4aa8616,00 -7944,7944,0,00000003e4aa8618,1c,00000003e4aa8617,00 -7945,7945,0,00000003e4aa8619,1c,00000003e4aa8618,00 -7946,7946,0,00000003e4aa861a,1c,00000003e4aa8619,00 -7947,7947,0,00000003e4aa861b,1c,00000003e4aa861a,00 -7948,7948,0,00000003e4aa861c,1c,00000003e4aa861b,00 -7949,7949,0,00000003e4aa861d,1c,00000003e4aa861c,00 -7950,7950,0,00000003e4aa861e,1c,00000003e4aa861d,00 -7951,7951,0,00000003e4aa861f,1c,00000003e4aa861e,00 -7952,7952,0,00000003e4aa8620,1e,00000003e4aa861f,00 -7953,7953,0,00000003e4aa8621,1d,00000003e4aa8620,0f -7954,7954,0,00000003e4aa8622,1c,00000003e4aa8621,10 -7955,7955,0,00000003e4aa8623,1c,00000003e4aa8622,00 -7956,7956,0,00000003e4aa8624,1c,00000003e4aa8623,00 -7957,7957,0,00000003e4aa8625,1c,00000003e4aa8624,00 -7958,7958,0,00000003e4aa8626,1c,00000003e4aa8625,00 -7959,7959,0,00000003e4aa8627,1c,00000003e4aa8626,00 -7960,7960,0,00000003e4aa8628,1c,00000003e4aa8627,00 -7961,7961,0,00000003e4aa8629,1c,00000003e4aa8628,00 -7962,7962,0,00000003e4aa862a,1c,00000003e4aa8629,00 -7963,7963,0,00000003e4aa862b,1c,00000003e4aa862a,00 -7964,7964,0,00000003e4aa862c,1c,00000003e4aa862b,00 -7965,7965,0,00000003e4aa862d,1c,00000003e4aa862c,00 -7966,7966,0,00000003e4aa862e,1c,00000003e4aa862d,00 -7967,7967,0,00000003e4aa862f,1c,00000003e4aa862e,00 -7968,7968,0,00000003e4aa8630,1e,00000003e4aa862f,00 -7969,7969,0,00000003e4aa8631,1d,00000003e4aa8630,00 -7970,7970,0,00000003e4aa8632,1c,00000003e4aa8631,10 -7971,7971,0,00000003e4aa8633,1c,00000003e4aa8632,00 -7972,7972,0,00000003e4aa8634,1c,00000003e4aa8633,00 -7973,7973,0,00000003e4aa8635,1c,00000003e4aa8634,00 -7974,7974,0,00000003e4aa8636,1c,00000003e4aa8635,00 -7975,7975,0,00000003e4aa8637,1c,00000003e4aa8636,00 -7976,7976,0,00000003e4aa8638,1c,00000003e4aa8637,00 -7977,7977,0,00000003e4aa8639,1c,00000003e4aa8638,00 -7978,7978,0,00000003e4aa863a,1c,00000003e4aa8639,00 -7979,7979,0,00000003e4aa863b,1c,00000003e4aa863a,00 -7980,7980,0,00000003e4aa863c,1c,00000003e4aa863b,00 -7981,7981,0,00000003e4aa863d,1c,00000003e4aa863c,00 -7982,7982,0,00000003e4aa863e,1c,00000003e4aa863d,00 -7983,7983,0,00000003e4aa863f,1c,00000003e4aa863e,00 -7984,7984,0,00000003e4aa8640,1e,00000003e4aa863f,00 -7985,7985,0,00000003e4aa8641,1d,00000003e4aa8640,0f -7986,7986,0,00000003e4aa8642,1c,00000003e4aa8641,10 -7987,7987,0,00000003e4aa8643,1c,00000003e4aa8642,00 -7988,7988,0,00000003e4aa8644,1c,00000003e4aa8643,00 -7989,7989,0,00000003e4aa8645,1c,00000003e4aa8644,00 -7990,7990,0,00000003e4aa8646,1c,00000003e4aa8645,00 -7991,7991,0,00000003e4aa8647,1c,00000003e4aa8646,00 -7992,7992,0,00000003e4aa8648,1c,00000003e4aa8647,00 -7993,7993,0,00000003e4aa8649,1c,00000003e4aa8648,00 -7994,7994,0,00000003e4aa864a,1c,00000003e4aa8649,00 -7995,7995,0,00000003e4aa864b,1c,00000003e4aa864a,00 -7996,7996,0,00000003e4aa864c,1c,00000003e4aa864b,00 -7997,7997,0,00000003e4aa864d,1c,00000003e4aa864c,00 -7998,7998,0,00000003e4aa864e,1c,00000003e4aa864d,00 -7999,7999,0,00000003e4aa864f,1c,00000003e4aa864e,00 -8000,8000,0,00000003e4aa8650,1e,00000003e4aa864f,00 -8001,8001,0,00000003e4aa8651,1d,00000003e4aa8650,0f -8002,8002,0,00000003e4aa8652,1c,00000003e4aa8651,10 -8003,8003,0,00000003e4aa8653,1c,00000003e4aa8652,00 -8004,8004,0,00000003e4aa8654,1c,00000003e4aa8653,00 -8005,8005,0,00000003e4aa8655,1c,00000003e4aa8654,00 -8006,8006,0,00000003e4aa8656,1c,00000003e4aa8655,00 -8007,8007,0,00000003e4aa8657,1c,00000003e4aa8656,00 -8008,8008,0,00000003e4aa8658,1c,00000003e4aa8657,00 -8009,8009,0,00000003e4aa8659,1c,00000003e4aa8658,00 -8010,8010,0,00000003e4aa865a,1c,00000003e4aa8659,00 -8011,8011,0,00000003e4aa865b,1c,00000003e4aa865a,00 -8012,8012,0,00000003e4aa865c,1c,00000003e4aa865b,00 -8013,8013,0,00000003e4aa865d,1c,00000003e4aa865c,00 -8014,8014,0,00000003e4aa865e,1c,00000003e4aa865d,00 -8015,8015,0,00000003e4aa865f,1c,00000003e4aa865e,00 -8016,8016,0,00000003e4aa8660,1e,00000003e4aa865f,00 -8017,8017,0,00000003e4aa8661,1d,00000003e4aa8660,0f -8018,8018,0,00000003e4aa8662,1c,00000003e4aa8661,10 -8019,8019,0,00000003e4aa8663,1c,00000003e4aa8662,00 -8020,8020,0,00000003e4aa8664,1c,00000003e4aa8663,00 -8021,8021,0,00000003e4aa8665,1c,00000003e4aa8664,00 -8022,8022,0,00000003e4aa8666,1c,00000003e4aa8665,00 -8023,8023,0,00000003e4aa8667,1c,00000003e4aa8666,00 -8024,8024,0,00000003e4aa8668,1c,00000003e4aa8667,00 -8025,8025,0,00000003e4aa8669,1c,00000003e4aa8668,00 -8026,8026,0,00000003e4aa866a,1c,00000003e4aa8669,00 -8027,8027,0,00000003e4aa866b,1c,00000003e4aa866a,00 -8028,8028,0,00000003e4aa866c,1c,00000003e4aa866b,00 -8029,8029,0,00000003e4aa866d,1c,00000003e4aa866c,00 -8030,8030,0,00000003e4aa866e,1c,00000003e4aa866d,00 -8031,8031,0,00000003e4aa866f,1c,00000003e4aa866e,00 -8032,8032,0,00000003e4aa8670,1e,00000003e4aa866f,00 -8033,8033,0,00000003e4aa8671,1d,00000003e4aa8670,00 -8034,8034,0,00000003e4aa8672,1c,00000003e4aa8671,10 -8035,8035,0,00000003e4aa8673,1c,00000003e4aa8672,00 -8036,8036,0,00000003e4aa8674,1c,00000003e4aa8673,00 -8037,8037,0,00000003e4aa8675,1c,00000003e4aa8674,00 -8038,8038,0,00000003e4aa8676,1c,00000003e4aa8675,00 -8039,8039,0,00000003e4aa8677,1c,00000003e4aa8676,00 -8040,8040,0,00000003e4aa8678,1c,00000003e4aa8677,00 -8041,8041,0,00000003e4aa8679,1c,00000003e4aa8678,00 -8042,8042,0,00000003e4aa867a,1c,00000003e4aa8679,00 -8043,8043,0,00000003e4aa867b,1c,00000003e4aa867a,00 -8044,8044,0,00000003e4aa867c,1c,00000003e4aa867b,00 -8045,8045,0,00000003e4aa867d,1c,00000003e4aa867c,00 -8046,8046,0,00000003e4aa867e,1c,00000003e4aa867d,00 -8047,8047,0,00000003e4aa867f,1c,00000003e4aa867e,00 -8048,8048,0,00000003e4aa8680,1e,00000003e4aa867f,00 -8049,8049,0,00000003e4aa8681,1d,00000003e4aa8680,0f -8050,8050,0,00000003e4aa8682,1c,00000003e4aa8681,10 -8051,8051,0,00000003e4aa8683,1c,00000003e4aa8682,00 -8052,8052,0,00000003e4aa8684,1c,00000003e4aa8683,00 -8053,8053,0,00000003e4aa8685,1c,00000003e4aa8684,00 -8054,8054,0,00000003e4aa8686,1c,00000003e4aa8685,00 -8055,8055,0,00000003e4aa8687,1c,00000003e4aa8686,00 -8056,8056,0,00000003e4aa8688,1c,00000003e4aa8687,00 -8057,8057,0,00000003e4aa8689,1c,00000003e4aa8688,00 -8058,8058,0,00000003e4aa868a,1c,00000003e4aa8689,00 -8059,8059,0,00000003e4aa868b,1c,00000003e4aa868a,00 -8060,8060,0,00000003e4aa868c,1c,00000003e4aa868b,00 -8061,8061,0,00000003e4aa868d,1c,00000003e4aa868c,00 -8062,8062,0,00000003e4aa868e,1c,00000003e4aa868d,00 -8063,8063,0,00000003e4aa868f,1c,00000003e4aa868e,00 -8064,8064,0,00000003e4aa8690,1e,00000003e4aa868f,00 -8065,8065,0,00000003e4aa8691,1d,00000003e4aa8690,0f -8066,8066,0,00000003e4aa8692,1c,00000003e4aa8691,10 -8067,8067,0,00000003e4aa8693,1c,00000003e4aa8691,00 -8068,8068,0,00000003e4aa8694,1c,00000003e4aa8692,00 -8069,8069,0,00000003e4aa8695,1c,00000003e4aa8693,00 -8070,8070,0,00000003e4aa8696,1c,00000003e4aa8694,00 -8071,8071,0,00000003e4aa8697,1c,00000003e4aa8695,00 -8072,8072,0,00000003e4aa8698,1c,00000003e4aa8696,00 -8073,8073,0,00000003e4aa8699,1c,00000003e4aa8697,00 -8074,8074,0,00000003e4aa869a,1c,00000003e4aa8698,00 -8075,8075,0,00000003e4aa869b,1c,00000003e4aa8699,00 -8076,8076,0,00000003e4aa869c,1c,00000003e4aa869a,00 -8077,8077,0,00000003e4aa869d,1c,00000003e4aa869b,00 -8078,8078,0,00000003e4aa869e,1c,00000003e4aa869c,00 -8079,8079,0,00000003e4aa869f,1c,00000003e4aa869d,00 -8080,8080,0,00000003e4aa86a0,1e,00000003e4aa869e,00 -8081,8081,0,00000003e4aa86a1,1d,00000003e4aa869f,00 -8082,8082,0,00000003e4aa86a2,1c,00000003e4aa86a0,0f -8083,8083,0,00000003e4aa86a3,1c,00000003e4aa86a1,10 -8084,8084,0,00000003e4aa86a4,1c,00000003e4aa86a2,00 -8085,8085,0,00000003e4aa86a5,1c,00000003e4aa86a3,00 -8086,8086,0,00000003e4aa86a6,1c,00000003e4aa86a4,00 -8087,8087,0,00000003e4aa86a7,1c,00000003e4aa86a5,00 -8088,8088,0,00000003e4aa86a8,1c,00000003e4aa86a6,00 -8089,8089,0,00000003e4aa86a9,1c,00000003e4aa86a7,00 -8090,8090,0,00000003e4aa86aa,1c,00000003e4aa86a8,00 -8091,8091,0,00000003e4aa86ab,1c,00000003e4aa86a9,00 -8092,8092,0,00000003e4aa86ac,1c,00000003e4aa86aa,00 -8093,8093,0,00000003e4aa86ad,1c,00000003e4aa86ab,00 -8094,8094,0,00000003e4aa86ae,1c,00000003e4aa86ac,00 -8095,8095,0,00000003e4aa86af,1c,00000003e4aa86ad,00 -8096,8096,0,00000003e4aa86b0,1e,00000003e4aa86ae,00 -8097,8097,0,00000003e4aa86b1,1d,00000003e4aa86af,00 -8098,8098,0,00000003e4aa86b2,1c,00000003e4aa86b0,00 -8099,8099,0,00000003e4aa86b3,1c,00000003e4aa86b1,10 -8100,8100,0,00000003e4aa86b4,1c,00000003e4aa86b2,00 -8101,8101,0,00000003e4aa86b5,1c,00000003e4aa86b3,00 -8102,8102,0,00000003e4aa86b6,1c,00000003e4aa86b4,00 -8103,8103,0,00000003e4aa86b7,1c,00000003e4aa86b5,00 -8104,8104,0,00000003e4aa86b8,1c,00000003e4aa86b6,00 -8105,8105,0,00000003e4aa86b9,1c,00000003e4aa86b7,00 -8106,8106,0,00000003e4aa86ba,1c,00000003e4aa86b8,00 -8107,8107,0,00000003e4aa86bb,1c,00000003e4aa86b9,00 -8108,8108,0,00000003e4aa86bc,1c,00000003e4aa86ba,00 -8109,8109,0,00000003e4aa86bd,1c,00000003e4aa86bb,00 -8110,8110,0,00000003e4aa86be,1c,00000003e4aa86bc,00 -8111,8111,0,00000003e4aa86bf,1c,00000003e4aa86bd,00 -8112,8112,0,00000003e4aa86c0,1e,00000003e4aa86be,00 -8113,8113,0,00000003e4aa86c1,1d,00000003e4aa86bf,00 -8114,8114,0,00000003e4aa86c2,1c,00000003e4aa86c0,0f -8115,8115,0,00000003e4aa86c3,1c,00000003e4aa86c1,10 -8116,8116,0,00000003e4aa86c4,1c,00000003e4aa86c2,00 -8117,8117,0,00000003e4aa86c5,1c,00000003e4aa86c3,00 -8118,8118,0,00000003e4aa86c6,1c,00000003e4aa86c4,00 -8119,8119,0,00000003e4aa86c7,1c,00000003e4aa86c5,00 -8120,8120,0,00000003e4aa86c8,1c,00000003e4aa86c6,00 -8121,8121,0,00000003e4aa86c9,1c,00000003e4aa86c7,00 -8122,8122,0,00000003e4aa86ca,1c,00000003e4aa86c8,00 -8123,8123,0,00000003e4aa86cb,1c,00000003e4aa86c9,00 -8124,8124,0,00000003e4aa86cc,1c,00000003e4aa86ca,00 -8125,8125,0,00000003e4aa86cd,1c,00000003e4aa86cb,00 -8126,8126,0,00000003e4aa86ce,1c,00000003e4aa86cc,00 -8127,8127,0,00000003e4aa86cf,1c,00000003e4aa86cd,00 -8128,8128,0,00000003e4aa86d0,1e,00000003e4aa86ce,00 -8129,8129,0,00000003e4aa86d1,1d,00000003e4aa86cf,00 -8130,8130,0,00000003e4aa86d2,1c,00000003e4aa86d0,0f -8131,8131,0,00000003e4aa86d3,1c,00000003e4aa86d1,10 -8132,8132,0,00000003e4aa86d4,1c,00000003e4aa86d2,00 -8133,8133,0,00000003e4aa86d5,1c,00000003e4aa86d3,00 -8134,8134,0,00000003e4aa86d6,1c,00000003e4aa86d4,00 -8135,8135,0,00000003e4aa86d7,1c,00000003e4aa86d5,00 -8136,8136,0,00000003e4aa86d8,1c,00000003e4aa86d6,00 -8137,8137,0,00000003e4aa86d9,1c,00000003e4aa86d7,00 -8138,8138,0,00000003e4aa86da,1c,00000003e4aa86d8,00 -8139,8139,0,00000003e4aa86db,1c,00000003e4aa86d9,00 -8140,8140,0,00000003e4aa86dc,1c,00000003e4aa86da,00 -8141,8141,0,00000003e4aa86dd,1c,00000003e4aa86db,00 -8142,8142,0,00000003e4aa86de,1c,00000003e4aa86dc,00 -8143,8143,0,00000003e4aa86df,1c,00000003e4aa86dd,00 -8144,8144,0,00000003e4aa86e0,1e,00000003e4aa86de,00 -8145,8145,0,00000003e4aa86e1,1d,00000003e4aa86df,00 -8146,8146,0,00000003e4aa86e2,1c,00000003e4aa86e0,0f -8147,8147,0,00000003e4aa86e3,1c,00000003e4aa86e1,10 -8148,8148,0,00000003e4aa86e4,1c,00000003e4aa86e2,00 -8149,8149,0,00000003e4aa86e5,1c,00000003e4aa86e3,00 -8150,8150,0,00000003e4aa86e6,1c,00000003e4aa86e4,00 -8151,8151,0,00000003e4aa86e7,1c,00000003e4aa86e5,00 -8152,8152,0,00000003e4aa86e8,1c,00000003e4aa86e6,00 -8153,8153,0,00000003e4aa86e9,1c,00000003e4aa86e7,00 -8154,8154,0,00000003e4aa86ea,1c,00000003e4aa86e8,00 -8155,8155,0,00000003e4aa86eb,1c,00000003e4aa86e9,00 -8156,8156,0,00000003e4aa86ec,1c,00000003e4aa86ea,00 -8157,8157,0,00000003e4aa86ed,1c,00000003e4aa86eb,00 -8158,8158,0,00000003e4aa86ee,1c,00000003e4aa86ec,00 -8159,8159,0,00000003e4aa86ef,1c,00000003e4aa86ed,00 -8160,8160,0,00000003e4aa86f0,1e,00000003e4aa86ee,00 -8161,8161,0,00000003e4aa86f1,1d,00000003e4aa86ef,00 -8162,8162,0,00000003e4aa86f2,1c,00000003e4aa86f0,00 -8163,8163,0,00000003e4aa86f3,1c,00000003e4aa86f1,10 -8164,8164,0,00000003e4aa86f4,1c,00000003e4aa86f2,00 -8165,8165,0,00000003e4aa86f5,1c,00000003e4aa86f3,00 -8166,8166,0,00000003e4aa86f6,1c,00000003e4aa86f4,00 -8167,8167,0,00000003e4aa86f7,1c,00000003e4aa86f5,00 -8168,8168,0,00000003e4aa86f8,1c,00000003e4aa86f6,00 -8169,8169,0,00000003e4aa86f9,1c,00000003e4aa86f7,00 -8170,8170,0,00000003e4aa86fa,1c,00000003e4aa86f8,00 -8171,8171,0,00000003e4aa86fb,1c,00000003e4aa86f9,00 -8172,8172,0,00000003e4aa86fc,1c,00000003e4aa86fa,00 -8173,8173,0,00000003e4aa86fd,1c,00000003e4aa86fb,00 -8174,8174,0,00000003e4aa86fe,1c,00000003e4aa86fc,00 -8175,8175,0,00000003e4aa86ff,1c,00000003e4aa86fd,00 -8176,8176,0,00000003e4aa8700,1e,00000003e4aa86fe,00 -8177,8177,0,00000003e4aa8701,1d,00000003e4aa86ff,00 -8178,8178,0,00000003e4aa8702,1c,00000003e4aa8700,0f -8179,8179,0,00000003e4aa8703,1c,00000003e4aa8701,10 -8180,8180,0,00000003e4aa8704,1c,00000003e4aa8702,00 -8181,8181,0,00000003e4aa8705,1c,00000003e4aa8703,00 -8182,8182,0,00000003e4aa8706,1c,00000003e4aa8704,00 -8183,8183,0,00000003e4aa8707,1c,00000003e4aa8705,00 -8184,8184,0,00000003e4aa8708,1c,00000003e4aa8706,00 -8185,8185,0,00000003e4aa8709,1c,00000003e4aa8707,00 -8186,8186,0,00000003e4aa870a,1c,00000003e4aa8708,00 -8187,8187,0,00000003e4aa870b,1c,00000003e4aa8709,00 -8188,8188,0,00000003e4aa870c,1c,00000003e4aa870a,00 -8189,8189,0,00000003e4aa870d,1c,00000003e4aa870b,00 -8190,8190,0,00000003e4aa870e,1c,00000003e4aa870c,00 -8191,8191,0,00000003e4aa870f,1c,00000003e4aa870d,00 diff --git a/simulation/.Xil/Vivado-17517-franss-Vostro-460/hw_ila_data_1/zip_work/waveform.dmp b/simulation/.Xil/Vivado-17517-franss-Vostro-460/hw_ila_data_1/zip_work/waveform.dmp deleted file mode 100644 index e0d1b3f854eac0abd5bf197d3db48b19ac4ed450..0000000000000000000000000000000000000000 --- a/simulation/.Xil/Vivado-17517-franss-Vostro-460/hw_ila_data_1/zip_work/waveform.dmp +++ /dev/null @@ -1,153 +0,0 @@ -========================================= - ILA Data Dump -========================================= -core_id :TCP:localhost:3121_jsn-JTAG-SMT1-210203861285A-33687093-0-BS-1-BS-1-010000SLV -data_width :138 -sample_count :8192 -has_timestamps :false -clock_frequency_hz :0 -timestamp_counter_width:0 -window_marks :0 -trigger_marks :0 -gaps : -timestamps : -gap_timestamps : -data_per_channel : - ch[0]: 01010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101 - ch[1]: 00110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011 - ch[2]: 00001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111 - ch[3]: 00000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111 - ch[4]: 11111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000 - ch[5]: 00000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000 - ch[6]: 00000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111110000000000000000 - ch[7]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000 - ch[8]: 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111 - ch[9]: 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 - ch[10]: 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 - ch[11]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[12]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[13]: 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[14]: 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[15]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 - ch[16]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[17]: 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 - ch[18]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[19]: 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 - ch[20]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[21]: 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 - ch[22]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[23]: 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 - ch[24]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[25]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[26]: 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 - ch[27]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[28]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[29]: 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 - ch[30]: 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 - ch[31]: 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 - ch[32]: 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 - ch[33]: 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 - ch[34]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[35]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[36]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[37]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[38]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[39]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[40]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[41]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[42]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[43]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[44]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[45]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[46]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[47]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[48]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[49]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[50]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[51]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[52]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[53]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[54]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[55]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[56]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[57]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[58]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[59]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[60]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[61]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[62]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[63]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[64]: 01000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000 - ch[65]: 10000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000 - ch[66]: 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 - ch[67]: 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 - ch[68]: 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 - ch[69]: 00000000000000001010101010101010010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101000000000000000010101010101010100101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010100000000000000000101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010110101010101010101010101010101010101010101010101010101010101010101010101010101010110101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010000000000000010110101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101101010101010101010101010101010101010101010101010101010101010101000000000000000101010101010101001010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101000000000000000001010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010000000000000000101101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101000000000000000010101010101010100101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101011010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101000000000000000101010101010101001010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010000000000000000101010101010101001010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010100000000000000001010101010101010010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010000000000000000010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101011010101010101010101010101010101010101010101010101010101010101010101010101010101011010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101000000000000001010101010101010010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010110101010101010101010101010101010101010101010101010101010101010100000000000000010101010101010100101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010100000000000000000101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101000000000000000010110101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010100000000000000000101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010110101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101000000000000000101010101010101001010101010101010101010101010101011010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010110101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101 - ch[70]: 00000000000000000110011001100110001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011000000000000000001100110011001100011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100000000000000000011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110001100110011001100110011001100110011001100110011001100110011001100110011001100110001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110000000000000001110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100011001100110011001100110011001100110011001100110011001100110011000000000000000011001100110011000110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011000000000000000000110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110000000000000000011100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011000000000000000001100110011001100011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011000110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011000000000000000011001100110011000110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110000000000000000011001100110011000110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100000000000000000110011001100110001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110000000000000000001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011000110011001100110011001100110011001100110011001100110011001100110011001100110011000110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011000000000000000110011001100110001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110001100110011001100110011001100110011001100110011001100110011001100000000000000001100110011001100011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100000000000000000011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011000000000000000001110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100000000000000000011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011000000000000000011001100110011000110011001100110011001100110011000110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100110011001100 - ch[71]: 00000000000000000001111000011110000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000000000000000000011110000111100000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100000000000000000000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000011110000111100001111000011110000111100001111000011110000111100001111000011110000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000000000000000001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100000111100001111000011110000111100001111000011110000111100001111000000000000000000111100001111000001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000000000000000000001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000000000000000000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000000000000000000011110000111100000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000000000000000000111100001111000001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000000000000000000111100001111000001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100000000000000000001111000011110000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000000000000000000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000001111000011110000111100001111000011110000111100001111000011110000111100001111000001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000000000000000001111000011110000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000011110000111100001111000011110000111100001111000011110000111100000000000000000011110000111100000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100000000000000000000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000000000000000000001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100000000000000000000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000000000000000000111100001111000001111000011110000111100001111000001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011 - ch[72]: 00000000000000000000000111111110000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000000000000000000001111111100000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000000000000000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000001111111100000000111111110000000011111111000000001111111100000000111111110000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000000000000000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000011111111000000001111111100000000111111110000000011111111000000000000000000000011111111000000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000000000000000000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000000000000000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000000000000000000001111111100000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000000000000000000011111111000000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000000000000000000011111111000000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000000000000000000111111110000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000000000000000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000000111111110000000011111111000000001111111100000000111111110000000011111111000000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000000000000000000111111110000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000001111111100000000111111110000000011111111000000001111111100000000000000000000001111111100000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000000000000000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000000000000000000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000000000000000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000000000000000000011111111000000000111111110000000011111111000000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111110000000001111111100000000111111110000000011111111000000001111111100000000111111110000000011111111000000001111111100000000111111 - ch[73]: 11111111111111110000000000000001111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111100000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000000111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000000111111111111111100000000000000001111111111111111000000000000000111111111111111000000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000001111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111100000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111000000000000000111111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111000000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000001111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111100000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000001111111111111110000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111100000000000000001111111111111111000000000000000011111111111111100000000000000011111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111111000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000000111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111000000000000000111111111111111110000000000000000111111111111111110000000000000000111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000001111111111111111100000000000000001111111111111111000000000000000011111111111111110000000000000000111111111111111100000000000000 - ch[74]: 00000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111100000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111000000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000011111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000111111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111100000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000001111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111111000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111110000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000000000000000000000011111111111111111111111111111111000000000000000000000000000000001111111111111111111111111111111100000000000000 - ch[75]: 00000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111100000000000000 - ch[76]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000 - ch[77]: 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111 - ch[78]: 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 - ch[79]: 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 - ch[80]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[81]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[82]: 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[83]: 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[84]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 - ch[85]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[86]: 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 - ch[87]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[88]: 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 - ch[89]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[90]: 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 - ch[91]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[92]: 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 - ch[93]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[94]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[95]: 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 - ch[96]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[97]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[98]: 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 - ch[99]: 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 - ch[100]: 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 - ch[101]: 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 - ch[102]: 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 - ch[103]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[104]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[105]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[106]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[107]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[108]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[109]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[110]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[111]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[112]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[113]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[114]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[115]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[116]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[117]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[118]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[119]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[120]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[121]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[122]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[123]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[124]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[125]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[126]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[127]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[128]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[129]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[130]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[131]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[132]: 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 - ch[133]: 11111111111111110000000000000001100000000000000010000000000000000000000000000000000000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000000000000000000000000000000000000000000000000000100000000000000010000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000111111111111111100000000000000011000000000000000100000000000000000000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000011111111111111111000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000000000000000000001000000000000000000000000000000010000000000000001000000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001111111111111100000000000000001000000000000000100000000000000000000000000000000000000000000000100000000000000010000000000000001000000000000000000000000000000000000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000100000000000000000000000000000001000000000000000100000000000000000000000000000000000000000000000000000000000000010000000000000001000000000000000100000000000000010000000000000000100000000000000010000000000000000000000000000000111111111111111000000000000000110000000000000001000000000000000000000000000000000000000000000001000000000000000100000000000000010000000000000001000000000000000111111111111111110000000000000001000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000000000000000000000000000000000000000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000001111111111111111000000000000000010000000000000001000000000000000100000000000000000000000000000001000000000000000100000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000001000000000000000100000000000000000000000000000001000000000000000000000000000000000000000000000001000000000000000111111111111111100000000000000011000000000000000100000000000000000000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000000100000000000000010000000000000000000000000000000000000000000000000000000000000001000000000000000100000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000111111111111111000000000000000110000000000000001000000000000000000000000000000000000000000000001000000000000000100000000000000010000000000000000000000000000000000000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000000000000000000000000000000000000001111111111111111000000000000000110000000000000001000000000000000000000000000000000000000000000001000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000001000000000000000100000000000000000000000000000000000000000000000000000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000011111111111111110000000000000001100000000000000010000000000000000000000000000000000000000000000010000000000000001000000000000000100000000000000010000000000000001111111111111111100000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000000000000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000000100000000000000000000000000000001000000000000000100000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000111111111111110000000000000001100000000000000010000000000000000000000000000000000000000000000010000000000000001000000000000000100000000000000000000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000010000000000000001000000000000000100000000000000000000000000000001000000000000000100000000000000010000000000000000000000000000000100000000000000010000000000000000000000000000000000000000000000000000000000000001000000000000000100000000000000010000000000000001000000000000000000000000000000001000000000000000100000000000000011111111111111100000000000000011000000000000000100000000000000000000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000011111111111111111000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000010000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000111111111111111100000000000000001000000000000000100000000000000000000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000011111111111111111000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000010000000000000000000000000000000100000000000000010000000000000000000000000000000000000000000000000000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000000000000000000000100000000000000010000000000000001000000000000000111111111111111000000000000000000000000000000001000000000000000100000000000000001000000000000000100000000000000010000000000000000000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000010000000000000000000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000 - ch[134]: 11111111111111110000000000000001100000000000000010000000000000000000000000000000000000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000000000000000000000000000000000000000000000000000100000000000000010000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000111111111111111100000000000000011000000000000000100000000000000000000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000011111111111111111000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000000000000000000001000000000000000000000000000000010000000000000001000000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001111111111111100000000000000001000000000000000100000000000000000000000000000000000000000000000100000000000000010000000000000001000000000000000000000000000000000000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000100000000000000000000000000000001000000000000000100000000000000000000000000000000000000000000000000000000000000010000000000000001000000000000000100000000000000010000000000000000100000000000000010000000000000000000000000000000111111111111111000000000000000110000000000000001000000000000000000000000000000000000000000000001000000000000000100000000000000010000000000000001000000000000000111111111111111110000000000000001000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000000000000000000000000000000000000000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000001111111111111111000000000000000010000000000000001000000000000000100000000000000000000000000000001000000000000000100000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000001000000000000000100000000000000000000000000000001000000000000000000000000000000000000000000000001000000000000000111111111111111100000000000000011000000000000000100000000000000000000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000000100000000000000010000000000000000000000000000000000000000000000000000000000000001000000000000000100000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000111111111111111000000000000000110000000000000001000000000000000000000000000000000000000000000001000000000000000100000000000000010000000000000000000000000000000000000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000000000000000000000000000000000000001111111111111111000000000000000110000000000000001000000000000000000000000000000000000000000000001000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000001000000000000000100000000000000000000000000000000000000000000000000000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000011111111111111110000000000000001100000000000000010000000000000000000000000000000000000000000000010000000000000001000000000000000100000000000000010000000000000001111111111111111100000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000000000000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000000100000000000000000000000000000001000000000000000100000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000111111111111110000000000000001100000000000000010000000000000000000000000000000000000000000000010000000000000001000000000000000100000000000000000000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000010000000000000001000000000000000100000000000000000000000000000001000000000000000100000000000000010000000000000000000000000000000100000000000000010000000000000000000000000000000000000000000000000000000000000001000000000000000100000000000000010000000000000001000000000000000000000000000000001000000000000000100000000000000011111111111111100000000000000011000000000000000100000000000000000000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000011111111111111111000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000010000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000111111111111111100000000000000001000000000000000100000000000000000000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000011111111111111111000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000010000000000000000000000000000000100000000000000010000000000000000000000000000000000000000000000000000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000000000000000000000100000000000000010000000000000001000000000000000111111111111111000000000000000000000000000000001000000000000000100000000000000001000000000000000100000000000000010000000000000000000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000010000000000000000000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000 - ch[135]: 11111111111111110000000000000001100000000000000010000000000000000000000000000000000000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000000000000000000000000000000000000000000000000000100000000000000010000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000111111111111111100000000000000011000000000000000100000000000000000000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000011111111111111111000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000000000000000000001000000000000000000000000000000010000000000000001000000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001111111111111100000000000000001000000000000000100000000000000000000000000000000000000000000000100000000000000010000000000000001000000000000000000000000000000000000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000100000000000000000000000000000001000000000000000100000000000000000000000000000000000000000000000000000000000000010000000000000001000000000000000100000000000000010000000000000000100000000000000010000000000000000000000000000000111111111111111000000000000000110000000000000001000000000000000000000000000000000000000000000001000000000000000100000000000000010000000000000001000000000000000111111111111111110000000000000001000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000000000000000000000000000000000000000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000001111111111111111000000000000000010000000000000001000000000000000100000000000000000000000000000001000000000000000100000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000001000000000000000100000000000000000000000000000001000000000000000000000000000000000000000000000001000000000000000111111111111111100000000000000011000000000000000100000000000000000000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000000100000000000000010000000000000000000000000000000000000000000000000000000000000001000000000000000100000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000111111111111111000000000000000110000000000000001000000000000000000000000000000000000000000000001000000000000000100000000000000010000000000000000000000000000000000000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000000000000000000000000000000000000001111111111111111000000000000000110000000000000001000000000000000000000000000000000000000000000001000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000001000000000000000100000000000000000000000000000000000000000000000000000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000011111111111111110000000000000001100000000000000010000000000000000000000000000000000000000000000010000000000000001000000000000000100000000000000010000000000000001111111111111111100000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000000000000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000000100000000000000000000000000000001000000000000000100000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000111111111111110000000000000001100000000000000010000000000000000000000000000000000000000000000010000000000000001000000000000000100000000000000000000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000010000000000000001000000000000000100000000000000000000000000000001000000000000000100000000000000010000000000000000000000000000000100000000000000010000000000000000000000000000000000000000000000000000000000000001000000000000000100000000000000010000000000000001000000000000000000000000000000001000000000000000100000000000000011111111111111100000000000000011000000000000000100000000000000000000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000011111111111111111000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000010000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000111111111111111100000000000000001000000000000000100000000000000000000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000011111111111111111000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000010000000000000000000000000000000100000000000000010000000000000000000000000000000000000000000000000000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000000000000000000000100000000000000010000000000000001000000000000000111111111111111000000000000000000000000000000001000000000000000100000000000000001000000000000000100000000000000010000000000000000000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000010000000000000000000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000 - ch[136]: 11111111111111110000000000000001100000000000000010000000000000000000000000000000000000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000000000000000000000000000000000000000000000000000100000000000000010000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000111111111111111100000000000000011000000000000000100000000000000000000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000011111111111111111000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000000000000000000001000000000000000000000000000000010000000000000001000000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001111111111111100000000000000001000000000000000100000000000000000000000000000000000000000000000100000000000000010000000000000001000000000000000000000000000000000000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000100000000000000000000000000000001000000000000000100000000000000000000000000000000000000000000000000000000000000010000000000000001000000000000000100000000000000010000000000000000100000000000000010000000000000000000000000000000111111111111111000000000000000110000000000000001000000000000000000000000000000000000000000000001000000000000000100000000000000010000000000000001000000000000000111111111111111110000000000000001000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000000000000000000000000000000000000000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000001111111111111111000000000000000010000000000000001000000000000000100000000000000000000000000000001000000000000000100000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000001000000000000000100000000000000000000000000000001000000000000000000000000000000000000000000000001000000000000000111111111111111100000000000000011000000000000000100000000000000000000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000000100000000000000010000000000000000000000000000000000000000000000000000000000000001000000000000000100000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000111111111111111000000000000000110000000000000001000000000000000000000000000000000000000000000001000000000000000100000000000000010000000000000000000000000000000000000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000000000000000000000000000000000000001111111111111111000000000000000110000000000000001000000000000000000000000000000000000000000000001000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000001000000000000000100000000000000000000000000000000000000000000000000000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000011111111111111110000000000000001100000000000000010000000000000000000000000000000000000000000000010000000000000001000000000000000100000000000000010000000000000001111111111111111100000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000000000000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000000100000000000000000000000000000001000000000000000100000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000111111111111110000000000000001100000000000000010000000000000000000000000000000000000000000000010000000000000001000000000000000100000000000000000000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000010000000000000001000000000000000100000000000000000000000000000001000000000000000100000000000000010000000000000000000000000000000100000000000000010000000000000000000000000000000000000000000000000000000000000001000000000000000100000000000000010000000000000001000000000000000000000000000000001000000000000000100000000000000011111111111111100000000000000011000000000000000100000000000000000000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000011111111111111111000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000010000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000111111111111111100000000000000001000000000000000100000000000000000000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000011111111111111111000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000010000000000000000000000000000000100000000000000010000000000000000000000000000000000000000000000000000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000000000000000000000100000000000000010000000000000001000000000000000111111111111111000000000000000000000000000000001000000000000000100000000000000001000000000000000100000000000000010000000000000000000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000010000000000000000000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000 - ch[137]: 00000000000000001000000000000000010000000000000001000000000000000100000000000000000000000000000001000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000010000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000000000000000010000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000010000000000000001000000000000000000000000000000000000000000000100000000000000001000000000000000100000000000000010000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000000000000000000100000000000000001000000000000000100000000000000010000000000000000000000000000000100000000000000010000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000010000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000100000000000000001000000000000000100000000000000010000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000000000000000000100000000000000001000000000000000100000000000000010000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000001000000000000000010000000000000001000000000000000100000000000000000000000000000001000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000001000000000000000010000000000000001000000000000000100000000000000000000000000000001000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000001000000000000000100000000000000010000000000000000000000000000010000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000010000000000000000100000000000000010000000000000001000000000000000000000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000100000000000000001000000000000000100000000000000010000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000 diff --git a/simulation/.Xil/Vivado-17517-franss-Vostro-460/hw_ila_data_1/zip_work/waveform.vcd b/simulation/.Xil/Vivado-17517-franss-Vostro-460/hw_ila_data_1/zip_work/waveform.vcd deleted file mode 100644 index 92520694d5ac0624cd5c730d02b1360a630509ae..0000000000000000000000000000000000000000 --- a/simulation/.Xil/Vivado-17517-franss-Vostro-460/hw_ila_data_1/zip_work/waveform.vcd +++ /dev/null @@ -1,27166 +0,0 @@ -$date - 2018-Jun-13 14:12:09 -$end -$version - Vivado v2016.4 (64-bit) -$end -$timescale - 1ps -$end -$scope module dut $end -$var reg 64 " TX_Data_Pipelined [63:0] $end -$var reg 5 b TX_Info_Pipelined [4:0] $end -$var reg 64 g RX_Data [63:0] $end -$var reg 5 I" RX_Info [4:0] $end -$var reg 1 N" _TRIGGER $end -$var reg 1 O" _WINDOW $end -$var reg 1 P" _GAP $end -$upscope $end -$enddefinitions $end -#0 -$dumpvars -b1111100100101010100110011100010000 " -b11110 b -b1111100100101010100110011100010000 g -b1111 I" -1N" -1O" -0P" -$end -#1 -b1111100100101010100110011100010001 " -b11101 b -#2 -b1111100100101010100110011100010010 " -b11100 b -#3 -b1111100100101010100110011100010011 " -#4 -b1111100100101010100110011100010100 " -#5 -b1111100100101010100110011100010101 " -#6 -b1111100100101010100110011100010110 " -#7 -b1111100100101010100110011100010111 " -#8 -b1111100100101010100110011100011000 " -#9 -b1111100100101010100110011100011001 " -#10 -b1111100100101010100110011100011010 " -#11 -b1111100100101010100110011100011011 " -#12 -b1111100100101010100110011100011100 " -#13 -b1111100100101010100110011100011101 " -#14 -b1111100100101010100110011100011110 " -#15 -b1111100100101010100110011100011111 " -#16 -b1111100100101010100110011100100000 " -b11110 b -b1111100100101010100110011100100001 g -b10000 I" -#17 -b1111100100101010100110011100100001 " -b11101 b -b1111100100101010100110011100100010 g -b0 I" -#18 -b1111100100101010100110011100100010 " -b11100 b -b1111100100101010100110011100100011 g -#19 -b1111100100101010100110011100100011 " -b1111100100101010100110011100100100 g -#20 -b1111100100101010100110011100100100 " -b1111100100101010100110011100100101 g -#21 -b1111100100101010100110011100100101 " -b1111100100101010100110011100100110 g -#22 -b1111100100101010100110011100100110 " -b1111100100101010100110011100100111 g -#23 -b1111100100101010100110011100100111 " -b1111100100101010100110011100101000 g -#24 -b1111100100101010100110011100101000 " -b1111100100101010100110011100101001 g -#25 -b1111100100101010100110011100101001 " -b1111100100101010100110011100101010 g -#26 -b1111100100101010100110011100101010 " -b1111100100101010100110011100101011 g -#27 -b1111100100101010100110011100101011 " -b1111100100101010100110011100101100 g -#28 -b1111100100101010100110011100101100 " -b1111100100101010100110011100101101 g -#29 -b1111100100101010100110011100101101 " -b1111100100101010100110011100101110 g -#30 -b1111100100101010100110011100101110 " -b1111100100101010100110011100101111 g -#31 -b1111100100101010100110011100101111 " -b1111100100101010100110011100110000 g -b1111 I" -#32 -b1111100100101010100110011100110000 " -b11110 b -#33 -b1111100100101010100110011100110001 " -b11101 b -b1111100100101010100110011100110001 g -b10000 I" -#34 -b1111100100101010100110011100110010 " -b11100 b -b1111100100101010100110011100110010 g -b0 I" -#35 -b1111100100101010100110011100110011 " -b1111100100101010100110011100110011 g -#36 -b1111100100101010100110011100110100 " -b1111100100101010100110011100110100 g -#37 -b1111100100101010100110011100110101 " -b1111100100101010100110011100110101 g -#38 -b1111100100101010100110011100110110 " -b1111100100101010100110011100110110 g -#39 -b1111100100101010100110011100110111 " -b1111100100101010100110011100110111 g -#40 -b1111100100101010100110011100111000 " -b1111100100101010100110011100111000 g -#41 -b1111100100101010100110011100111001 " -b1111100100101010100110011100111001 g -#42 -b1111100100101010100110011100111010 " -b1111100100101010100110011100111010 g -#43 -b1111100100101010100110011100111011 " -b1111100100101010100110011100111011 g -#44 -b1111100100101010100110011100111100 " -b1111100100101010100110011100111100 g -#45 -b1111100100101010100110011100111101 " -b1111100100101010100110011100111101 g -#46 -b1111100100101010100110011100111110 " -b1111100100101010100110011100111110 g -#47 -b1111100100101010100110011100111111 " -b1111100100101010100110011100111111 g -#48 -b1111100100101010100110011101000000 " -b11110 b -b1111100100101010100110011101000000 g -b1111 I" -#49 -b1111100100101010100110011101000001 " -b11101 b -b1111100100101010100110011101000001 g -b10000 I" -#50 -b1111100100101010100110011101000010 " -b11100 b -b1111100100101010100110011101000010 g -b0 I" -#51 -b1111100100101010100110011101000011 " -b1111100100101010100110011101000011 g -#52 -b1111100100101010100110011101000100 " -b1111100100101010100110011101000100 g -#53 -b1111100100101010100110011101000101 " -b1111100100101010100110011101000101 g -#54 -b1111100100101010100110011101000110 " -b1111100100101010100110011101000110 g -#55 -b1111100100101010100110011101000111 " -b1111100100101010100110011101000111 g -#56 -b1111100100101010100110011101001000 " -b1111100100101010100110011101001000 g -#57 -b1111100100101010100110011101001001 " -b1111100100101010100110011101001001 g -#58 -b1111100100101010100110011101001010 " -b1111100100101010100110011101001010 g -#59 -b1111100100101010100110011101001011 " -b1111100100101010100110011101001011 g -#60 -b1111100100101010100110011101001100 " -b1111100100101010100110011101001100 g -#61 -b1111100100101010100110011101001101 " -b1111100100101010100110011101001101 g -#62 -b1111100100101010100110011101001110 " -b1111100100101010100110011101001110 g -#63 -b1111100100101010100110011101001111 " -b1111100100101010100110011101001111 g -#64 -b1111100100101010100110011101010000 " -b11110 b -b1111100100101010100110011101010000 g -#65 -b1111100100101010100110011101010001 " -b11101 b -b1111100100101010100110011101010001 g -b10000 I" -#66 -b1111100100101010100110011101010010 " -b11100 b -b1111100100101010100110011101010010 g -b0 I" -#67 -b1111100100101010100110011101010011 " -b1111100100101010100110011101010011 g -#68 -b1111100100101010100110011101010100 " -b1111100100101010100110011101010100 g -#69 -b1111100100101010100110011101010101 " -b1111100100101010100110011101010101 g -#70 -b1111100100101010100110011101010110 " -b1111100100101010100110011101010110 g -#71 -b1111100100101010100110011101010111 " -b1111100100101010100110011101010111 g -#72 -b1111100100101010100110011101011000 " -b1111100100101010100110011101011000 g -#73 -b1111100100101010100110011101011001 " -b1111100100101010100110011101011001 g -#74 -b1111100100101010100110011101011010 " -b1111100100101010100110011101011010 g -#75 -b1111100100101010100110011101011011 " -b1111100100101010100110011101011011 g -#76 -b1111100100101010100110011101011100 " -b1111100100101010100110011101011100 g -#77 -b1111100100101010100110011101011101 " -b1111100100101010100110011101011101 g -#78 -b1111100100101010100110011101011110 " -b1111100100101010100110011101011110 g -#79 -b1111100100101010100110011101011111 " -b1111100100101010100110011101011111 g -#80 -b1111100100101010100110011101100000 " -b11110 b -b1111100100101010100110011101100000 g -#81 -b1111100100101010100110011101100001 " -b11101 b -b1111100100101010100110011101100001 g -#82 -b1111100100101010100110011101100010 " -b11100 b -b1111100100101010100110011101100010 g -#83 -b1111100100101010100110011101100011 " -b1111100100101010100110011101100011 g -#84 -b1111100100101010100110011101100100 " -b1111100100101010100110011101100100 g -#85 -b1111100100101010100110011101100101 " -b1111100100101010100110011101100101 g -#86 -b1111100100101010100110011101100110 " -b1111100100101010100110011101100110 g -#87 -b1111100100101010100110011101100111 " -b1111100100101010100110011101100111 g -#88 -b1111100100101010100110011101101000 " -b1111100100101010100110011101101000 g -#89 -b1111100100101010100110011101101001 " -b1111100100101010100110011101101001 g -#90 -b1111100100101010100110011101101010 " -b1111100100101010100110011101101010 g -#91 -b1111100100101010100110011101101011 " -b1111100100101010100110011101101011 g -#92 -b1111100100101010100110011101101100 " -b1111100100101010100110011101101100 g -#93 -b1111100100101010100110011101101101 " -b1111100100101010100110011101101101 g -#94 -b1111100100101010100110011101101110 " -b1111100100101010100110011101101110 g -#95 -b1111100100101010100110011101101111 " -b1111100100101010100110011101101111 g -#96 -b1111100100101010100110011101110000 " -b11110 b -b1111100100101010100110011101110000 g -b1111 I" -#97 -b1111100100101010100110011101110001 " -b11101 b -b1111100100101010100110011101110001 g -b10000 I" -#98 -b1111100100101010100110011101110010 " -b11100 b -b1111100100101010100110011101110010 g -b0 I" -#99 -b1111100100101010100110011101110011 " -b1111100100101010100110011101110011 g -#100 -b1111100100101010100110011101110100 " -b1111100100101010100110011101110100 g -#101 -b1111100100101010100110011101110101 " -b1111100100101010100110011101110101 g -#102 -b1111100100101010100110011101110110 " -b1111100100101010100110011101110110 g -#103 -b1111100100101010100110011101110111 " -b1111100100101010100110011101110111 g -#104 -b1111100100101010100110011101111000 " -b1111100100101010100110011101111000 g -#105 -b1111100100101010100110011101111001 " -b1111100100101010100110011101111001 g -#106 -b1111100100101010100110011101111010 " -b1111100100101010100110011101111010 g -#107 -b1111100100101010100110011101111011 " -b1111100100101010100110011101111011 g -#108 -b1111100100101010100110011101111100 " -b1111100100101010100110011101111100 g -#109 -b1111100100101010100110011101111101 " -b1111100100101010100110011101111101 g -#110 -b1111100100101010100110011101111110 " -b1111100100101010100110011101111110 g -#111 -b1111100100101010100110011101111111 " -b1111100100101010100110011101111111 g -#112 -b1111100100101010100110011110000000 " -b11110 b -b1111100100101010100110011110000000 g -b1111 I" -#113 -b1111100100101010100110011110000001 " -b11101 b -b1111100100101010100110011110000001 g -b10000 I" -#114 -b1111100100101010100110011110000010 " -b11100 b -b1111100100101010100110011110000010 g -b0 I" -#115 -b1111100100101010100110011110000011 " -b1111100100101010100110011110000011 g -#116 -b1111100100101010100110011110000100 " -b1111100100101010100110011110000100 g -#117 -b1111100100101010100110011110000101 " -b1111100100101010100110011110000101 g -#118 -b1111100100101010100110011110000110 " -b1111100100101010100110011110000110 g -#119 -b1111100100101010100110011110000111 " -b1111100100101010100110011110000111 g -#120 -b1111100100101010100110011110001000 " -b1111100100101010100110011110001000 g -#121 -b1111100100101010100110011110001001 " -b1111100100101010100110011110001001 g -#122 -b1111100100101010100110011110001010 " -b1111100100101010100110011110001010 g -#123 -b1111100100101010100110011110001011 " -b1111100100101010100110011110001011 g -#124 -b1111100100101010100110011110001100 " -b1111100100101010100110011110001100 g -#125 -b1111100100101010100110011110001101 " -b1111100100101010100110011110001101 g -#126 -b1111100100101010100110011110001110 " -b1111100100101010100110011110001110 g -#127 -b1111100100101010100110011110001111 " -b1111100100101010100110011110001111 g -#128 -b1111100100101010100110011110010000 " -b11110 b -b1111100100101010100110011110010000 g -b1111 I" -#129 -b1111100100101010100110011110010001 " -b11101 b -b1111100100101010100110011110010001 g -b10000 I" -#130 -b1111100100101010100110011110010010 " -b11100 b -b1111100100101010100110011110010010 g -b0 I" -#131 -b1111100100101010100110011110010011 " -b1111100100101010100110011110010011 g -#132 -b1111100100101010100110011110010100 " -b1111100100101010100110011110010100 g -#133 -b1111100100101010100110011110010101 " -b1111100100101010100110011110010101 g -#134 -b1111100100101010100110011110010110 " -b1111100100101010100110011110010110 g -#135 -b1111100100101010100110011110010111 " -b1111100100101010100110011110010111 g -#136 -b1111100100101010100110011110011000 " -b1111100100101010100110011110011000 g -#137 -b1111100100101010100110011110011001 " -b1111100100101010100110011110011001 g -#138 -b1111100100101010100110011110011010 " -b1111100100101010100110011110011010 g -#139 -b1111100100101010100110011110011011 " -b1111100100101010100110011110011011 g -#140 -b1111100100101010100110011110011100 " -b1111100100101010100110011110011100 g -#141 -b1111100100101010100110011110011101 " -b1111100100101010100110011110011101 g -#142 -b1111100100101010100110011110011110 " -b1111100100101010100110011110011110 g -#143 -b1111100100101010100110011110011111 " -b1111100100101010100110011110011111 g -#144 -b1111100100101010100110011110100000 " -b11110 b -b1111100100101010100110011110100000 g -b1111 I" -#145 -b1111100100101010100110011110100001 " -b11101 b -b1111100100101010100110011110100001 g -b10000 I" -#146 -b1111100100101010100110011110100010 " -b11100 b -b1111100100101010100110011110100010 g -b0 I" -#147 -b1111100100101010100110011110100011 " -b1111100100101010100110011110100011 g -#148 -b1111100100101010100110011110100100 " -b1111100100101010100110011110100100 g -#149 -b1111100100101010100110011110100101 " -b1111100100101010100110011110100101 g -#150 -b1111100100101010100110011110100110 " -b1111100100101010100110011110100110 g -#151 -b1111100100101010100110011110100111 " -b1111100100101010100110011110100111 g -#152 -b1111100100101010100110011110101000 " -b1111100100101010100110011110101000 g -#153 -b1111100100101010100110011110101001 " -b1111100100101010100110011110101001 g -#154 -b1111100100101010100110011110101010 " -b1111100100101010100110011110101010 g -#155 -b1111100100101010100110011110101011 " -b1111100100101010100110011110101011 g -#156 -b1111100100101010100110011110101100 " -b1111100100101010100110011110101100 g -#157 -b1111100100101010100110011110101101 " -b1111100100101010100110011110101101 g -#158 -b1111100100101010100110011110101110 " -b1111100100101010100110011110101110 g -#159 -b1111100100101010100110011110101111 " -b1111100100101010100110011110101111 g -#160 -b1111100100101010100110011110110000 " -b11110 b -b1111100100101010100110011110110000 g -#161 -b1111100100101010100110011110110001 " -b11101 b -b1111100100101010100110011110110001 g -#162 -b1111100100101010100110011110110010 " -b11100 b -b1111100100101010100110011110110010 g -#163 -b1111100100101010100110011110110011 " -b1111100100101010100110011110110011 g -#164 -b1111100100101010100110011110110100 " -b1111100100101010100110011110110100 g -#165 -b1111100100101010100110011110110101 " -b1111100100101010100110011110110101 g -#166 -b1111100100101010100110011110110110 " -b1111100100101010100110011110110110 g -#167 -b1111100100101010100110011110110111 " -b1111100100101010100110011110110111 g -#168 -b1111100100101010100110011110111000 " -b1111100100101010100110011110111000 g -#169 -b1111100100101010100110011110111001 " -b1111100100101010100110011110111001 g -#170 -b1111100100101010100110011110111010 " -b1111100100101010100110011110111010 g -#171 -b1111100100101010100110011110111011 " -b1111100100101010100110011110111011 g -#172 -b1111100100101010100110011110111100 " -b1111100100101010100110011110111100 g -#173 -b1111100100101010100110011110111101 " -b1111100100101010100110011110111101 g -#174 -b1111100100101010100110011110111110 " -b1111100100101010100110011110111110 g -#175 -b1111100100101010100110011110111111 " -b1111100100101010100110011110111111 g -#176 -b1111100100101010100110011111000000 " -b11110 b -b1111100100101010100110011111000000 g -b1111 I" -#177 -b1111100100101010100110011111000001 " -b11101 b -b1111100100101010100110011111000001 g -b10000 I" -#178 -b1111100100101010100110011111000010 " -b11100 b -b1111100100101010100110011111000010 g -b0 I" -#179 -b1111100100101010100110011111000011 " -b1111100100101010100110011111000011 g -#180 -b1111100100101010100110011111000100 " -b1111100100101010100110011111000100 g -#181 -b1111100100101010100110011111000101 " -b1111100100101010100110011111000101 g -#182 -b1111100100101010100110011111000110 " -b1111100100101010100110011111000110 g -#183 -b1111100100101010100110011111000111 " -b1111100100101010100110011111000111 g -#184 -b1111100100101010100110011111001000 " -b1111100100101010100110011111001000 g -#185 -b1111100100101010100110011111001001 " -b1111100100101010100110011111001001 g -#186 -b1111100100101010100110011111001010 " -b1111100100101010100110011111001010 g -#187 -b1111100100101010100110011111001011 " -b1111100100101010100110011111001011 g -#188 -b1111100100101010100110011111001100 " -b1111100100101010100110011111001100 g -#189 -b1111100100101010100110011111001101 " -b1111100100101010100110011111001101 g -#190 -b1111100100101010100110011111001110 " -b1111100100101010100110011111001110 g -#191 -b1111100100101010100110011111001111 " -b1111100100101010100110011111001111 g -#192 -b1111100100101010100110011111010000 " -b11110 b -b1111100100101010100110011111010000 g -b1111 I" -#193 -b1111100100101010100110011111010001 " -b11101 b -b1111100100101010100110011111010001 g -b10000 I" -#194 -b1111100100101010100110011111010010 " -b11100 b -b1111100100101010100110011111010010 g -b0 I" -#195 -b1111100100101010100110011111010011 " -b1111100100101010100110011111010011 g -#196 -b1111100100101010100110011111010100 " -b1111100100101010100110011111010100 g -#197 -b1111100100101010100110011111010101 " -b1111100100101010100110011111010101 g -#198 -b1111100100101010100110011111010110 " -b1111100100101010100110011111010110 g -#199 -b1111100100101010100110011111010111 " -b1111100100101010100110011111010111 g -#200 -b1111100100101010100110011111011000 " -b1111100100101010100110011111011000 g -#201 -b1111100100101010100110011111011001 " -b1111100100101010100110011111011001 g -#202 -b1111100100101010100110011111011010 " -b1111100100101010100110011111011010 g -#203 -b1111100100101010100110011111011011 " -b1111100100101010100110011111011011 g -#204 -b1111100100101010100110011111011100 " -b1111100100101010100110011111011100 g -#205 -b1111100100101010100110011111011101 " -b1111100100101010100110011111011101 g -#206 -b1111100100101010100110011111011110 " -b1111100100101010100110011111011110 g -#207 -b1111100100101010100110011111011111 " -b1111100100101010100110011111011111 g -#208 -b1111100100101010100110011111100000 " -b11110 b -b1111100100101010100110011111100000 g -b1111 I" -#209 -b1111100100101010100110011111100001 " -b11101 b -b1111100100101010100110011111100001 g -b10000 I" -#210 -b1111100100101010100110011111100010 " -b11100 b -b1111100100101010100110011111100010 g -b0 I" -#211 -b1111100100101010100110011111100011 " -b1111100100101010100110011111100011 g -#212 -b1111100100101010100110011111100100 " -b1111100100101010100110011111100100 g -#213 -b1111100100101010100110011111100101 " -b1111100100101010100110011111100101 g -#214 -b1111100100101010100110011111100110 " -b1111100100101010100110011111100110 g -#215 -b1111100100101010100110011111100111 " -b1111100100101010100110011111100111 g -#216 -b1111100100101010100110011111101000 " -b1111100100101010100110011111101000 g -#217 -b1111100100101010100110011111101001 " -b1111100100101010100110011111101001 g -#218 -b1111100100101010100110011111101010 " -b1111100100101010100110011111101010 g -#219 -b1111100100101010100110011111101011 " -b1111100100101010100110011111101011 g -#220 -b1111100100101010100110011111101100 " -b1111100100101010100110011111101100 g -#221 -b1111100100101010100110011111101101 " -b1111100100101010100110011111101101 g -#222 -b1111100100101010100110011111101110 " -b1111100100101010100110011111101110 g -#223 -b1111100100101010100110011111101111 " -b1111100100101010100110011111101111 g -#224 -b1111100100101010100110011111110000 " -b11110 b -b1111100100101010100110011111110000 g -b1111 I" -#225 -b1111100100101010100110011111110001 " -b11101 b -b1111100100101010100110011111110001 g -b10000 I" -#226 -b1111100100101010100110011111110010 " -b11100 b -b1111100100101010100110011111110010 g -b0 I" -#227 -b1111100100101010100110011111110011 " -b1111100100101010100110011111110011 g -#228 -b1111100100101010100110011111110100 " -b1111100100101010100110011111110100 g -#229 -b1111100100101010100110011111110101 " -b1111100100101010100110011111110101 g -#230 -b1111100100101010100110011111110110 " -b1111100100101010100110011111110110 g -#231 -b1111100100101010100110011111110111 " -b1111100100101010100110011111110111 g -#232 -b1111100100101010100110011111111000 " -b1111100100101010100110011111111000 g -#233 -b1111100100101010100110011111111001 " -b1111100100101010100110011111111001 g -#234 -b1111100100101010100110011111111010 " -b1111100100101010100110011111111010 g -#235 -b1111100100101010100110011111111011 " -b1111100100101010100110011111111011 g -#236 -b1111100100101010100110011111111100 " -b1111100100101010100110011111111100 g -#237 -b1111100100101010100110011111111101 " -b1111100100101010100110011111111101 g -#238 -b1111100100101010100110011111111110 " -b1111100100101010100110011111111110 g -#239 -b1111100100101010100110011111111111 " -b1111100100101010100110011111111111 g -#240 -b1111100100101010100110100000000000 " -b11110 b -b1111100100101010100110100000000000 g -b1111 I" -#241 -b1111100100101010100110100000000001 " -b11101 b -b1111100100101010100110100000000001 g -b10000 I" -#242 -b1111100100101010100110100000000010 " -b11100 b -b1111100100101010100110100000000010 g -b0 I" -#243 -b1111100100101010100110100000000011 " -b1111100100101010100110100000000011 g -#244 -b1111100100101010100110100000000100 " -b1111100100101010100110100000000100 g -#245 -b1111100100101010100110100000000101 " -b1111100100101010100110100000000101 g -#246 -b1111100100101010100110100000000110 " -b1111100100101010100110100000000110 g -#247 -b1111100100101010100110100000000111 " -b1111100100101010100110100000000111 g -#248 -b1111100100101010100110100000001000 " -b1111100100101010100110100000001000 g -#249 -b1111100100101010100110100000001001 " -b1111100100101010100110100000001001 g -#250 -b1111100100101010100110100000001010 " -b1111100100101010100110100000001010 g -#251 -b1111100100101010100110100000001011 " -b1111100100101010100110100000001011 g -#252 -b1111100100101010100110100000001100 " -b1111100100101010100110100000001100 g -#253 -b1111100100101010100110100000001101 " -b1111100100101010100110100000001101 g -#254 -b1111100100101010100110100000001110 " -b1111100100101010100110100000001110 g -#255 -b1111100100101010100110100000001111 " -b1111100100101010100110100000001111 g -#256 -b1111100100101010100110100000010000 " -b11110 b -b1111100100101010100110100000010000 g -b1111 I" -#257 -b1111100100101010100110100000010001 " -b11101 b -b1111100100101010100110100000010001 g -b10000 I" -#258 -b1111100100101010100110100000010010 " -b11100 b -b1111100100101010100110100000010010 g -b0 I" -#259 -b1111100100101010100110100000010011 " -b1111100100101010100110100000010011 g -#260 -b1111100100101010100110100000010100 " -b1111100100101010100110100000010100 g -#261 -b1111100100101010100110100000010101 " -b1111100100101010100110100000010101 g -#262 -b1111100100101010100110100000010110 " -b1111100100101010100110100000010110 g -#263 -b1111100100101010100110100000010111 " -b1111100100101010100110100000010111 g -#264 -b1111100100101010100110100000011000 " -b1111100100101010100110100000011000 g -#265 -b1111100100101010100110100000011001 " -b1111100100101010100110100000011001 g -#266 -b1111100100101010100110100000011010 " -b1111100100101010100110100000011010 g -#267 -b1111100100101010100110100000011011 " -b1111100100101010100110100000011011 g -#268 -b1111100100101010100110100000011100 " -b1111100100101010100110100000011100 g -#269 -b1111100100101010100110100000011101 " -b1111100100101010100110100000011101 g -#270 -b1111100100101010100110100000011110 " -b1111100100101010100110100000011110 g -#271 -b1111100100101010100110100000011111 " -b1111100100101010100110100000011111 g -#272 -b1111100100101010100110100000100000 " -b11110 b -b1111100100101010100110100000100000 g -b1111 I" -#273 -b1111100100101010100110100000100001 " -b11101 b -b1111100100101010100110100000100001 g -b10000 I" -#274 -b1111100100101010100110100000100010 " -b11100 b -b1111100100101010100110100000100010 g -b0 I" -#275 -b1111100100101010100110100000100011 " -b1111100100101010100110100000100011 g -#276 -b1111100100101010100110100000100100 " -b1111100100101010100110100000100100 g -#277 -b1111100100101010100110100000100101 " -b1111100100101010100110100000100101 g -#278 -b1111100100101010100110100000100110 " -b1111100100101010100110100000100110 g -#279 -b1111100100101010100110100000100111 " -b1111100100101010100110100000100111 g -#280 -b1111100100101010100110100000101000 " -b1111100100101010100110100000101000 g -#281 -b1111100100101010100110100000101001 " -b1111100100101010100110100000101001 g -#282 -b1111100100101010100110100000101010 " -b1111100100101010100110100000101010 g -#283 -b1111100100101010100110100000101011 " -b1111100100101010100110100000101011 g -#284 -b1111100100101010100110100000101100 " -b1111100100101010100110100000101100 g -#285 -b1111100100101010100110100000101101 " -b1111100100101010100110100000101101 g -#286 -b1111100100101010100110100000101110 " -b1111100100101010100110100000101110 g -#287 -b1111100100101010100110100000101111 " -b1111100100101010100110100000101111 g -#288 -b1111100100101010100110100000110000 " -b11110 b -b1111100100101010100110100000110000 g -#289 -b1111100100101010100110100000110001 " -b11101 b -b1111100100101010100110100000110001 g -b10000 I" -#290 -b1111100100101010100110100000110010 " -b11100 b -b1111100100101010100110100000110010 g -b0 I" -#291 -b1111100100101010100110100000110011 " -b1111100100101010100110100000110011 g -#292 -b1111100100101010100110100000110100 " -b1111100100101010100110100000110100 g -#293 -b1111100100101010100110100000110101 " -b1111100100101010100110100000110101 g -#294 -b1111100100101010100110100000110110 " -b1111100100101010100110100000110110 g -#295 -b1111100100101010100110100000110111 " -b1111100100101010100110100000110111 g -#296 -b1111100100101010100110100000111000 " -b1111100100101010100110100000111000 g -#297 -b1111100100101010100110100000111001 " -b1111100100101010100110100000111001 g -#298 -b1111100100101010100110100000111010 " -b1111100100101010100110100000111010 g -#299 -b1111100100101010100110100000111011 " -b1111100100101010100110100000111011 g -#300 -b1111100100101010100110100000111100 " -b1111100100101010100110100000111100 g -#301 -b1111100100101010100110100000111101 " -b1111100100101010100110100000111101 g -#302 -b1111100100101010100110100000111110 " -b1111100100101010100110100000111110 g -#303 -b1111100100101010100110100000111111 " -b1111100100101010100110100000111111 g -#304 -b1111100100101010100110100001000000 " -b11110 b -b1111100100101010100110100001000000 g -b1111 I" -#305 -b1111100100101010100110100001000001 " -b11101 b -b1111100100101010100110100001000001 g -b10000 I" -#306 -b1111100100101010100110100001000010 " -b11100 b -b1111100100101010100110100001000010 g -b0 I" -#307 -b1111100100101010100110100001000011 " -b1111100100101010100110100001000011 g -#308 -b1111100100101010100110100001000100 " -b1111100100101010100110100001000100 g -#309 -b1111100100101010100110100001000101 " -b1111100100101010100110100001000101 g -#310 -b1111100100101010100110100001000110 " -b1111100100101010100110100001000110 g -#311 -b1111100100101010100110100001000111 " -b1111100100101010100110100001000111 g -#312 -b1111100100101010100110100001001000 " -b1111100100101010100110100001001000 g -#313 -b1111100100101010100110100001001001 " -b1111100100101010100110100001001001 g -#314 -b1111100100101010100110100001001010 " -b1111100100101010100110100001001010 g -#315 -b1111100100101010100110100001001011 " -b1111100100101010100110100001001011 g -#316 -b1111100100101010100110100001001100 " -b1111100100101010100110100001001100 g -#317 -b1111100100101010100110100001001101 " -b1111100100101010100110100001001101 g -#318 -b1111100100101010100110100001001110 " -b1111100100101010100110100001001110 g -#319 -b1111100100101010100110100001001111 " -b1111100100101010100110100001001111 g -#320 -b1111100100101010100110100001010000 " -b11110 b -b1111100100101010100110100001010000 g -b1111 I" -#321 -b1111100100101010100110100001010001 " -b11101 b -b1111100100101010100110100001010001 g -b10000 I" -#322 -b1111100100101010100110100001010010 " -b11100 b -b1111100100101010100110100001010010 g -b0 I" -#323 -b1111100100101010100110100001010011 " -b1111100100101010100110100001010011 g -#324 -b1111100100101010100110100001010100 " -b1111100100101010100110100001010100 g -#325 -b1111100100101010100110100001010101 " -b1111100100101010100110100001010101 g -#326 -b1111100100101010100110100001010110 " -b1111100100101010100110100001010110 g -#327 -b1111100100101010100110100001010111 " -b1111100100101010100110100001010111 g -#328 -b1111100100101010100110100001011000 " -b1111100100101010100110100001011000 g -#329 -b1111100100101010100110100001011001 " -b1111100100101010100110100001011001 g -#330 -b1111100100101010100110100001011010 " -b1111100100101010100110100001011010 g -#331 -b1111100100101010100110100001011011 " -b1111100100101010100110100001011011 g -#332 -b1111100100101010100110100001011100 " -b1111100100101010100110100001011100 g -#333 -b1111100100101010100110100001011101 " -b1111100100101010100110100001011101 g -#334 -b1111100100101010100110100001011110 " -b1111100100101010100110100001011110 g -#335 -b1111100100101010100110100001011111 " -b1111100100101010100110100001011111 g -#336 -b1111100100101010100110100001100000 " -b11110 b -b1111100100101010100110100001100000 g -b1111 I" -#337 -b1111100100101010100110100001100001 " -b11101 b -b1111100100101010100110100001100001 g -b10000 I" -#338 -b1111100100101010100110100001100010 " -b11100 b -b1111100100101010100110100001100010 g -b0 I" -#339 -b1111100100101010100110100001100011 " -b1111100100101010100110100001100011 g -#340 -b1111100100101010100110100001100100 " -b1111100100101010100110100001100100 g -#341 -b1111100100101010100110100001100101 " -b1111100100101010100110100001100101 g -#342 -b1111100100101010100110100001100110 " -b1111100100101010100110100001100110 g -#343 -b1111100100101010100110100001100111 " -b1111100100101010100110100001100111 g -#344 -b1111100100101010100110100001101000 " -b1111100100101010100110100001101000 g -#345 -b1111100100101010100110100001101001 " -b1111100100101010100110100001101001 g -#346 -b1111100100101010100110100001101010 " -b1111100100101010100110100001101010 g -#347 -b1111100100101010100110100001101011 " -b1111100100101010100110100001101011 g -#348 -b1111100100101010100110100001101100 " -b1111100100101010100110100001101100 g -#349 -b1111100100101010100110100001101101 " -b1111100100101010100110100001101101 g -#350 -b1111100100101010100110100001101110 " -b1111100100101010100110100001101110 g -#351 -b1111100100101010100110100001101111 " -b1111100100101010100110100001101111 g -#352 -b1111100100101010100110100001110000 " -b11110 b -b1111100100101010100110100001110000 g -b1111 I" -#353 -b1111100100101010100110100001110001 " -b11101 b -b1111100100101010100110100001110001 g -b10000 I" -#354 -b1111100100101010100110100001110010 " -b11100 b -b1111100100101010100110100001110010 g -b0 I" -#355 -b1111100100101010100110100001110011 " -b1111100100101010100110100001110011 g -#356 -b1111100100101010100110100001110100 " -b1111100100101010100110100001110100 g -#357 -b1111100100101010100110100001110101 " -b1111100100101010100110100001110101 g -#358 -b1111100100101010100110100001110110 " -b1111100100101010100110100001110110 g -#359 -b1111100100101010100110100001110111 " -b1111100100101010100110100001110111 g -#360 -b1111100100101010100110100001111000 " -b1111100100101010100110100001111000 g -#361 -b1111100100101010100110100001111001 " -b1111100100101010100110100001111001 g -#362 -b1111100100101010100110100001111010 " -b1111100100101010100110100001111010 g -#363 -b1111100100101010100110100001111011 " -b1111100100101010100110100001111011 g -#364 -b1111100100101010100110100001111100 " -b1111100100101010100110100001111100 g -#365 -b1111100100101010100110100001111101 " -b1111100100101010100110100001111101 g -#366 -b1111100100101010100110100001111110 " -b1111100100101010100110100001111110 g -#367 -b1111100100101010100110100001111111 " -b1111100100101010100110100001111111 g -#368 -b1111100100101010100110100010000000 " -b11110 b -b1111100100101010100110100010000000 g -b1111 I" -#369 -b1111100100101010100110100010000001 " -b11101 b -b1111100100101010100110100010000001 g -b10000 I" -#370 -b1111100100101010100110100010000010 " -b11100 b -b1111100100101010100110100010000010 g -b0 I" -#371 -b1111100100101010100110100010000011 " -b1111100100101010100110100010000011 g -#372 -b1111100100101010100110100010000100 " -b1111100100101010100110100010000100 g -#373 -b1111100100101010100110100010000101 " -b1111100100101010100110100010000101 g -#374 -b1111100100101010100110100010000110 " -b1111100100101010100110100010000110 g -#375 -b1111100100101010100110100010000111 " -b1111100100101010100110100010000111 g -#376 -b1111100100101010100110100010001000 " -b1111100100101010100110100010001000 g -#377 -b1111100100101010100110100010001001 " -b1111100100101010100110100010001001 g -#378 -b1111100100101010100110100010001010 " -b1111100100101010100110100010001010 g -#379 -b1111100100101010100110100010001011 " -b1111100100101010100110100010001011 g -#380 -b1111100100101010100110100010001100 " -b1111100100101010100110100010001100 g -#381 -b1111100100101010100110100010001101 " -b1111100100101010100110100010001101 g -#382 -b1111100100101010100110100010001110 " -b1111100100101010100110100010001110 g -#383 -b1111100100101010100110100010001111 " -b1111100100101010100110100010001111 g -#384 -b1111100100101010100110100010010000 " -b11110 b -b1111100100101010100110100010010000 g -b1111 I" -#385 -b1111100100101010100110100010010001 " -b11101 b -b1111100100101010100110100010010001 g -b10000 I" -#386 -b1111100100101010100110100010010010 " -b11100 b -b1111100100101010100110100010010010 g -b0 I" -#387 -b1111100100101010100110100010010011 " -b1111100100101010100110100010010011 g -#388 -b1111100100101010100110100010010100 " -b1111100100101010100110100010010100 g -#389 -b1111100100101010100110100010010101 " -b1111100100101010100110100010010101 g -#390 -b1111100100101010100110100010010110 " -b1111100100101010100110100010010110 g -#391 -b1111100100101010100110100010010111 " -b1111100100101010100110100010010111 g -#392 -b1111100100101010100110100010011000 " -b1111100100101010100110100010011000 g -#393 -b1111100100101010100110100010011001 " -b1111100100101010100110100010011001 g -#394 -b1111100100101010100110100010011010 " -b1111100100101010100110100010011010 g -#395 -b1111100100101010100110100010011011 " -b1111100100101010100110100010011011 g -#396 -b1111100100101010100110100010011100 " -b1111100100101010100110100010011100 g -#397 -b1111100100101010100110100010011101 " -b1111100100101010100110100010011101 g -#398 -b1111100100101010100110100010011110 " -b1111100100101010100110100010011110 g -#399 -b1111100100101010100110100010011111 " -b1111100100101010100110100010011111 g -#400 -b1111100100101010100110100010100000 " -b11110 b -b1111100100101010100110100010100000 g -b1111 I" -#401 -b1111100100101010100110100010100001 " -b11101 b -b1111100100101010100110100010100001 g -b10000 I" -#402 -b1111100100101010100110100010100010 " -b11100 b -b1111100100101010100110100010100010 g -b0 I" -#403 -b1111100100101010100110100010100011 " -b1111100100101010100110100010100011 g -#404 -b1111100100101010100110100010100100 " -b1111100100101010100110100010100100 g -#405 -b1111100100101010100110100010100101 " -b1111100100101010100110100010100101 g -#406 -b1111100100101010100110100010100110 " -b1111100100101010100110100010100110 g -#407 -b1111100100101010100110100010100111 " -b1111100100101010100110100010100111 g -#408 -b1111100100101010100110100010101000 " -b1111100100101010100110100010101000 g -#409 -b1111100100101010100110100010101001 " -b1111100100101010100110100010101001 g -#410 -b1111100100101010100110100010101010 " -b1111100100101010100110100010101010 g -#411 -b1111100100101010100110100010101011 " -b1111100100101010100110100010101011 g -#412 -b1111100100101010100110100010101100 " -b1111100100101010100110100010101100 g -#413 -b1111100100101010100110100010101101 " -b1111100100101010100110100010101101 g -#414 -b1111100100101010100110100010101110 " -b1111100100101010100110100010101110 g -#415 -b1111100100101010100110100010101111 " -b1111100100101010100110100010101111 g -#416 -b1111100100101010100110100010110000 " -b11110 b -b1111100100101010100110100010110000 g -#417 -b1111100100101010100110100010110001 " -b11101 b -b1111100100101010100110100010110001 g -b10000 I" -#418 -b1111100100101010100110100010110010 " -b11100 b -b1111100100101010100110100010110010 g -b0 I" -#419 -b1111100100101010100110100010110011 " -b1111100100101010100110100010110011 g -#420 -b1111100100101010100110100010110100 " -b1111100100101010100110100010110100 g -#421 -b1111100100101010100110100010110101 " -b1111100100101010100110100010110101 g -#422 -b1111100100101010100110100010110110 " -b1111100100101010100110100010110110 g -#423 -b1111100100101010100110100010110111 " -b1111100100101010100110100010110111 g -#424 -b1111100100101010100110100010111000 " -b1111100100101010100110100010111000 g -#425 -b1111100100101010100110100010111001 " -b1111100100101010100110100010111001 g -#426 -b1111100100101010100110100010111010 " -b1111100100101010100110100010111010 g -#427 -b1111100100101010100110100010111011 " -b1111100100101010100110100010111011 g -#428 -b1111100100101010100110100010111100 " -b1111100100101010100110100010111100 g -#429 -b1111100100101010100110100010111101 " -b1111100100101010100110100010111101 g -#430 -b1111100100101010100110100010111110 " -b1111100100101010100110100010111110 g -#431 -b1111100100101010100110100010111111 " -b1111100100101010100110100010111111 g -#432 -b1111100100101010100110100011000000 " -b11110 b -b1111100100101010100110100011000000 g -b1111 I" -#433 -b1111100100101010100110100011000001 " -b11101 b -b1111100100101010100110100011000001 g -b10000 I" -#434 -b1111100100101010100110100011000010 " -b11100 b -b1111100100101010100110100011000010 g -b0 I" -#435 -b1111100100101010100110100011000011 " -b1111100100101010100110100011000011 g -#436 -b1111100100101010100110100011000100 " -b1111100100101010100110100011000100 g -#437 -b1111100100101010100110100011000101 " -b1111100100101010100110100011000101 g -#438 -b1111100100101010100110100011000110 " -b1111100100101010100110100011000110 g -#439 -b1111100100101010100110100011000111 " -b1111100100101010100110100011000111 g -#440 -b1111100100101010100110100011001000 " -b1111100100101010100110100011001000 g -#441 -b1111100100101010100110100011001001 " -b1111100100101010100110100011001001 g -#442 -b1111100100101010100110100011001010 " -b1111100100101010100110100011001010 g -#443 -b1111100100101010100110100011001011 " -b1111100100101010100110100011001011 g -#444 -b1111100100101010100110100011001100 " -b1111100100101010100110100011001100 g -#445 -b1111100100101010100110100011001101 " -b1111100100101010100110100011001101 g -#446 -b1111100100101010100110100011001110 " -b1111100100101010100110100011001110 g -#447 -b1111100100101010100110100011001111 " -b1111100100101010100110100011001111 g -#448 -b1111100100101010100110100011010000 " -b11110 b -b1111100100101010100110100011010000 g -b1111 I" -#449 -b1111100100101010100110100011010001 " -b11101 b -b1111100100101010100110100011010001 g -b10000 I" -#450 -b1111100100101010100110100011010010 " -b11100 b -b1111100100101010100110100011010010 g -b0 I" -#451 -b1111100100101010100110100011010011 " -b1111100100101010100110100011010011 g -#452 -b1111100100101010100110100011010100 " -b1111100100101010100110100011010100 g -#453 -b1111100100101010100110100011010101 " -b1111100100101010100110100011010101 g -#454 -b1111100100101010100110100011010110 " -b1111100100101010100110100011010110 g -#455 -b1111100100101010100110100011010111 " -b1111100100101010100110100011010111 g -#456 -b1111100100101010100110100011011000 " -b1111100100101010100110100011011000 g -#457 -b1111100100101010100110100011011001 " -b1111100100101010100110100011011001 g -#458 -b1111100100101010100110100011011010 " -b1111100100101010100110100011011010 g -#459 -b1111100100101010100110100011011011 " -b1111100100101010100110100011011011 g -#460 -b1111100100101010100110100011011100 " -b1111100100101010100110100011011100 g -#461 -b1111100100101010100110100011011101 " -b1111100100101010100110100011011101 g -#462 -b1111100100101010100110100011011110 " -b1111100100101010100110100011011110 g -#463 -b1111100100101010100110100011011111 " -b1111100100101010100110100011011111 g -#464 -b1111100100101010100110100011100000 " -b11110 b -b1111100100101010100110100011100000 g -#465 -b1111100100101010100110100011100001 " -b11101 b -b1111100100101010100110100011100001 g -b10000 I" -#466 -b1111100100101010100110100011100010 " -b11100 b -b1111100100101010100110100011100010 g -b0 I" -#467 -b1111100100101010100110100011100011 " -b1111100100101010100110100011100011 g -#468 -b1111100100101010100110100011100100 " -b1111100100101010100110100011100100 g -#469 -b1111100100101010100110100011100101 " -b1111100100101010100110100011100101 g -#470 -b1111100100101010100110100011100110 " -b1111100100101010100110100011100110 g -#471 -b1111100100101010100110100011100111 " -b1111100100101010100110100011100111 g -#472 -b1111100100101010100110100011101000 " -b1111100100101010100110100011101000 g -#473 -b1111100100101010100110100011101001 " -b1111100100101010100110100011101001 g -#474 -b1111100100101010100110100011101010 " -b1111100100101010100110100011101010 g -#475 -b1111100100101010100110100011101011 " -b1111100100101010100110100011101011 g -#476 -b1111100100101010100110100011101100 " -b1111100100101010100110100011101100 g -#477 -b1111100100101010100110100011101101 " -b1111100100101010100110100011101101 g -#478 -b1111100100101010100110100011101110 " -b1111100100101010100110100011101110 g -#479 -b1111100100101010100110100011101111 " -b1111100100101010100110100011101111 g -#480 -b1111100100101010100110100011110000 " -b11110 b -b1111100100101010100110100011110000 g -#481 -b1111100100101010100110100011110001 " -b11101 b -b1111100100101010100110100011110001 g -b10000 I" -#482 -b1111100100101010100110100011110010 " -b11100 b -b1111100100101010100110100011110010 g -b0 I" -#483 -b1111100100101010100110100011110011 " -b1111100100101010100110100011110011 g -#484 -b1111100100101010100110100011110100 " -b1111100100101010100110100011110100 g -#485 -b1111100100101010100110100011110101 " -b1111100100101010100110100011110101 g -#486 -b1111100100101010100110100011110110 " -b1111100100101010100110100011110110 g -#487 -b1111100100101010100110100011110111 " -b1111100100101010100110100011110111 g -#488 -b1111100100101010100110100011111000 " -b1111100100101010100110100011111000 g -#489 -b1111100100101010100110100011111001 " -b1111100100101010100110100011111001 g -#490 -b1111100100101010100110100011111010 " -b1111100100101010100110100011111010 g -#491 -b1111100100101010100110100011111011 " -b1111100100101010100110100011111011 g -#492 -b1111100100101010100110100011111100 " -b1111100100101010100110100011111100 g -#493 -b1111100100101010100110100011111101 " -b1111100100101010100110100011111101 g -#494 -b1111100100101010100110100011111110 " -b1111100100101010100110100011111110 g -#495 -b1111100100101010100110100011111111 " -b1111100100101010100110100011111111 g -#496 -b1111100100101010100110100100000000 " -b11110 b -b1111100100101010100110100100000000 g -#497 -b1111100100101010100110100100000001 " -b11101 b -b1111100100101010100110100100000001 g -b10000 I" -#498 -b1111100100101010100110100100000010 " -b11100 b -b1111100100101010100110100100000010 g -b0 I" -#499 -b1111100100101010100110100100000011 " -b1111100100101010100110100100000011 g -#500 -b1111100100101010100110100100000100 " -b1111100100101010100110100100000100 g -#501 -b1111100100101010100110100100000101 " -b1111100100101010100110100100000101 g -#502 -b1111100100101010100110100100000110 " -b1111100100101010100110100100000110 g -#503 -b1111100100101010100110100100000111 " -b1111100100101010100110100100000111 g -#504 -b1111100100101010100110100100001000 " -b1111100100101010100110100100001000 g -#505 -b1111100100101010100110100100001001 " -b1111100100101010100110100100001001 g -#506 -b1111100100101010100110100100001010 " -b1111100100101010100110100100001010 g -#507 -b1111100100101010100110100100001011 " -b1111100100101010100110100100001011 g -#508 -b1111100100101010100110100100001100 " -b1111100100101010100110100100001100 g -#509 -b1111100100101010100110100100001101 " -b1111100100101010100110100100001101 g -#510 -b1111100100101010100110100100001110 " -b1111100100101010100110100100001110 g -#511 -b1111100100101010100110100100001111 " -b1111100100101010100110100100001111 g -#512 -b1111100100101010100110100100010000 " -b11110 b -b1111100100101010100110100100010000 g -b1111 I" -#513 -b1111100100101010100110100100010001 " -b11101 b -b1111100100101010100110100100010001 g -b10000 I" -#514 -b1111100100101010100110100100010010 " -b11100 b -b1111100100101010100110100100010010 g -b0 I" -#515 -b1111100100101010100110100100010011 " -b1111100100101010100110100100010011 g -#516 -b1111100100101010100110100100010100 " -b1111100100101010100110100100010100 g -#517 -b1111100100101010100110100100010101 " -b1111100100101010100110100100010101 g -#518 -b1111100100101010100110100100010110 " -b1111100100101010100110100100010110 g -#519 -b1111100100101010100110100100010111 " -b1111100100101010100110100100010111 g -#520 -b1111100100101010100110100100011000 " -b1111100100101010100110100100011000 g -#521 -b1111100100101010100110100100011001 " -b1111100100101010100110100100011001 g -#522 -b1111100100101010100110100100011010 " -b1111100100101010100110100100011010 g -#523 -b1111100100101010100110100100011011 " -b1111100100101010100110100100011011 g -#524 -b1111100100101010100110100100011100 " -b1111100100101010100110100100011100 g -#525 -b1111100100101010100110100100011101 " -b1111100100101010100110100100011101 g -#526 -b1111100100101010100110100100011110 " -b1111100100101010100110100100011110 g -#527 -b1111100100101010100110100100011111 " -b1111100100101010100110100100011111 g -#528 -b1111100100101010100110100100100000 " -b11110 b -b1111100100101010100110100100100000 g -b1111 I" -#529 -b1111100100101010100110100100100001 " -b11101 b -b1111100100101010100110100100100001 g -b10000 I" -#530 -b1111100100101010100110100100100010 " -b11100 b -b1111100100101010100110100100100010 g -b0 I" -#531 -b1111100100101010100110100100100011 " -b1111100100101010100110100100100011 g -#532 -b1111100100101010100110100100100100 " -b1111100100101010100110100100100100 g -#533 -b1111100100101010100110100100100101 " -b1111100100101010100110100100100101 g -#534 -b1111100100101010100110100100100110 " -b1111100100101010100110100100100110 g -#535 -b1111100100101010100110100100100111 " -b1111100100101010100110100100100111 g -#536 -b1111100100101010100110100100101000 " -b1111100100101010100110100100101000 g -#537 -b1111100100101010100110100100101001 " -b1111100100101010100110100100101001 g -#538 -b1111100100101010100110100100101010 " -b1111100100101010100110100100101010 g -#539 -b1111100100101010100110100100101011 " -b1111100100101010100110100100101011 g -#540 -b1111100100101010100110100100101100 " -b1111100100101010100110100100101100 g -#541 -b1111100100101010100110100100101101 " -b1111100100101010100110100100101101 g -#542 -b1111100100101010100110100100101110 " -b1111100100101010100110100100101110 g -#543 -b1111100100101010100110100100101111 " -b1111100100101010100110100100101111 g -#544 -b1111100100101010100110100100110000 " -b11110 b -b1111100100101010100110100100110000 g -#545 -b1111100100101010100110100100110001 " -b11101 b -b1111100100101010100110100100110001 g -b10000 I" -#546 -b1111100100101010100110100100110010 " -b11100 b -b1111100100101010100110100100110010 g -b0 I" -#547 -b1111100100101010100110100100110011 " -b1111100100101010100110100100110011 g -#548 -b1111100100101010100110100100110100 " -b1111100100101010100110100100110100 g -#549 -b1111100100101010100110100100110101 " -b1111100100101010100110100100110101 g -#550 -b1111100100101010100110100100110110 " -b1111100100101010100110100100110110 g -#551 -b1111100100101010100110100100110111 " -b1111100100101010100110100100110111 g -#552 -b1111100100101010100110100100111000 " -b1111100100101010100110100100111000 g -#553 -b1111100100101010100110100100111001 " -b1111100100101010100110100100111001 g -#554 -b1111100100101010100110100100111010 " -b1111100100101010100110100100111010 g -#555 -b1111100100101010100110100100111011 " -b1111100100101010100110100100111011 g -#556 -b1111100100101010100110100100111100 " -b1111100100101010100110100100111100 g -#557 -b1111100100101010100110100100111101 " -b1111100100101010100110100100111101 g -#558 -b1111100100101010100110100100111110 " -b1111100100101010100110100100111110 g -#559 -b1111100100101010100110100100111111 " -b1111100100101010100110100100111111 g -#560 -b1111100100101010100110100101000000 " -b11110 b -b1111100100101010100110100101000000 g -b1111 I" -#561 -b1111100100101010100110100101000001 " -b11101 b -b1111100100101010100110100101000001 g -b10000 I" -#562 -b1111100100101010100110100101000010 " -b11100 b -b1111100100101010100110100101000010 g -b0 I" -#563 -b1111100100101010100110100101000011 " -b1111100100101010100110100101000011 g -#564 -b1111100100101010100110100101000100 " -b1111100100101010100110100101000100 g -#565 -b1111100100101010100110100101000101 " -b1111100100101010100110100101000101 g -#566 -b1111100100101010100110100101000110 " -b1111100100101010100110100101000110 g -#567 -b1111100100101010100110100101000111 " -b1111100100101010100110100101000111 g -#568 -b1111100100101010100110100101001000 " -b1111100100101010100110100101001000 g -#569 -b1111100100101010100110100101001001 " -b1111100100101010100110100101001001 g -#570 -b1111100100101010100110100101001010 " -b1111100100101010100110100101001010 g -#571 -b1111100100101010100110100101001011 " -b1111100100101010100110100101001011 g -#572 -b1111100100101010100110100101001100 " -b1111100100101010100110100101001100 g -#573 -b1111100100101010100110100101001101 " -b1111100100101010100110100101001101 g -#574 -b1111100100101010100110100101001110 " -b1111100100101010100110100101001110 g -#575 -b1111100100101010100110100101001111 " -b1111100100101010100110100101001111 g -#576 -b1111100100101010100110100101010000 " -b11110 b -b1111100100101010100110100101010000 g -b1111 I" -#577 -b1111100100101010100110100101010001 " -b11101 b -b1111100100101010100110100101010001 g -b10000 I" -#578 -b1111100100101010100110100101010010 " -b11100 b -b1111100100101010100110100101010010 g -b0 I" -#579 -b1111100100101010100110100101010011 " -b1111100100101010100110100101010011 g -#580 -b1111100100101010100110100101010100 " -b1111100100101010100110100101010100 g -#581 -b1111100100101010100110100101010101 " -b1111100100101010100110100101010101 g -#582 -b1111100100101010100110100101010110 " -b1111100100101010100110100101010110 g -#583 -b1111100100101010100110100101010111 " -b1111100100101010100110100101010111 g -#584 -b1111100100101010100110100101011000 " -b1111100100101010100110100101011000 g -#585 -b1111100100101010100110100101011001 " -b1111100100101010100110100101011001 g -#586 -b1111100100101010100110100101011010 " -b1111100100101010100110100101011010 g -#587 -b1111100100101010100110100101011011 " -b1111100100101010100110100101011011 g -#588 -b1111100100101010100110100101011100 " -b1111100100101010100110100101011100 g -#589 -b1111100100101010100110100101011101 " -b1111100100101010100110100101011101 g -#590 -b1111100100101010100110100101011110 " -b1111100100101010100110100101011110 g -#591 -b1111100100101010100110100101011111 " -b1111100100101010100110100101011111 g -#592 -b1111100100101010100110100101100000 " -b11110 b -b1111100100101010100110100101100000 g -b1111 I" -#593 -b1111100100101010100110100101100001 " -b11101 b -b1111100100101010100110100101100001 g -b10000 I" -#594 -b1111100100101010100110100101100010 " -b11100 b -b1111100100101010100110100101100010 g -b0 I" -#595 -b1111100100101010100110100101100011 " -b1111100100101010100110100101100011 g -#596 -b1111100100101010100110100101100100 " -b1111100100101010100110100101100100 g -#597 -b1111100100101010100110100101100101 " -b1111100100101010100110100101100101 g -#598 -b1111100100101010100110100101100110 " -b1111100100101010100110100101100110 g -#599 -b1111100100101010100110100101100111 " -b1111100100101010100110100101100111 g -#600 -b1111100100101010100110100101101000 " -b1111100100101010100110100101101000 g -#601 -b1111100100101010100110100101101001 " -b1111100100101010100110100101101001 g -#602 -b1111100100101010100110100101101010 " -b1111100100101010100110100101101010 g -#603 -b1111100100101010100110100101101011 " -b1111100100101010100110100101101011 g -#604 -b1111100100101010100110100101101100 " -b1111100100101010100110100101101100 g -#605 -b1111100100101010100110100101101101 " -b1111100100101010100110100101101101 g -#606 -b1111100100101010100110100101101110 " -b1111100100101010100110100101101110 g -#607 -b1111100100101010100110100101101111 " -b1111100100101010100110100101101111 g -#608 -b1111100100101010100110100101110000 " -b11110 b -b1111100100101010100110100101110000 g -b1111 I" -#609 -b1111100100101010100110100101110001 " -b11101 b -b1111100100101010100110100101110001 g -b10000 I" -#610 -b1111100100101010100110100101110010 " -b11100 b -b1111100100101010100110100101110010 g -b0 I" -#611 -b1111100100101010100110100101110011 " -b1111100100101010100110100101110011 g -#612 -b1111100100101010100110100101110100 " -b1111100100101010100110100101110100 g -#613 -b1111100100101010100110100101110101 " -b1111100100101010100110100101110101 g -#614 -b1111100100101010100110100101110110 " -b1111100100101010100110100101110110 g -#615 -b1111100100101010100110100101110111 " -b1111100100101010100110100101110111 g -#616 -b1111100100101010100110100101111000 " -b1111100100101010100110100101111000 g -#617 -b1111100100101010100110100101111001 " -b1111100100101010100110100101111001 g -#618 -b1111100100101010100110100101111010 " -b1111100100101010100110100101111010 g -#619 -b1111100100101010100110100101111011 " -b1111100100101010100110100101111011 g -#620 -b1111100100101010100110100101111100 " -b1111100100101010100110100101111100 g -#621 -b1111100100101010100110100101111101 " -b1111100100101010100110100101111101 g -#622 -b1111100100101010100110100101111110 " -b1111100100101010100110100101111110 g -#623 -b1111100100101010100110100101111111 " -b1111100100101010100110100101111111 g -#624 -b1111100100101010100110100110000000 " -b11110 b -b1111100100101010100110100110000000 g -b1111 I" -#625 -b1111100100101010100110100110000001 " -b11101 b -b1111100100101010100110100110000001 g -b10000 I" -#626 -b1111100100101010100110100110000010 " -b11100 b -b1111100100101010100110100110000010 g -b0 I" -#627 -b1111100100101010100110100110000011 " -b1111100100101010100110100110000011 g -#628 -b1111100100101010100110100110000100 " -b1111100100101010100110100110000100 g -#629 -b1111100100101010100110100110000101 " -b1111100100101010100110100110000101 g -#630 -b1111100100101010100110100110000110 " -b1111100100101010100110100110000110 g -#631 -b1111100100101010100110100110000111 " -b1111100100101010100110100110000111 g -#632 -b1111100100101010100110100110001000 " -b1111100100101010100110100110001000 g -#633 -b1111100100101010100110100110001001 " -b1111100100101010100110100110001001 g -#634 -b1111100100101010100110100110001010 " -b1111100100101010100110100110001010 g -#635 -b1111100100101010100110100110001011 " -b1111100100101010100110100110001011 g -#636 -b1111100100101010100110100110001100 " -b1111100100101010100110100110001100 g -#637 -b1111100100101010100110100110001101 " -b1111100100101010100110100110001101 g -#638 -b1111100100101010100110100110001110 " -b1111100100101010100110100110001110 g -#639 -b1111100100101010100110100110001111 " -b1111100100101010100110100110001111 g -#640 -b1111100100101010100110100110010000 " -b11110 b -b1111100100101010100110100110010000 g -b1111 I" -#641 -b1111100100101010100110100110010001 " -b11101 b -b1111100100101010100110100110010001 g -b10000 I" -#642 -b1111100100101010100110100110010010 " -b11100 b -b1111100100101010100110100110010010 g -b0 I" -#643 -b1111100100101010100110100110010011 " -b1111100100101010100110100110010011 g -#644 -b1111100100101010100110100110010100 " -b1111100100101010100110100110010100 g -#645 -b1111100100101010100110100110010101 " -b1111100100101010100110100110010101 g -#646 -b1111100100101010100110100110010110 " -b1111100100101010100110100110010110 g -#647 -b1111100100101010100110100110010111 " -b1111100100101010100110100110010111 g -#648 -b1111100100101010100110100110011000 " -b1111100100101010100110100110011000 g -#649 -b1111100100101010100110100110011001 " -b1111100100101010100110100110011001 g -#650 -b1111100100101010100110100110011010 " -b1111100100101010100110100110011010 g -#651 -b1111100100101010100110100110011011 " -b1111100100101010100110100110011011 g -#652 -b1111100100101010100110100110011100 " -b1111100100101010100110100110011100 g -#653 -b1111100100101010100110100110011101 " -b1111100100101010100110100110011101 g -#654 -b1111100100101010100110100110011110 " -b1111100100101010100110100110011110 g -#655 -b1111100100101010100110100110011111 " -b1111100100101010100110100110011111 g -#656 -b1111100100101010100110100110100000 " -b11110 b -b1111100100101010100110100110100000 g -b1111 I" -#657 -b1111100100101010100110100110100001 " -b11101 b -#658 -b1111100100101010100110100110100010 " -b11100 b -#659 -b1111100100101010100110100110100011 " -#660 -b1111100100101010100110100110100100 " -#661 -b1111100100101010100110100110100101 " -#662 -b1111100100101010100110100110100110 " -#663 -b1111100100101010100110100110100111 " -#664 -b1111100100101010100110100110101000 " -#665 -b1111100100101010100110100110101001 " -#666 -b1111100100101010100110100110101010 " -#667 -b1111100100101010100110100110101011 " -#668 -b1111100100101010100110100110101100 " -#669 -b1111100100101010100110100110101101 " -#670 -b1111100100101010100110100110101110 " -#671 -b1111100100101010100110100110101111 " -#672 -b1111100100101010100110100110110000 " -b11110 b -b1111100100101010100110100110110001 g -b10000 I" -#673 -b1111100100101010100110100110110001 " -b11101 b -b1111100100101010100110100110110010 g -b0 I" -#674 -b1111100100101010100110100110110010 " -b11100 b -b1111100100101010100110100110110011 g -#675 -b1111100100101010100110100110110011 " -b1111100100101010100110100110110100 g -#676 -b1111100100101010100110100110110100 " -b1111100100101010100110100110110101 g -#677 -b1111100100101010100110100110110101 " -b1111100100101010100110100110110110 g -#678 -b1111100100101010100110100110110110 " -b1111100100101010100110100110110111 g -#679 -b1111100100101010100110100110110111 " -b1111100100101010100110100110111000 g -#680 -b1111100100101010100110100110111000 " -b1111100100101010100110100110111001 g -#681 -b1111100100101010100110100110111001 " -b1111100100101010100110100110111010 g -#682 -b1111100100101010100110100110111010 " -b1111100100101010100110100110111011 g -#683 -b1111100100101010100110100110111011 " -b1111100100101010100110100110111100 g -#684 -b1111100100101010100110100110111100 " -b1111100100101010100110100110111101 g -#685 -b1111100100101010100110100110111101 " -b1111100100101010100110100110111110 g -#686 -b1111100100101010100110100110111110 " -b1111100100101010100110100110111111 g -#687 -b1111100100101010100110100110111111 " -b1111100100101010100110100111000000 g -b1111 I" -#688 -b1111100100101010100110100111000000 " -b11110 b -#689 -b1111100100101010100110100111000001 " -b11101 b -b1111100100101010100110100111000001 g -b10000 I" -#690 -b1111100100101010100110100111000010 " -b11100 b -b1111100100101010100110100111000010 g -b0 I" -#691 -b1111100100101010100110100111000011 " -b1111100100101010100110100111000011 g -#692 -b1111100100101010100110100111000100 " -b1111100100101010100110100111000100 g -#693 -b1111100100101010100110100111000101 " -b1111100100101010100110100111000101 g -#694 -b1111100100101010100110100111000110 " -b1111100100101010100110100111000110 g -#695 -b1111100100101010100110100111000111 " -b1111100100101010100110100111000111 g -#696 -b1111100100101010100110100111001000 " -b1111100100101010100110100111001000 g -#697 -b1111100100101010100110100111001001 " -b1111100100101010100110100111001001 g -#698 -b1111100100101010100110100111001010 " -b1111100100101010100110100111001010 g -#699 -b1111100100101010100110100111001011 " -b1111100100101010100110100111001011 g -#700 -b1111100100101010100110100111001100 " -b1111100100101010100110100111001100 g -#701 -b1111100100101010100110100111001101 " -b1111100100101010100110100111001101 g -#702 -b1111100100101010100110100111001110 " -b1111100100101010100110100111001110 g -#703 -b1111100100101010100110100111001111 " -b1111100100101010100110100111001111 g -#704 -b1111100100101010100110100111010000 " -b11110 b -b1111100100101010100110100111010000 g -b1111 I" -#705 -b1111100100101010100110100111010001 " -b11101 b -b1111100100101010100110100111010001 g -b10000 I" -#706 -b1111100100101010100110100111010010 " -b11100 b -b1111100100101010100110100111010010 g -b0 I" -#707 -b1111100100101010100110100111010011 " -b1111100100101010100110100111010011 g -#708 -b1111100100101010100110100111010100 " -b1111100100101010100110100111010100 g -#709 -b1111100100101010100110100111010101 " -b1111100100101010100110100111010101 g -#710 -b1111100100101010100110100111010110 " -b1111100100101010100110100111010110 g -#711 -b1111100100101010100110100111010111 " -b1111100100101010100110100111010111 g -#712 -b1111100100101010100110100111011000 " -b1111100100101010100110100111011000 g -#713 -b1111100100101010100110100111011001 " -b1111100100101010100110100111011001 g -#714 -b1111100100101010100110100111011010 " -b1111100100101010100110100111011010 g -#715 -b1111100100101010100110100111011011 " -b1111100100101010100110100111011011 g -#716 -b1111100100101010100110100111011100 " -b1111100100101010100110100111011100 g -#717 -b1111100100101010100110100111011101 " -b1111100100101010100110100111011101 g -#718 -b1111100100101010100110100111011110 " -b1111100100101010100110100111011110 g -#719 -b1111100100101010100110100111011111 " -b1111100100101010100110100111011111 g -#720 -b1111100100101010100110100111100000 " -b11110 b -b1111100100101010100110100111100000 g -#721 -b1111100100101010100110100111100001 " -b11101 b -b1111100100101010100110100111100001 g -b10000 I" -#722 -b1111100100101010100110100111100010 " -b11100 b -b1111100100101010100110100111100010 g -b0 I" -#723 -b1111100100101010100110100111100011 " -b1111100100101010100110100111100011 g -#724 -b1111100100101010100110100111100100 " -b1111100100101010100110100111100100 g -#725 -b1111100100101010100110100111100101 " -b1111100100101010100110100111100101 g -#726 -b1111100100101010100110100111100110 " -b1111100100101010100110100111100110 g -#727 -b1111100100101010100110100111100111 " -b1111100100101010100110100111100111 g -#728 -b1111100100101010100110100111101000 " -b1111100100101010100110100111101000 g -#729 -b1111100100101010100110100111101001 " -b1111100100101010100110100111101001 g -#730 -b1111100100101010100110100111101010 " -b1111100100101010100110100111101010 g -#731 -b1111100100101010100110100111101011 " -b1111100100101010100110100111101011 g -#732 -b1111100100101010100110100111101100 " -b1111100100101010100110100111101100 g -#733 -b1111100100101010100110100111101101 " -b1111100100101010100110100111101101 g -#734 -b1111100100101010100110100111101110 " -b1111100100101010100110100111101110 g -#735 -b1111100100101010100110100111101111 " -b1111100100101010100110100111101111 g -#736 -b1111100100101010100110100111110000 " -b11110 b -b1111100100101010100110100111110000 g -#737 -b1111100100101010100110100111110001 " -b11101 b -b1111100100101010100110100111110001 g -#738 -b1111100100101010100110100111110010 " -b11100 b -b1111100100101010100110100111110010 g -#739 -b1111100100101010100110100111110011 " -b1111100100101010100110100111110011 g -#740 -b1111100100101010100110100111110100 " -b1111100100101010100110100111110100 g -#741 -b1111100100101010100110100111110101 " -b1111100100101010100110100111110101 g -#742 -b1111100100101010100110100111110110 " -b1111100100101010100110100111110110 g -#743 -b1111100100101010100110100111110111 " -b1111100100101010100110100111110111 g -#744 -b1111100100101010100110100111111000 " -b1111100100101010100110100111111000 g -#745 -b1111100100101010100110100111111001 " -b1111100100101010100110100111111001 g -#746 -b1111100100101010100110100111111010 " -b1111100100101010100110100111111010 g -#747 -b1111100100101010100110100111111011 " -b1111100100101010100110100111111011 g -#748 -b1111100100101010100110100111111100 " -b1111100100101010100110100111111100 g -#749 -b1111100100101010100110100111111101 " -b1111100100101010100110100111111101 g -#750 -b1111100100101010100110100111111110 " -b1111100100101010100110100111111110 g -#751 -b1111100100101010100110100111111111 " -b1111100100101010100110100111111111 g -#752 -b1111100100101010100110101000000000 " -b11110 b -b1111100100101010100110101000000000 g -b1111 I" -#753 -b1111100100101010100110101000000001 " -b11101 b -b1111100100101010100110101000000001 g -b10000 I" -#754 -b1111100100101010100110101000000010 " -b11100 b -b1111100100101010100110101000000010 g -b0 I" -#755 -b1111100100101010100110101000000011 " -b1111100100101010100110101000000011 g -#756 -b1111100100101010100110101000000100 " -b1111100100101010100110101000000100 g -#757 -b1111100100101010100110101000000101 " -b1111100100101010100110101000000101 g -#758 -b1111100100101010100110101000000110 " -b1111100100101010100110101000000110 g -#759 -b1111100100101010100110101000000111 " -b1111100100101010100110101000000111 g -#760 -b1111100100101010100110101000001000 " -b1111100100101010100110101000001000 g -#761 -b1111100100101010100110101000001001 " -b1111100100101010100110101000001001 g -#762 -b1111100100101010100110101000001010 " -b1111100100101010100110101000001010 g -#763 -b1111100100101010100110101000001011 " -b1111100100101010100110101000001011 g -#764 -b1111100100101010100110101000001100 " -b1111100100101010100110101000001100 g -#765 -b1111100100101010100110101000001101 " -b1111100100101010100110101000001101 g -#766 -b1111100100101010100110101000001110 " -b1111100100101010100110101000001110 g -#767 -b1111100100101010100110101000001111 " -b1111100100101010100110101000001111 g -#768 -b1111100100101010100110101000010000 " -b11110 b -b1111100100101010100110101000010000 g -b1111 I" -#769 -b1111100100101010100110101000010001 " -b11101 b -b1111100100101010100110101000010001 g -b10000 I" -#770 -b1111100100101010100110101000010010 " -b11100 b -b1111100100101010100110101000010010 g -b0 I" -#771 -b1111100100101010100110101000010011 " -b1111100100101010100110101000010011 g -#772 -b1111100100101010100110101000010100 " -b1111100100101010100110101000010100 g -#773 -b1111100100101010100110101000010101 " -b1111100100101010100110101000010101 g -#774 -b1111100100101010100110101000010110 " -b1111100100101010100110101000010110 g -#775 -b1111100100101010100110101000010111 " -b1111100100101010100110101000010111 g -#776 -b1111100100101010100110101000011000 " -b1111100100101010100110101000011000 g -#777 -b1111100100101010100110101000011001 " -b1111100100101010100110101000011001 g -#778 -b1111100100101010100110101000011010 " -b1111100100101010100110101000011010 g -#779 -b1111100100101010100110101000011011 " -b1111100100101010100110101000011011 g -#780 -b1111100100101010100110101000011100 " -b1111100100101010100110101000011100 g -#781 -b1111100100101010100110101000011101 " -b1111100100101010100110101000011101 g -#782 -b1111100100101010100110101000011110 " -b1111100100101010100110101000011110 g -#783 -b1111100100101010100110101000011111 " -b1111100100101010100110101000011111 g -#784 -b1111100100101010100110101000100000 " -b11110 b -b1111100100101010100110101000100000 g -b1111 I" -#785 -b1111100100101010100110101000100001 " -b11101 b -b1111100100101010100110101000100001 g -b10000 I" -#786 -b1111100100101010100110101000100010 " -b11100 b -b1111100100101010100110101000100010 g -b0 I" -#787 -b1111100100101010100110101000100011 " -b1111100100101010100110101000100011 g -#788 -b1111100100101010100110101000100100 " -b1111100100101010100110101000100100 g -#789 -b1111100100101010100110101000100101 " -b1111100100101010100110101000100101 g -#790 -b1111100100101010100110101000100110 " -b1111100100101010100110101000100110 g -#791 -b1111100100101010100110101000100111 " -b1111100100101010100110101000100111 g -#792 -b1111100100101010100110101000101000 " -b1111100100101010100110101000101000 g -#793 -b1111100100101010100110101000101001 " -b1111100100101010100110101000101001 g -#794 -b1111100100101010100110101000101010 " -b1111100100101010100110101000101010 g -#795 -b1111100100101010100110101000101011 " -b1111100100101010100110101000101011 g -#796 -b1111100100101010100110101000101100 " -b1111100100101010100110101000101100 g -#797 -b1111100100101010100110101000101101 " -b1111100100101010100110101000101101 g -#798 -b1111100100101010100110101000101110 " -b1111100100101010100110101000101110 g -#799 -b1111100100101010100110101000101111 " -b1111100100101010100110101000101111 g -#800 -b1111100100101010100110101000110000 " -b11110 b -b1111100100101010100110101000110000 g -b1111 I" -#801 -b1111100100101010100110101000110001 " -b11101 b -b1111100100101010100110101000110001 g -b10000 I" -#802 -b1111100100101010100110101000110010 " -b11100 b -b1111100100101010100110101000110010 g -b0 I" -#803 -b1111100100101010100110101000110011 " -b1111100100101010100110101000110011 g -#804 -b1111100100101010100110101000110100 " -b1111100100101010100110101000110100 g -#805 -b1111100100101010100110101000110101 " -b1111100100101010100110101000110101 g -#806 -b1111100100101010100110101000110110 " -b1111100100101010100110101000110110 g -#807 -b1111100100101010100110101000110111 " -b1111100100101010100110101000110111 g -#808 -b1111100100101010100110101000111000 " -b1111100100101010100110101000111000 g -#809 -b1111100100101010100110101000111001 " -b1111100100101010100110101000111001 g -#810 -b1111100100101010100110101000111010 " -b1111100100101010100110101000111010 g -#811 -b1111100100101010100110101000111011 " -b1111100100101010100110101000111011 g -#812 -b1111100100101010100110101000111100 " -b1111100100101010100110101000111100 g -#813 -b1111100100101010100110101000111101 " -b1111100100101010100110101000111101 g -#814 -b1111100100101010100110101000111110 " -b1111100100101010100110101000111110 g -#815 -b1111100100101010100110101000111111 " -b1111100100101010100110101000111111 g -#816 -b1111100100101010100110101001000000 " -b11110 b -b1111100100101010100110101001000000 g -b1111 I" -#817 -b1111100100101010100110101001000001 " -b11101 b -#818 -b1111100100101010100110101001000010 " -b11100 b -#819 -b1111100100101010100110101001000011 " -#820 -b1111100100101010100110101001000100 " -#821 -b1111100100101010100110101001000101 " -#822 -b1111100100101010100110101001000110 " -#823 -b1111100100101010100110101001000111 " -#824 -b1111100100101010100110101001001000 " -#825 -b1111100100101010100110101001001001 " -#826 -b1111100100101010100110101001001010 " -#827 -b1111100100101010100110101001001011 " -#828 -b1111100100101010100110101001001100 " -#829 -b1111100100101010100110101001001101 " -#830 -b1111100100101010100110101001001110 " -#831 -b1111100100101010100110101001001111 " -#832 -b1111100100101010100110101001010000 " -b11110 b -#833 -b1111100100101010100110101001010001 " -b11101 b -b1111100100101010100110101001010001 g -b10000 I" -#834 -b1111100100101010100110101001010010 " -b11100 b -b1111100100101010100110101001010010 g -b0 I" -#835 -b1111100100101010100110101001010011 " -b1111100100101010100110101001010011 g -#836 -b1111100100101010100110101001010100 " -b1111100100101010100110101001010100 g -#837 -b1111100100101010100110101001010101 " -b1111100100101010100110101001010101 g -#838 -b1111100100101010100110101001010110 " -b1111100100101010100110101001010110 g -#839 -b1111100100101010100110101001010111 " -b1111100100101010100110101001010111 g -#840 -b1111100100101010100110101001011000 " -b1111100100101010100110101001011000 g -#841 -b1111100100101010100110101001011001 " -b1111100100101010100110101001011001 g -#842 -b1111100100101010100110101001011010 " -b1111100100101010100110101001011010 g -#843 -b1111100100101010100110101001011011 " -b1111100100101010100110101001011011 g -#844 -b1111100100101010100110101001011100 " -b1111100100101010100110101001011100 g -#845 -b1111100100101010100110101001011101 " -b1111100100101010100110101001011101 g -#846 -b1111100100101010100110101001011110 " -b1111100100101010100110101001011110 g -#847 -b1111100100101010100110101001011111 " -b1111100100101010100110101001011111 g -#848 -b1111100100101010100110101001100000 " -b11110 b -b1111100100101010100110101001100000 g -b1111 I" -#849 -b1111100100101010100110101001100001 " -b11101 b -b1111100100101010100110101001100001 g -b10000 I" -#850 -b1111100100101010100110101001100010 " -b11100 b -b1111100100101010100110101001100010 g -b0 I" -#851 -b1111100100101010100110101001100011 " -b1111100100101010100110101001100011 g -#852 -b1111100100101010100110101001100100 " -b1111100100101010100110101001100100 g -#853 -b1111100100101010100110101001100101 " -b1111100100101010100110101001100101 g -#854 -b1111100100101010100110101001100110 " -b1111100100101010100110101001100110 g -#855 -b1111100100101010100110101001100111 " -b1111100100101010100110101001100111 g -#856 -b1111100100101010100110101001101000 " -b1111100100101010100110101001101000 g -#857 -b1111100100101010100110101001101001 " -b1111100100101010100110101001101001 g -#858 -b1111100100101010100110101001101010 " -b1111100100101010100110101001101010 g -#859 -b1111100100101010100110101001101011 " -b1111100100101010100110101001101011 g -#860 -b1111100100101010100110101001101100 " -b1111100100101010100110101001101100 g -#861 -b1111100100101010100110101001101101 " -b1111100100101010100110101001101101 g -#862 -b1111100100101010100110101001101110 " -b1111100100101010100110101001101110 g -#863 -b1111100100101010100110101001101111 " -b1111100100101010100110101001101111 g -#864 -b1111100100101010100110101001110000 " -b11110 b -b1111100100101010100110101001110000 g -b1111 I" -#865 -b1111100100101010100110101001110001 " -b11101 b -b1111100100101010100110101001110001 g -b10000 I" -#866 -b1111100100101010100110101001110010 " -b11100 b -b1111100100101010100110101001110010 g -b0 I" -#867 -b1111100100101010100110101001110011 " -b1111100100101010100110101001110011 g -#868 -b1111100100101010100110101001110100 " -b1111100100101010100110101001110100 g -#869 -b1111100100101010100110101001110101 " -b1111100100101010100110101001110101 g -#870 -b1111100100101010100110101001110110 " -b1111100100101010100110101001110110 g -#871 -b1111100100101010100110101001110111 " -b1111100100101010100110101001110111 g -#872 -b1111100100101010100110101001111000 " -b1111100100101010100110101001111000 g -#873 -b1111100100101010100110101001111001 " -b1111100100101010100110101001111001 g -#874 -b1111100100101010100110101001111010 " -b1111100100101010100110101001111010 g -#875 -b1111100100101010100110101001111011 " -b1111100100101010100110101001111011 g -#876 -b1111100100101010100110101001111100 " -b1111100100101010100110101001111100 g -#877 -b1111100100101010100110101001111101 " -b1111100100101010100110101001111101 g -#878 -b1111100100101010100110101001111110 " -b1111100100101010100110101001111110 g -#879 -b1111100100101010100110101001111111 " -b1111100100101010100110101001111111 g -#880 -b1111100100101010100110101010000000 " -b11110 b -b1111100100101010100110101010000000 g -b1111 I" -#881 -b1111100100101010100110101010000001 " -b11101 b -b1111100100101010100110101010000001 g -b10000 I" -#882 -b1111100100101010100110101010000010 " -b11100 b -b1111100100101010100110101010000010 g -b0 I" -#883 -b1111100100101010100110101010000011 " -b1111100100101010100110101010000011 g -#884 -b1111100100101010100110101010000100 " -b1111100100101010100110101010000100 g -#885 -b1111100100101010100110101010000101 " -b1111100100101010100110101010000101 g -#886 -b1111100100101010100110101010000110 " -b1111100100101010100110101010000110 g -#887 -b1111100100101010100110101010000111 " -b1111100100101010100110101010000111 g -#888 -b1111100100101010100110101010001000 " -b1111100100101010100110101010001000 g -#889 -b1111100100101010100110101010001001 " -b1111100100101010100110101010001001 g -#890 -b1111100100101010100110101010001010 " -b1111100100101010100110101010001010 g -#891 -b1111100100101010100110101010001011 " -b1111100100101010100110101010001011 g -#892 -b1111100100101010100110101010001100 " -b1111100100101010100110101010001100 g -#893 -b1111100100101010100110101010001101 " -b1111100100101010100110101010001101 g -#894 -b1111100100101010100110101010001110 " -b1111100100101010100110101010001110 g -#895 -b1111100100101010100110101010001111 " -b1111100100101010100110101010001111 g -#896 -b1111100100101010100110101010010000 " -b11110 b -b1111100100101010100110101010010000 g -b1111 I" -#897 -b1111100100101010100110101010010001 " -b11101 b -b1111100100101010100110101010010001 g -b10000 I" -#898 -b1111100100101010100110101010010010 " -b11100 b -b1111100100101010100110101010010010 g -b0 I" -#899 -b1111100100101010100110101010010011 " -b1111100100101010100110101010010011 g -#900 -b1111100100101010100110101010010100 " -b1111100100101010100110101010010100 g -#901 -b1111100100101010100110101010010101 " -b1111100100101010100110101010010101 g -#902 -b1111100100101010100110101010010110 " -b1111100100101010100110101010010110 g -#903 -b1111100100101010100110101010010111 " -b1111100100101010100110101010010111 g -#904 -b1111100100101010100110101010011000 " -b1111100100101010100110101010011000 g -#905 -b1111100100101010100110101010011001 " -b1111100100101010100110101010011001 g -#906 -b1111100100101010100110101010011010 " -b1111100100101010100110101010011010 g -#907 -b1111100100101010100110101010011011 " -b1111100100101010100110101010011011 g -#908 -b1111100100101010100110101010011100 " -b1111100100101010100110101010011100 g -#909 -b1111100100101010100110101010011101 " -b1111100100101010100110101010011101 g -#910 -b1111100100101010100110101010011110 " -b1111100100101010100110101010011110 g -#911 -b1111100100101010100110101010011111 " -b1111100100101010100110101010011111 g -#912 -b1111100100101010100110101010100000 " -b11110 b -b1111100100101010100110101010100000 g -#913 -b1111100100101010100110101010100001 " -b11101 b -b1111100100101010100110101010100001 g -b10000 I" -#914 -b1111100100101010100110101010100010 " -b11100 b -b1111100100101010100110101010100010 g -b0 I" -#915 -b1111100100101010100110101010100011 " -b1111100100101010100110101010100011 g -#916 -b1111100100101010100110101010100100 " -b1111100100101010100110101010100100 g -#917 -b1111100100101010100110101010100101 " -b1111100100101010100110101010100101 g -#918 -b1111100100101010100110101010100110 " -b1111100100101010100110101010100110 g -#919 -b1111100100101010100110101010100111 " -b1111100100101010100110101010100111 g -#920 -b1111100100101010100110101010101000 " -b1111100100101010100110101010101000 g -#921 -b1111100100101010100110101010101001 " -b1111100100101010100110101010101001 g -#922 -b1111100100101010100110101010101010 " -b1111100100101010100110101010101010 g -#923 -b1111100100101010100110101010101011 " -b1111100100101010100110101010101011 g -#924 -b1111100100101010100110101010101100 " -b1111100100101010100110101010101100 g -#925 -b1111100100101010100110101010101101 " -b1111100100101010100110101010101101 g -#926 -b1111100100101010100110101010101110 " -b1111100100101010100110101010101110 g -#927 -b1111100100101010100110101010101111 " -b1111100100101010100110101010101111 g -#928 -b1111100100101010100110101010110000 " -b11110 b -b1111100100101010100110101010110000 g -#929 -b1111100100101010100110101010110001 " -b11101 b -b1111100100101010100110101010110001 g -b10000 I" -#930 -b1111100100101010100110101010110010 " -b11100 b -b1111100100101010100110101010110010 g -b0 I" -#931 -b1111100100101010100110101010110011 " -b1111100100101010100110101010110011 g -#932 -b1111100100101010100110101010110100 " -b1111100100101010100110101010110100 g -#933 -b1111100100101010100110101010110101 " -b1111100100101010100110101010110101 g -#934 -b1111100100101010100110101010110110 " -b1111100100101010100110101010110110 g -#935 -b1111100100101010100110101010110111 " -b1111100100101010100110101010110111 g -#936 -b1111100100101010100110101010111000 " -b1111100100101010100110101010111000 g -#937 -b1111100100101010100110101010111001 " -b1111100100101010100110101010111001 g -#938 -b1111100100101010100110101010111010 " -b1111100100101010100110101010111010 g -#939 -b1111100100101010100110101010111011 " -b1111100100101010100110101010111011 g -#940 -b1111100100101010100110101010111100 " -b1111100100101010100110101010111100 g -#941 -b1111100100101010100110101010111101 " -b1111100100101010100110101010111101 g -#942 -b1111100100101010100110101010111110 " -b1111100100101010100110101010111110 g -#943 -b1111100100101010100110101010111111 " -b1111100100101010100110101010111111 g -#944 -b1111100100101010100110101011000000 " -b11110 b -b1111100100101010100110101011000000 g -b1111 I" -#945 -b1111100100101010100110101011000001 " -b11101 b -b1111100100101010100110101011000001 g -b10000 I" -#946 -b1111100100101010100110101011000010 " -b11100 b -b1111100100101010100110101011000010 g -b0 I" -#947 -b1111100100101010100110101011000011 " -b1111100100101010100110101011000011 g -#948 -b1111100100101010100110101011000100 " -b1111100100101010100110101011000100 g -#949 -b1111100100101010100110101011000101 " -b1111100100101010100110101011000101 g -#950 -b1111100100101010100110101011000110 " -b1111100100101010100110101011000110 g -#951 -b1111100100101010100110101011000111 " -b1111100100101010100110101011000111 g -#952 -b1111100100101010100110101011001000 " -b1111100100101010100110101011001000 g -#953 -b1111100100101010100110101011001001 " -b1111100100101010100110101011001001 g -#954 -b1111100100101010100110101011001010 " -b1111100100101010100110101011001010 g -#955 -b1111100100101010100110101011001011 " -b1111100100101010100110101011001011 g -#956 -b1111100100101010100110101011001100 " -b1111100100101010100110101011001100 g -#957 -b1111100100101010100110101011001101 " -b1111100100101010100110101011001101 g -#958 -b1111100100101010100110101011001110 " -b1111100100101010100110101011001110 g -#959 -b1111100100101010100110101011001111 " -b1111100100101010100110101011001111 g -#960 -b1111100100101010100110101011010000 " -b11110 b -b1111100100101010100110101011010000 g -b1111 I" -#961 -b1111100100101010100110101011010001 " -b11101 b -b1111100100101010100110101011010001 g -b10000 I" -#962 -b1111100100101010100110101011010010 " -b11100 b -b1111100100101010100110101011010010 g -b0 I" -#963 -b1111100100101010100110101011010011 " -b1111100100101010100110101011010011 g -#964 -b1111100100101010100110101011010100 " -b1111100100101010100110101011010100 g -#965 -b1111100100101010100110101011010101 " -b1111100100101010100110101011010101 g -#966 -b1111100100101010100110101011010110 " -b1111100100101010100110101011010110 g -#967 -b1111100100101010100110101011010111 " -b1111100100101010100110101011010111 g -#968 -b1111100100101010100110101011011000 " -b1111100100101010100110101011011000 g -#969 -b1111100100101010100110101011011001 " -b1111100100101010100110101011011001 g -#970 -b1111100100101010100110101011011010 " -b1111100100101010100110101011011010 g -#971 -b1111100100101010100110101011011011 " -b1111100100101010100110101011011011 g -#972 -b1111100100101010100110101011011100 " -b1111100100101010100110101011011100 g -#973 -b1111100100101010100110101011011101 " -b1111100100101010100110101011011101 g -#974 -b1111100100101010100110101011011110 " -b1111100100101010100110101011011110 g -#975 -b1111100100101010100110101011011111 " -b1111100100101010100110101011011111 g -#976 -b1111100100101010100110101011100000 " -b11110 b -b1111100100101010100110101011100000 g -b1111 I" -#977 -b1111100100101010100110101011100001 " -b11101 b -b1111100100101010100110101011100001 g -b10000 I" -#978 -b1111100100101010100110101011100010 " -b11100 b -b1111100100101010100110101011100010 g -b0 I" -#979 -b1111100100101010100110101011100011 " -b1111100100101010100110101011100011 g -#980 -b1111100100101010100110101011100100 " -b1111100100101010100110101011100100 g -#981 -b1111100100101010100110101011100101 " -b1111100100101010100110101011100101 g -#982 -b1111100100101010100110101011100110 " -b1111100100101010100110101011100110 g -#983 -b1111100100101010100110101011100111 " -b1111100100101010100110101011100111 g -#984 -b1111100100101010100110101011101000 " -b1111100100101010100110101011101000 g -#985 -b1111100100101010100110101011101001 " -b1111100100101010100110101011101001 g -#986 -b1111100100101010100110101011101010 " -b1111100100101010100110101011101010 g -#987 -b1111100100101010100110101011101011 " -b1111100100101010100110101011101011 g -#988 -b1111100100101010100110101011101100 " -b1111100100101010100110101011101100 g -#989 -b1111100100101010100110101011101101 " -b1111100100101010100110101011101101 g -#990 -b1111100100101010100110101011101110 " -b1111100100101010100110101011101110 g -#991 -b1111100100101010100110101011101111 " -b1111100100101010100110101011101111 g -#992 -b1111100100101010100110101011110000 " -b11110 b -b1111100100101010100110101011110000 g -b1111 I" -#993 -b1111100100101010100110101011110001 " -b11101 b -b1111100100101010100110101011110001 g -b10000 I" -#994 -b1111100100101010100110101011110010 " -b11100 b -b1111100100101010100110101011110010 g -b0 I" -#995 -b1111100100101010100110101011110011 " -b1111100100101010100110101011110011 g -#996 -b1111100100101010100110101011110100 " -b1111100100101010100110101011110100 g -#997 -b1111100100101010100110101011110101 " -b1111100100101010100110101011110101 g -#998 -b1111100100101010100110101011110110 " -b1111100100101010100110101011110110 g -#999 -b1111100100101010100110101011110111 " -b1111100100101010100110101011110111 g -#1000 -b1111100100101010100110101011111000 " -b1111100100101010100110101011111000 g -#1001 -b1111100100101010100110101011111001 " -b1111100100101010100110101011111001 g -#1002 -b1111100100101010100110101011111010 " -b1111100100101010100110101011111010 g -#1003 -b1111100100101010100110101011111011 " -b1111100100101010100110101011111011 g -#1004 -b1111100100101010100110101011111100 " -b1111100100101010100110101011111100 g -#1005 -b1111100100101010100110101011111101 " -b1111100100101010100110101011111101 g -#1006 -b1111100100101010100110101011111110 " -b1111100100101010100110101011111110 g -#1007 -b1111100100101010100110101011111111 " -b1111100100101010100110101011111111 g -#1008 -b1111100100101010100110101100000000 " -b11110 b -b1111100100101010100110101100000000 g -b1111 I" -#1009 -b1111100100101010100110101100000001 " -b11101 b -b1111100100101010100110101100000001 g -b10000 I" -#1010 -b1111100100101010100110101100000010 " -b11100 b -b1111100100101010100110101100000010 g -b0 I" -#1011 -b1111100100101010100110101100000011 " -b1111100100101010100110101100000011 g -#1012 -b1111100100101010100110101100000100 " -b1111100100101010100110101100000100 g -#1013 -b1111100100101010100110101100000101 " -b1111100100101010100110101100000101 g -#1014 -b1111100100101010100110101100000110 " -b1111100100101010100110101100000110 g -#1015 -b1111100100101010100110101100000111 " -b1111100100101010100110101100000111 g -#1016 -b1111100100101010100110101100001000 " -b1111100100101010100110101100001000 g -#1017 -b1111100100101010100110101100001001 " -b1111100100101010100110101100001001 g -#1018 -b1111100100101010100110101100001010 " -b1111100100101010100110101100001010 g -#1019 -b1111100100101010100110101100001011 " -b1111100100101010100110101100001011 g -#1020 -b1111100100101010100110101100001100 " -b1111100100101010100110101100001100 g -#1021 -b1111100100101010100110101100001101 " -b1111100100101010100110101100001101 g -#1022 -b1111100100101010100110101100001110 " -b1111100100101010100110101100001110 g -#1023 -b1111100100101010100110101100001111 " -b1111100100101010100110101100001111 g -#1024 -b1111100100101010100110101100010000 " -b11110 b -b1111100100101010100110101100010000 g -b1111 I" -#1025 -b1111100100101010100110101100010001 " -b11101 b -b1111100100101010100110101100010001 g -b10000 I" -#1026 -b1111100100101010100110101100010010 " -b11100 b -b1111100100101010100110101100010010 g -b0 I" -#1027 -b1111100100101010100110101100010011 " -b1111100100101010100110101100010011 g -#1028 -b1111100100101010100110101100010100 " -b1111100100101010100110101100010100 g -#1029 -b1111100100101010100110101100010101 " -b1111100100101010100110101100010101 g -#1030 -b1111100100101010100110101100010110 " -b1111100100101010100110101100010110 g -#1031 -b1111100100101010100110101100010111 " -b1111100100101010100110101100010111 g -#1032 -b1111100100101010100110101100011000 " -b1111100100101010100110101100011000 g -#1033 -b1111100100101010100110101100011001 " -b1111100100101010100110101100011001 g -#1034 -b1111100100101010100110101100011010 " -b1111100100101010100110101100011010 g -#1035 -b1111100100101010100110101100011011 " -b1111100100101010100110101100011011 g -#1036 -b1111100100101010100110101100011100 " -b1111100100101010100110101100011100 g -#1037 -b1111100100101010100110101100011101 " -b1111100100101010100110101100011101 g -#1038 -b1111100100101010100110101100011110 " -b1111100100101010100110101100011110 g -#1039 -b1111100100101010100110101100011111 " -b1111100100101010100110101100011111 g -#1040 -b1111100100101010100110101100100000 " -b11110 b -b1111100100101010100110101100100000 g -b1111 I" -#1041 -b1111100100101010100110101100100001 " -b11101 b -b1111100100101010100110101100100001 g -b10000 I" -#1042 -b1111100100101010100110101100100010 " -b11100 b -b1111100100101010100110101100100010 g -b0 I" -#1043 -b1111100100101010100110101100100011 " -b1111100100101010100110101100100011 g -#1044 -b1111100100101010100110101100100100 " -b1111100100101010100110101100100100 g -#1045 -b1111100100101010100110101100100101 " -b1111100100101010100110101100100101 g -#1046 -b1111100100101010100110101100100110 " -b1111100100101010100110101100100110 g -#1047 -b1111100100101010100110101100100111 " -b1111100100101010100110101100100111 g -#1048 -b1111100100101010100110101100101000 " -b1111100100101010100110101100101000 g -#1049 -b1111100100101010100110101100101001 " -b1111100100101010100110101100101001 g -#1050 -b1111100100101010100110101100101010 " -b1111100100101010100110101100101010 g -#1051 -b1111100100101010100110101100101011 " -b1111100100101010100110101100101011 g -#1052 -b1111100100101010100110101100101100 " -b1111100100101010100110101100101100 g -#1053 -b1111100100101010100110101100101101 " -b1111100100101010100110101100101101 g -#1054 -b1111100100101010100110101100101110 " -b1111100100101010100110101100101110 g -#1055 -b1111100100101010100110101100101111 " -b1111100100101010100110101100101111 g -#1056 -b1111100100101010100110101100110000 " -b11110 b -b1111100100101010100110101100110000 g -b1111 I" -#1057 -b1111100100101010100110101100110001 " -b11101 b -b1111100100101010100110101100110001 g -b10000 I" -#1058 -b1111100100101010100110101100110010 " -b11100 b -b1111100100101010100110101100110010 g -b0 I" -#1059 -b1111100100101010100110101100110011 " -b1111100100101010100110101100110011 g -#1060 -b1111100100101010100110101100110100 " -b1111100100101010100110101100110100 g -#1061 -b1111100100101010100110101100110101 " -b1111100100101010100110101100110101 g -#1062 -b1111100100101010100110101100110110 " -b1111100100101010100110101100110110 g -#1063 -b1111100100101010100110101100110111 " -b1111100100101010100110101100110111 g -#1064 -b1111100100101010100110101100111000 " -b1111100100101010100110101100111000 g -#1065 -b1111100100101010100110101100111001 " -b1111100100101010100110101100111001 g -#1066 -b1111100100101010100110101100111010 " -b1111100100101010100110101100111010 g -#1067 -b1111100100101010100110101100111011 " -b1111100100101010100110101100111011 g -#1068 -b1111100100101010100110101100111100 " -b1111100100101010100110101100111100 g -#1069 -b1111100100101010100110101100111101 " -b1111100100101010100110101100111101 g -#1070 -b1111100100101010100110101100111110 " -b1111100100101010100110101100111110 g -#1071 -b1111100100101010100110101100111111 " -b1111100100101010100110101100111111 g -#1072 -b1111100100101010100110101101000000 " -b11110 b -b1111100100101010100110101101000000 g -b1111 I" -#1073 -b1111100100101010100110101101000001 " -b11101 b -b1111100100101010100110101101000001 g -b10000 I" -#1074 -b1111100100101010100110101101000010 " -b11100 b -b0 I" -#1075 -b1111100100101010100110101101000011 " -b1111100100101010100110101101000010 g -#1076 -b1111100100101010100110101101000100 " -b1111100100101010100110101101000011 g -#1077 -b1111100100101010100110101101000101 " -b1111100100101010100110101101000100 g -#1078 -b1111100100101010100110101101000110 " -b1111100100101010100110101101000101 g -#1079 -b1111100100101010100110101101000111 " -b1111100100101010100110101101000110 g -#1080 -b1111100100101010100110101101001000 " -b1111100100101010100110101101000111 g -#1081 -b1111100100101010100110101101001001 " -b1111100100101010100110101101001000 g -#1082 -b1111100100101010100110101101001010 " -b1111100100101010100110101101001001 g -#1083 -b1111100100101010100110101101001011 " -b1111100100101010100110101101001010 g -#1084 -b1111100100101010100110101101001100 " -b1111100100101010100110101101001011 g -#1085 -b1111100100101010100110101101001101 " -b1111100100101010100110101101001100 g -#1086 -b1111100100101010100110101101001110 " -b1111100100101010100110101101001101 g -#1087 -b1111100100101010100110101101001111 " -b1111100100101010100110101101001110 g -#1088 -b1111100100101010100110101101010000 " -b11110 b -b1111100100101010100110101101001111 g -#1089 -b1111100100101010100110101101010001 " -b11101 b -b1111100100101010100110101101010000 g -#1090 -b1111100100101010100110101101010010 " -b11100 b -b1111100100101010100110101101010001 g -b10000 I" -#1091 -b1111100100101010100110101101010011 " -b1111100100101010100110101101010010 g -b0 I" -#1092 -b1111100100101010100110101101010100 " -b1111100100101010100110101101010011 g -#1093 -b1111100100101010100110101101010101 " -b1111100100101010100110101101010100 g -#1094 -b1111100100101010100110101101010110 " -b1111100100101010100110101101010101 g -#1095 -b1111100100101010100110101101010111 " -b1111100100101010100110101101010110 g -#1096 -b1111100100101010100110101101011000 " -b1111100100101010100110101101010111 g -#1097 -b1111100100101010100110101101011001 " -b1111100100101010100110101101011000 g -#1098 -b1111100100101010100110101101011010 " -b1111100100101010100110101101011001 g -#1099 -b1111100100101010100110101101011011 " -b1111100100101010100110101101011010 g -#1100 -b1111100100101010100110101101011100 " -b1111100100101010100110101101011011 g -#1101 -b1111100100101010100110101101011101 " -b1111100100101010100110101101011100 g -#1102 -b1111100100101010100110101101011110 " -b1111100100101010100110101101011101 g -#1103 -b1111100100101010100110101101011111 " -b1111100100101010100110101101011110 g -#1104 -b1111100100101010100110101101100000 " -b11110 b -b1111100100101010100110101101011111 g -#1105 -b1111100100101010100110101101100001 " -b11101 b -b1111100100101010100110101101100000 g -b1111 I" -#1106 -b1111100100101010100110101101100010 " -b11100 b -b1111100100101010100110101101100001 g -b10000 I" -#1107 -b1111100100101010100110101101100011 " -b1111100100101010100110101101100010 g -b0 I" -#1108 -b1111100100101010100110101101100100 " -b1111100100101010100110101101100011 g -#1109 -b1111100100101010100110101101100101 " -b1111100100101010100110101101100100 g -#1110 -b1111100100101010100110101101100110 " -b1111100100101010100110101101100101 g -#1111 -b1111100100101010100110101101100111 " -b1111100100101010100110101101100110 g -#1112 -b1111100100101010100110101101101000 " -b1111100100101010100110101101100111 g -#1113 -b1111100100101010100110101101101001 " -b1111100100101010100110101101101000 g -#1114 -b1111100100101010100110101101101010 " -b1111100100101010100110101101101001 g -#1115 -b1111100100101010100110101101101011 " -b1111100100101010100110101101101010 g -#1116 -b1111100100101010100110101101101100 " -b1111100100101010100110101101101011 g -#1117 -b1111100100101010100110101101101101 " -b1111100100101010100110101101101100 g -#1118 -b1111100100101010100110101101101110 " -b1111100100101010100110101101101101 g -#1119 -b1111100100101010100110101101101111 " -b1111100100101010100110101101101110 g -#1120 -b1111100100101010100110101101110000 " -b11110 b -b1111100100101010100110101101101111 g -#1121 -b1111100100101010100110101101110001 " -b11101 b -b1111100100101010100110101101110000 g -#1122 -b1111100100101010100110101101110010 " -b11100 b -b1111100100101010100110101101110001 g -b10000 I" -#1123 -b1111100100101010100110101101110011 " -b1111100100101010100110101101110010 g -b0 I" -#1124 -b1111100100101010100110101101110100 " -b1111100100101010100110101101110011 g -#1125 -b1111100100101010100110101101110101 " -b1111100100101010100110101101110100 g -#1126 -b1111100100101010100110101101110110 " -b1111100100101010100110101101110101 g -#1127 -b1111100100101010100110101101110111 " -b1111100100101010100110101101110110 g -#1128 -b1111100100101010100110101101111000 " -b1111100100101010100110101101110111 g -#1129 -b1111100100101010100110101101111001 " -b1111100100101010100110101101111000 g -#1130 -b1111100100101010100110101101111010 " -b1111100100101010100110101101111001 g -#1131 -b1111100100101010100110101101111011 " -b1111100100101010100110101101111010 g -#1132 -b1111100100101010100110101101111100 " -b1111100100101010100110101101111011 g -#1133 -b1111100100101010100110101101111101 " -b1111100100101010100110101101111100 g -#1134 -b1111100100101010100110101101111110 " -b1111100100101010100110101101111101 g -#1135 -b1111100100101010100110101101111111 " -b1111100100101010100110101101111110 g -#1136 -b1111100100101010100110101110000000 " -b11110 b -b1111100100101010100110101101111111 g -#1137 -b1111100100101010100110101110000001 " -b11101 b -b1111100100101010100110101110000000 g -b1111 I" -#1138 -b1111100100101010100110101110000010 " -b11100 b -b1111100100101010100110101110000001 g -b10000 I" -#1139 -b1111100100101010100110101110000011 " -b1111100100101010100110101110000010 g -b0 I" -#1140 -b1111100100101010100110101110000100 " -b1111100100101010100110101110000011 g -#1141 -b1111100100101010100110101110000101 " -b1111100100101010100110101110000100 g -#1142 -b1111100100101010100110101110000110 " -b1111100100101010100110101110000101 g -#1143 -b1111100100101010100110101110000111 " -b1111100100101010100110101110000110 g -#1144 -b1111100100101010100110101110001000 " -b1111100100101010100110101110000111 g -#1145 -b1111100100101010100110101110001001 " -b1111100100101010100110101110001000 g -#1146 -b1111100100101010100110101110001010 " -b1111100100101010100110101110001001 g -#1147 -b1111100100101010100110101110001011 " -b1111100100101010100110101110001010 g -#1148 -b1111100100101010100110101110001100 " -b1111100100101010100110101110001011 g -#1149 -b1111100100101010100110101110001101 " -b1111100100101010100110101110001100 g -#1150 -b1111100100101010100110101110001110 " -b1111100100101010100110101110001101 g -#1151 -b1111100100101010100110101110001111 " -b1111100100101010100110101110001110 g -#1152 -b1111100100101010100110101110010000 " -b11110 b -b1111100100101010100110101110001111 g -#1153 -b1111100100101010100110101110010001 " -b11101 b -b1111100100101010100110101110010000 g -b1111 I" -#1154 -b1111100100101010100110101110010010 " -b11100 b -b1111100100101010100110101110010001 g -b10000 I" -#1155 -b1111100100101010100110101110010011 " -b0 I" -#1156 -b1111100100101010100110101110010100 " -b1111100100101010100110101110010010 g -#1157 -b1111100100101010100110101110010101 " -b1111100100101010100110101110010011 g -#1158 -b1111100100101010100110101110010110 " -b1111100100101010100110101110010100 g -#1159 -b1111100100101010100110101110010111 " -b1111100100101010100110101110010101 g -#1160 -b1111100100101010100110101110011000 " -b1111100100101010100110101110010110 g -#1161 -b1111100100101010100110101110011001 " -b1111100100101010100110101110010111 g -#1162 -b1111100100101010100110101110011010 " -b1111100100101010100110101110011000 g -#1163 -b1111100100101010100110101110011011 " -b1111100100101010100110101110011001 g -#1164 -b1111100100101010100110101110011100 " -b1111100100101010100110101110011010 g -#1165 -b1111100100101010100110101110011101 " -b1111100100101010100110101110011011 g -#1166 -b1111100100101010100110101110011110 " -b1111100100101010100110101110011100 g -#1167 -b1111100100101010100110101110011111 " -b1111100100101010100110101110011101 g -#1168 -b1111100100101010100110101110100000 " -b11110 b -b1111100100101010100110101110011110 g -#1169 -b1111100100101010100110101110100001 " -b11101 b -b1111100100101010100110101110011111 g -#1170 -b1111100100101010100110101110100010 " -b11100 b -b1111100100101010100110101110100000 g -b1111 I" -#1171 -b1111100100101010100110101110100011 " -b1111100100101010100110101110100001 g -b10000 I" -#1172 -b1111100100101010100110101110100100 " -b1111100100101010100110101110100010 g -b0 I" -#1173 -b1111100100101010100110101110100101 " -b1111100100101010100110101110100011 g -#1174 -b1111100100101010100110101110100110 " -b1111100100101010100110101110100100 g -#1175 -b1111100100101010100110101110100111 " -b1111100100101010100110101110100101 g -#1176 -b1111100100101010100110101110101000 " -b1111100100101010100110101110100110 g -#1177 -b1111100100101010100110101110101001 " -b1111100100101010100110101110100111 g -#1178 -b1111100100101010100110101110101010 " -b1111100100101010100110101110101000 g -#1179 -b1111100100101010100110101110101011 " -b1111100100101010100110101110101001 g -#1180 -b1111100100101010100110101110101100 " -b1111100100101010100110101110101010 g -#1181 -b1111100100101010100110101110101101 " -b1111100100101010100110101110101011 g -#1182 -b1111100100101010100110101110101110 " -b1111100100101010100110101110101100 g -#1183 -b1111100100101010100110101110101111 " -b1111100100101010100110101110101101 g -#1184 -b1111100100101010100110101110110000 " -b11110 b -b1111100100101010100110101110101110 g -#1185 -b1111100100101010100110101110110001 " -b11101 b -b1111100100101010100110101110101111 g -#1186 -b1111100100101010100110101110110010 " -b11100 b -b1111100100101010100110101110110000 g -b1111 I" -#1187 -b1111100100101010100110101110110011 " -b1111100100101010100110101110110001 g -b10000 I" -#1188 -b1111100100101010100110101110110100 " -b1111100100101010100110101110110010 g -b0 I" -#1189 -b1111100100101010100110101110110101 " -b1111100100101010100110101110110011 g -#1190 -b1111100100101010100110101110110110 " -b1111100100101010100110101110110100 g -#1191 -b1111100100101010100110101110110111 " -b1111100100101010100110101110110101 g -#1192 -b1111100100101010100110101110111000 " -b1111100100101010100110101110110110 g -#1193 -b1111100100101010100110101110111001 " -b1111100100101010100110101110110111 g -#1194 -b1111100100101010100110101110111010 " -b1111100100101010100110101110111000 g -#1195 -b1111100100101010100110101110111011 " -b1111100100101010100110101110111001 g -#1196 -b1111100100101010100110101110111100 " -b1111100100101010100110101110111010 g -#1197 -b1111100100101010100110101110111101 " -b1111100100101010100110101110111011 g -#1198 -b1111100100101010100110101110111110 " -b1111100100101010100110101110111100 g -#1199 -b1111100100101010100110101110111111 " -b1111100100101010100110101110111101 g -#1200 -b1111100100101010100110101111000000 " -b11110 b -b1111100100101010100110101110111110 g -#1201 -b1111100100101010100110101111000001 " -b11101 b -b1111100100101010100110101110111111 g -#1202 -b1111100100101010100110101111000010 " -b11100 b -b1111100100101010100110101111000000 g -b1111 I" -#1203 -b1111100100101010100110101111000011 " -b1111100100101010100110101111000001 g -b10000 I" -#1204 -b1111100100101010100110101111000100 " -b1111100100101010100110101111000010 g -b0 I" -#1205 -b1111100100101010100110101111000101 " -b1111100100101010100110101111000011 g -#1206 -b1111100100101010100110101111000110 " -b1111100100101010100110101111000100 g -#1207 -b1111100100101010100110101111000111 " -b1111100100101010100110101111000101 g -#1208 -b1111100100101010100110101111001000 " -b1111100100101010100110101111000110 g -#1209 -b1111100100101010100110101111001001 " -b1111100100101010100110101111000111 g -#1210 -b1111100100101010100110101111001010 " -b1111100100101010100110101111001000 g -#1211 -b1111100100101010100110101111001011 " -b1111100100101010100110101111001001 g -#1212 -b1111100100101010100110101111001100 " -b1111100100101010100110101111001010 g -#1213 -b1111100100101010100110101111001101 " -b1111100100101010100110101111001011 g -#1214 -b1111100100101010100110101111001110 " -b1111100100101010100110101111001100 g -#1215 -b1111100100101010100110101111001111 " -b1111100100101010100110101111001101 g -#1216 -b1111100100101010100110101111010000 " -b11110 b -b1111100100101010100110101111001110 g -#1217 -b1111100100101010100110101111010001 " -b11101 b -b1111100100101010100110101111001111 g -#1218 -b1111100100101010100110101111010010 " -b11100 b -b1111100100101010100110101111010000 g -b1111 I" -#1219 -b1111100100101010100110101111010011 " -b1111100100101010100110101111010001 g -b10000 I" -#1220 -b1111100100101010100110101111010100 " -b1111100100101010100110101111010010 g -b0 I" -#1221 -b1111100100101010100110101111010101 " -b1111100100101010100110101111010011 g -#1222 -b1111100100101010100110101111010110 " -b1111100100101010100110101111010100 g -#1223 -b1111100100101010100110101111010111 " -b1111100100101010100110101111010101 g -#1224 -b1111100100101010100110101111011000 " -b1111100100101010100110101111010110 g -#1225 -b1111100100101010100110101111011001 " -b1111100100101010100110101111010111 g -#1226 -b1111100100101010100110101111011010 " -b1111100100101010100110101111011000 g -#1227 -b1111100100101010100110101111011011 " -b1111100100101010100110101111011001 g -#1228 -b1111100100101010100110101111011100 " -b1111100100101010100110101111011010 g -#1229 -b1111100100101010100110101111011101 " -b1111100100101010100110101111011011 g -#1230 -b1111100100101010100110101111011110 " -b1111100100101010100110101111011100 g -#1231 -b1111100100101010100110101111011111 " -b1111100100101010100110101111011101 g -#1232 -b1111100100101010100110101111100000 " -b11110 b -b1111100100101010100110101111011110 g -#1233 -b1111100100101010100110101111100001 " -b11101 b -b1111100100101010100110101111011111 g -#1234 -b1111100100101010100110101111100010 " -b11100 b -b1111100100101010100110101111100000 g -b1111 I" -#1235 -b1111100100101010100110101111100011 " -b1111100100101010100110101111100001 g -b10000 I" -#1236 -b1111100100101010100110101111100100 " -b1111100100101010100110101111100010 g -b0 I" -#1237 -b1111100100101010100110101111100101 " -b1111100100101010100110101111100011 g -#1238 -b1111100100101010100110101111100110 " -b1111100100101010100110101111100100 g -#1239 -b1111100100101010100110101111100111 " -b1111100100101010100110101111100101 g -#1240 -b1111100100101010100110101111101000 " -b1111100100101010100110101111100110 g -#1241 -b1111100100101010100110101111101001 " -b1111100100101010100110101111100111 g -#1242 -b1111100100101010100110101111101010 " -b1111100100101010100110101111101000 g -#1243 -b1111100100101010100110101111101011 " -b1111100100101010100110101111101001 g -#1244 -b1111100100101010100110101111101100 " -b1111100100101010100110101111101010 g -#1245 -b1111100100101010100110101111101101 " -b1111100100101010100110101111101011 g -#1246 -b1111100100101010100110101111101110 " -b1111100100101010100110101111101100 g -#1247 -b1111100100101010100110101111101111 " -b1111100100101010100110101111101101 g -#1248 -b1111100100101010100110101111110000 " -b11110 b -b1111100100101010100110101111101110 g -#1249 -b1111100100101010100110101111110001 " -b11101 b -b1111100100101010100110101111101111 g -#1250 -b1111100100101010100110101111110010 " -b11100 b -b1111100100101010100110101111110000 g -b1111 I" -#1251 -b1111100100101010100110101111110011 " -b1111100100101010100110101111110001 g -b10000 I" -#1252 -b1111100100101010100110101111110100 " -b1111100100101010100110101111110010 g -b0 I" -#1253 -b1111100100101010100110101111110101 " -b1111100100101010100110101111110011 g -#1254 -b1111100100101010100110101111110110 " -b1111100100101010100110101111110100 g -#1255 -b1111100100101010100110101111110111 " -b1111100100101010100110101111110101 g -#1256 -b1111100100101010100110101111111000 " -b1111100100101010100110101111110110 g -#1257 -b1111100100101010100110101111111001 " -b1111100100101010100110101111110111 g -#1258 -b1111100100101010100110101111111010 " -b1111100100101010100110101111111000 g -#1259 -b1111100100101010100110101111111011 " -b1111100100101010100110101111111001 g -#1260 -b1111100100101010100110101111111100 " -b1111100100101010100110101111111010 g -#1261 -b1111100100101010100110101111111101 " -b1111100100101010100110101111111011 g -#1262 -b1111100100101010100110101111111110 " -b1111100100101010100110101111111100 g -#1263 -b1111100100101010100110101111111111 " -b1111100100101010100110101111111101 g -#1264 -b1111100100101010100110110000000000 " -b11110 b -b1111100100101010100110101111111110 g -#1265 -b1111100100101010100110110000000001 " -b11101 b -b1111100100101010100110101111111111 g -#1266 -b1111100100101010100110110000000010 " -b11100 b -b1111100100101010100110110000000000 g -b1111 I" -#1267 -b1111100100101010100110110000000011 " -b1111100100101010100110110000000001 g -b10000 I" -#1268 -b1111100100101010100110110000000100 " -b1111100100101010100110110000000010 g -b0 I" -#1269 -b1111100100101010100110110000000101 " -b1111100100101010100110110000000011 g -#1270 -b1111100100101010100110110000000110 " -b1111100100101010100110110000000100 g -#1271 -b1111100100101010100110110000000111 " -b1111100100101010100110110000000101 g -#1272 -b1111100100101010100110110000001000 " -b1111100100101010100110110000000110 g -#1273 -b1111100100101010100110110000001001 " -b1111100100101010100110110000000111 g -#1274 -b1111100100101010100110110000001010 " -b1111100100101010100110110000001000 g -#1275 -b1111100100101010100110110000001011 " -b1111100100101010100110110000001001 g -#1276 -b1111100100101010100110110000001100 " -b1111100100101010100110110000001010 g -#1277 -b1111100100101010100110110000001101 " -b1111100100101010100110110000001011 g -#1278 -b1111100100101010100110110000001110 " -b1111100100101010100110110000001100 g -#1279 -b1111100100101010100110110000001111 " -b1111100100101010100110110000001101 g -#1280 -b1111100100101010100110110000010000 " -b11110 b -b1111100100101010100110110000001110 g -#1281 -b1111100100101010100110110000010001 " -b11101 b -b1111100100101010100110110000001111 g -#1282 -b1111100100101010100110110000010010 " -b11100 b -b1111100100101010100110110000010000 g -b1111 I" -#1283 -b1111100100101010100110110000010011 " -#1284 -b1111100100101010100110110000010100 " -#1285 -b1111100100101010100110110000010101 " -#1286 -b1111100100101010100110110000010110 " -#1287 -b1111100100101010100110110000010111 " -#1288 -b1111100100101010100110110000011000 " -#1289 -b1111100100101010100110110000011001 " -#1290 -b1111100100101010100110110000011010 " -#1291 -b1111100100101010100110110000011011 " -#1292 -b1111100100101010100110110000011100 " -#1293 -b1111100100101010100110110000011101 " -#1294 -b1111100100101010100110110000011110 " -#1295 -b1111100100101010100110110000011111 " -#1296 -b1111100100101010100110110000100000 " -b11110 b -b1111100100101010100110110000100001 g -b10000 I" -#1297 -b1111100100101010100110110000100001 " -b11101 b -b1111100100101010100110110000100010 g -b0 I" -#1298 -b1111100100101010100110110000100010 " -b11100 b -b1111100100101010100110110000100011 g -#1299 -b1111100100101010100110110000100011 " -#1300 -b1111100100101010100110110000100100 " -b1111100100101010100110110000100100 g -#1301 -b1111100100101010100110110000100101 " -b1111100100101010100110110000100101 g -#1302 -b1111100100101010100110110000100110 " -b1111100100101010100110110000100110 g -#1303 -b1111100100101010100110110000100111 " -b1111100100101010100110110000100111 g -#1304 -b1111100100101010100110110000101000 " -b1111100100101010100110110000101000 g -#1305 -b1111100100101010100110110000101001 " -b1111100100101010100110110000101001 g -#1306 -b1111100100101010100110110000101010 " -b1111100100101010100110110000101010 g -#1307 -b1111100100101010100110110000101011 " -b1111100100101010100110110000101011 g -#1308 -b1111100100101010100110110000101100 " -b1111100100101010100110110000101100 g -#1309 -b1111100100101010100110110000101101 " -b1111100100101010100110110000101101 g -#1310 -b1111100100101010100110110000101110 " -b1111100100101010100110110000101110 g -#1311 -b1111100100101010100110110000101111 " -b1111100100101010100110110000101111 g -#1312 -b1111100100101010100110110000110000 " -b11110 b -b1111100100101010100110110000110000 g -b1111 I" -#1313 -b1111100100101010100110110000110001 " -b11101 b -b1111100100101010100110110000110001 g -b10000 I" -#1314 -b1111100100101010100110110000110010 " -b11100 b -b1111100100101010100110110000110010 g -b0 I" -#1315 -b1111100100101010100110110000110011 " -b1111100100101010100110110000110011 g -#1316 -b1111100100101010100110110000110100 " -b1111100100101010100110110000110100 g -#1317 -b1111100100101010100110110000110101 " -b1111100100101010100110110000110101 g -#1318 -b1111100100101010100110110000110110 " -b1111100100101010100110110000110110 g -#1319 -b1111100100101010100110110000110111 " -b1111100100101010100110110000110111 g -#1320 -b1111100100101010100110110000111000 " -b1111100100101010100110110000111000 g -#1321 -b1111100100101010100110110000111001 " -b1111100100101010100110110000111001 g -#1322 -b1111100100101010100110110000111010 " -b1111100100101010100110110000111010 g -#1323 -b1111100100101010100110110000111011 " -b1111100100101010100110110000111011 g -#1324 -b1111100100101010100110110000111100 " -b1111100100101010100110110000111100 g -#1325 -b1111100100101010100110110000111101 " -b1111100100101010100110110000111101 g -#1326 -b1111100100101010100110110000111110 " -b1111100100101010100110110000111110 g -#1327 -b1111100100101010100110110000111111 " -b1111100100101010100110110000111111 g -#1328 -b1111100100101010100110110001000000 " -b11110 b -b1111100100101010100110110001000000 g -b1111 I" -#1329 -b1111100100101010100110110001000001 " -b11101 b -b1111100100101010100110110001000001 g -b10000 I" -#1330 -b1111100100101010100110110001000010 " -b11100 b -b1111100100101010100110110001000010 g -b0 I" -#1331 -b1111100100101010100110110001000011 " -b1111100100101010100110110001000011 g -#1332 -b1111100100101010100110110001000100 " -b1111100100101010100110110001000100 g -#1333 -b1111100100101010100110110001000101 " -b1111100100101010100110110001000101 g -#1334 -b1111100100101010100110110001000110 " -b1111100100101010100110110001000110 g -#1335 -b1111100100101010100110110001000111 " -b1111100100101010100110110001000111 g -#1336 -b1111100100101010100110110001001000 " -b1111100100101010100110110001001000 g -#1337 -b1111100100101010100110110001001001 " -b1111100100101010100110110001001001 g -#1338 -b1111100100101010100110110001001010 " -b1111100100101010100110110001001010 g -#1339 -b1111100100101010100110110001001011 " -b1111100100101010100110110001001011 g -#1340 -b1111100100101010100110110001001100 " -b1111100100101010100110110001001100 g -#1341 -b1111100100101010100110110001001101 " -b1111100100101010100110110001001101 g -#1342 -b1111100100101010100110110001001110 " -b1111100100101010100110110001001110 g -#1343 -b1111100100101010100110110001001111 " -b1111100100101010100110110001001111 g -#1344 -b1111100100101010100110110001010000 " -b11110 b -b1111100100101010100110110001010000 g -#1345 -b1111100100101010100110110001010001 " -b11101 b -b1111100100101010100110110001010001 g -b10000 I" -#1346 -b1111100100101010100110110001010010 " -b11100 b -b1111100100101010100110110001010010 g -b0 I" -#1347 -b1111100100101010100110110001010011 " -b1111100100101010100110110001010011 g -#1348 -b1111100100101010100110110001010100 " -b1111100100101010100110110001010100 g -#1349 -b1111100100101010100110110001010101 " -b1111100100101010100110110001010101 g -#1350 -b1111100100101010100110110001010110 " -b1111100100101010100110110001010110 g -#1351 -b1111100100101010100110110001010111 " -b1111100100101010100110110001010111 g -#1352 -b1111100100101010100110110001011000 " -b1111100100101010100110110001011000 g -#1353 -b1111100100101010100110110001011001 " -b1111100100101010100110110001011001 g -#1354 -b1111100100101010100110110001011010 " -b1111100100101010100110110001011010 g -#1355 -b1111100100101010100110110001011011 " -b1111100100101010100110110001011011 g -#1356 -b1111100100101010100110110001011100 " -b1111100100101010100110110001011100 g -#1357 -b1111100100101010100110110001011101 " -b1111100100101010100110110001011101 g -#1358 -b1111100100101010100110110001011110 " -b1111100100101010100110110001011110 g -#1359 -b1111100100101010100110110001011111 " -b1111100100101010100110110001011111 g -#1360 -b1111100100101010100110110001100000 " -b11110 b -b1111100100101010100110110001100000 g -#1361 -b1111100100101010100110110001100001 " -b11101 b -b1111100100101010100110110001100001 g -#1362 -b1111100100101010100110110001100010 " -b11100 b -b1111100100101010100110110001100010 g -#1363 -b1111100100101010100110110001100011 " -b1111100100101010100110110001100011 g -#1364 -b1111100100101010100110110001100100 " -b1111100100101010100110110001100100 g -#1365 -b1111100100101010100110110001100101 " -b1111100100101010100110110001100101 g -#1366 -b1111100100101010100110110001100110 " -b1111100100101010100110110001100110 g -#1367 -b1111100100101010100110110001100111 " -b1111100100101010100110110001100111 g -#1368 -b1111100100101010100110110001101000 " -b1111100100101010100110110001101000 g -#1369 -b1111100100101010100110110001101001 " -b1111100100101010100110110001101001 g -#1370 -b1111100100101010100110110001101010 " -b1111100100101010100110110001101010 g -#1371 -b1111100100101010100110110001101011 " -b1111100100101010100110110001101011 g -#1372 -b1111100100101010100110110001101100 " -b1111100100101010100110110001101100 g -#1373 -b1111100100101010100110110001101101 " -b1111100100101010100110110001101101 g -#1374 -b1111100100101010100110110001101110 " -b1111100100101010100110110001101110 g -#1375 -b1111100100101010100110110001101111 " -b1111100100101010100110110001101111 g -#1376 -b1111100100101010100110110001110000 " -b11110 b -b1111100100101010100110110001110000 g -b1111 I" -#1377 -b1111100100101010100110110001110001 " -b11101 b -b1111100100101010100110110001110001 g -b10000 I" -#1378 -b1111100100101010100110110001110010 " -b11100 b -b1111100100101010100110110001110010 g -b0 I" -#1379 -b1111100100101010100110110001110011 " -b1111100100101010100110110001110011 g -#1380 -b1111100100101010100110110001110100 " -b1111100100101010100110110001110100 g -#1381 -b1111100100101010100110110001110101 " -b1111100100101010100110110001110101 g -#1382 -b1111100100101010100110110001110110 " -b1111100100101010100110110001110110 g -#1383 -b1111100100101010100110110001110111 " -b1111100100101010100110110001110111 g -#1384 -b1111100100101010100110110001111000 " -b1111100100101010100110110001111000 g -#1385 -b1111100100101010100110110001111001 " -b1111100100101010100110110001111001 g -#1386 -b1111100100101010100110110001111010 " -b1111100100101010100110110001111010 g -#1387 -b1111100100101010100110110001111011 " -b1111100100101010100110110001111011 g -#1388 -b1111100100101010100110110001111100 " -b1111100100101010100110110001111100 g -#1389 -b1111100100101010100110110001111101 " -b1111100100101010100110110001111101 g -#1390 -b1111100100101010100110110001111110 " -b1111100100101010100110110001111110 g -#1391 -b1111100100101010100110110001111111 " -b1111100100101010100110110001111111 g -#1392 -b1111100100101010100110110010000000 " -b11110 b -b1111100100101010100110110010000000 g -b1111 I" -#1393 -b1111100100101010100110110010000001 " -b11101 b -b1111100100101010100110110010000001 g -b10000 I" -#1394 -b1111100100101010100110110010000010 " -b11100 b -b1111100100101010100110110010000010 g -b0 I" -#1395 -b1111100100101010100110110010000011 " -b1111100100101010100110110010000011 g -#1396 -b1111100100101010100110110010000100 " -b1111100100101010100110110010000100 g -#1397 -b1111100100101010100110110010000101 " -b1111100100101010100110110010000101 g -#1398 -b1111100100101010100110110010000110 " -b1111100100101010100110110010000110 g -#1399 -b1111100100101010100110110010000111 " -b1111100100101010100110110010000111 g -#1400 -b1111100100101010100110110010001000 " -b1111100100101010100110110010001000 g -#1401 -b1111100100101010100110110010001001 " -b1111100100101010100110110010001001 g -#1402 -b1111100100101010100110110010001010 " -b1111100100101010100110110010001010 g -#1403 -b1111100100101010100110110010001011 " -b1111100100101010100110110010001011 g -#1404 -b1111100100101010100110110010001100 " -b1111100100101010100110110010001100 g -#1405 -b1111100100101010100110110010001101 " -b1111100100101010100110110010001101 g -#1406 -b1111100100101010100110110010001110 " -b1111100100101010100110110010001110 g -#1407 -b1111100100101010100110110010001111 " -b1111100100101010100110110010001111 g -#1408 -b1111100100101010100110110010010000 " -b11110 b -b1111100100101010100110110010010000 g -b1111 I" -#1409 -b1111100100101010100110110010010001 " -b11101 b -b1111100100101010100110110010010001 g -b10000 I" -#1410 -b1111100100101010100110110010010010 " -b11100 b -b1111100100101010100110110010010010 g -b0 I" -#1411 -b1111100100101010100110110010010011 " -b1111100100101010100110110010010011 g -#1412 -b1111100100101010100110110010010100 " -b1111100100101010100110110010010100 g -#1413 -b1111100100101010100110110010010101 " -b1111100100101010100110110010010101 g -#1414 -b1111100100101010100110110010010110 " -b1111100100101010100110110010010110 g -#1415 -b1111100100101010100110110010010111 " -b1111100100101010100110110010010111 g -#1416 -b1111100100101010100110110010011000 " -b1111100100101010100110110010011000 g -#1417 -b1111100100101010100110110010011001 " -b1111100100101010100110110010011001 g -#1418 -b1111100100101010100110110010011010 " -b1111100100101010100110110010011010 g -#1419 -b1111100100101010100110110010011011 " -b1111100100101010100110110010011011 g -#1420 -b1111100100101010100110110010011100 " -b1111100100101010100110110010011100 g -#1421 -b1111100100101010100110110010011101 " -b1111100100101010100110110010011101 g -#1422 -b1111100100101010100110110010011110 " -b1111100100101010100110110010011110 g -#1423 -b1111100100101010100110110010011111 " -b1111100100101010100110110010011111 g -#1424 -b1111100100101010100110110010100000 " -b11110 b -b1111100100101010100110110010100000 g -#1425 -b1111100100101010100110110010100001 " -b11101 b -b1111100100101010100110110010100001 g -b10000 I" -#1426 -b1111100100101010100110110010100010 " -b11100 b -b1111100100101010100110110010100010 g -b0 I" -#1427 -b1111100100101010100110110010100011 " -b1111100100101010100110110010100011 g -#1428 -b1111100100101010100110110010100100 " -b1111100100101010100110110010100100 g -#1429 -b1111100100101010100110110010100101 " -b1111100100101010100110110010100101 g -#1430 -b1111100100101010100110110010100110 " -b1111100100101010100110110010100110 g -#1431 -b1111100100101010100110110010100111 " -b1111100100101010100110110010100111 g -#1432 -b1111100100101010100110110010101000 " -b1111100100101010100110110010101000 g -#1433 -b1111100100101010100110110010101001 " -b1111100100101010100110110010101001 g -#1434 -b1111100100101010100110110010101010 " -b1111100100101010100110110010101010 g -#1435 -b1111100100101010100110110010101011 " -b1111100100101010100110110010101011 g -#1436 -b1111100100101010100110110010101100 " -b1111100100101010100110110010101100 g -#1437 -b1111100100101010100110110010101101 " -b1111100100101010100110110010101101 g -#1438 -b1111100100101010100110110010101110 " -b1111100100101010100110110010101110 g -#1439 -b1111100100101010100110110010101111 " -b1111100100101010100110110010101111 g -#1440 -b1111100100101010100110110010110000 " -b11110 b -b1111100100101010100110110010110000 g -#1441 -b1111100100101010100110110010110001 " -b11101 b -b1111100100101010100110110010110001 g -#1442 -b1111100100101010100110110010110010 " -b11100 b -b1111100100101010100110110010110010 g -#1443 -b1111100100101010100110110010110011 " -b1111100100101010100110110010110011 g -#1444 -b1111100100101010100110110010110100 " -b1111100100101010100110110010110100 g -#1445 -b1111100100101010100110110010110101 " -b1111100100101010100110110010110101 g -#1446 -b1111100100101010100110110010110110 " -b1111100100101010100110110010110110 g -#1447 -b1111100100101010100110110010110111 " -b1111100100101010100110110010110111 g -#1448 -b1111100100101010100110110010111000 " -b1111100100101010100110110010111000 g -#1449 -b1111100100101010100110110010111001 " -b1111100100101010100110110010111001 g -#1450 -b1111100100101010100110110010111010 " -b1111100100101010100110110010111010 g -#1451 -b1111100100101010100110110010111011 " -b1111100100101010100110110010111011 g -#1452 -b1111100100101010100110110010111100 " -b1111100100101010100110110010111100 g -#1453 -b1111100100101010100110110010111101 " -b1111100100101010100110110010111101 g -#1454 -b1111100100101010100110110010111110 " -b1111100100101010100110110010111110 g -#1455 -b1111100100101010100110110010111111 " -b1111100100101010100110110010111111 g -#1456 -b1111100100101010100110110011000000 " -b11110 b -b1111100100101010100110110011000000 g -b1111 I" -#1457 -b1111100100101010100110110011000001 " -b11101 b -b1111100100101010100110110011000001 g -b10000 I" -#1458 -b1111100100101010100110110011000010 " -b11100 b -b1111100100101010100110110011000010 g -b0 I" -#1459 -b1111100100101010100110110011000011 " -b1111100100101010100110110011000011 g -#1460 -b1111100100101010100110110011000100 " -b1111100100101010100110110011000100 g -#1461 -b1111100100101010100110110011000101 " -b1111100100101010100110110011000101 g -#1462 -b1111100100101010100110110011000110 " -b1111100100101010100110110011000110 g -#1463 -b1111100100101010100110110011000111 " -b1111100100101010100110110011000111 g -#1464 -b1111100100101010100110110011001000 " -b1111100100101010100110110011001000 g -#1465 -b1111100100101010100110110011001001 " -b1111100100101010100110110011001001 g -#1466 -b1111100100101010100110110011001010 " -b1111100100101010100110110011001010 g -#1467 -b1111100100101010100110110011001011 " -b1111100100101010100110110011001011 g -#1468 -b1111100100101010100110110011001100 " -b1111100100101010100110110011001100 g -#1469 -b1111100100101010100110110011001101 " -b1111100100101010100110110011001101 g -#1470 -b1111100100101010100110110011001110 " -b1111100100101010100110110011001110 g -#1471 -b1111100100101010100110110011001111 " -b1111100100101010100110110011001111 g -#1472 -b1111100100101010100110110011010000 " -b11110 b -b1111100100101010100110110011010000 g -b1111 I" -#1473 -b1111100100101010100110110011010001 " -b11101 b -b1111100100101010100110110011010001 g -b10000 I" -#1474 -b1111100100101010100110110011010010 " -b11100 b -b1111100100101010100110110011010010 g -b0 I" -#1475 -b1111100100101010100110110011010011 " -b1111100100101010100110110011010011 g -#1476 -b1111100100101010100110110011010100 " -b1111100100101010100110110011010100 g -#1477 -b1111100100101010100110110011010101 " -b1111100100101010100110110011010101 g -#1478 -b1111100100101010100110110011010110 " -b1111100100101010100110110011010110 g -#1479 -b1111100100101010100110110011010111 " -b1111100100101010100110110011010111 g -#1480 -b1111100100101010100110110011011000 " -b1111100100101010100110110011011000 g -#1481 -b1111100100101010100110110011011001 " -b1111100100101010100110110011011001 g -#1482 -b1111100100101010100110110011011010 " -b1111100100101010100110110011011010 g -#1483 -b1111100100101010100110110011011011 " -b1111100100101010100110110011011011 g -#1484 -b1111100100101010100110110011011100 " -b1111100100101010100110110011011100 g -#1485 -b1111100100101010100110110011011101 " -b1111100100101010100110110011011101 g -#1486 -b1111100100101010100110110011011110 " -b1111100100101010100110110011011110 g -#1487 -b1111100100101010100110110011011111 " -b1111100100101010100110110011011111 g -#1488 -b1111100100101010100110110011100000 " -b11110 b -b1111100100101010100110110011100000 g -b1111 I" -#1489 -b1111100100101010100110110011100001 " -b11101 b -b1111100100101010100110110011100001 g -b10000 I" -#1490 -b1111100100101010100110110011100010 " -b11100 b -b1111100100101010100110110011100010 g -b0 I" -#1491 -b1111100100101010100110110011100011 " -b1111100100101010100110110011100011 g -#1492 -b1111100100101010100110110011100100 " -b1111100100101010100110110011100100 g -#1493 -b1111100100101010100110110011100101 " -b1111100100101010100110110011100101 g -#1494 -b1111100100101010100110110011100110 " -b1111100100101010100110110011100110 g -#1495 -b1111100100101010100110110011100111 " -b1111100100101010100110110011100111 g -#1496 -b1111100100101010100110110011101000 " -b1111100100101010100110110011101000 g -#1497 -b1111100100101010100110110011101001 " -b1111100100101010100110110011101001 g -#1498 -b1111100100101010100110110011101010 " -b1111100100101010100110110011101010 g -#1499 -b1111100100101010100110110011101011 " -b1111100100101010100110110011101011 g -#1500 -b1111100100101010100110110011101100 " -b1111100100101010100110110011101100 g -#1501 -b1111100100101010100110110011101101 " -b1111100100101010100110110011101101 g -#1502 -b1111100100101010100110110011101110 " -b1111100100101010100110110011101110 g -#1503 -b1111100100101010100110110011101111 " -b1111100100101010100110110011101111 g -#1504 -b1111100100101010100110110011110000 " -b11110 b -b1111100100101010100110110011110000 g -b1111 I" -#1505 -b1111100100101010100110110011110001 " -b11101 b -b1111100100101010100110110011110001 g -b10000 I" -#1506 -b1111100100101010100110110011110010 " -b11100 b -b1111100100101010100110110011110010 g -b0 I" -#1507 -b1111100100101010100110110011110011 " -b1111100100101010100110110011110011 g -#1508 -b1111100100101010100110110011110100 " -b1111100100101010100110110011110100 g -#1509 -b1111100100101010100110110011110101 " -b1111100100101010100110110011110101 g -#1510 -b1111100100101010100110110011110110 " -b1111100100101010100110110011110110 g -#1511 -b1111100100101010100110110011110111 " -b1111100100101010100110110011110111 g -#1512 -b1111100100101010100110110011111000 " -b1111100100101010100110110011111000 g -#1513 -b1111100100101010100110110011111001 " -b1111100100101010100110110011111001 g -#1514 -b1111100100101010100110110011111010 " -b1111100100101010100110110011111010 g -#1515 -b1111100100101010100110110011111011 " -b1111100100101010100110110011111011 g -#1516 -b1111100100101010100110110011111100 " -b1111100100101010100110110011111100 g -#1517 -b1111100100101010100110110011111101 " -b1111100100101010100110110011111101 g -#1518 -b1111100100101010100110110011111110 " -b1111100100101010100110110011111110 g -#1519 -b1111100100101010100110110011111111 " -b1111100100101010100110110011111111 g -#1520 -b1111100100101010100110110100000000 " -b11110 b -b1111100100101010100110110100000000 g -b1111 I" -#1521 -b1111100100101010100110110100000001 " -b11101 b -b1111100100101010100110110100000001 g -b10000 I" -#1522 -b1111100100101010100110110100000010 " -b11100 b -b1111100100101010100110110100000010 g -b0 I" -#1523 -b1111100100101010100110110100000011 " -b1111100100101010100110110100000011 g -#1524 -b1111100100101010100110110100000100 " -b1111100100101010100110110100000100 g -#1525 -b1111100100101010100110110100000101 " -b1111100100101010100110110100000101 g -#1526 -b1111100100101010100110110100000110 " -b1111100100101010100110110100000110 g -#1527 -b1111100100101010100110110100000111 " -b1111100100101010100110110100000111 g -#1528 -b1111100100101010100110110100001000 " -b1111100100101010100110110100001000 g -#1529 -b1111100100101010100110110100001001 " -b1111100100101010100110110100001001 g -#1530 -b1111100100101010100110110100001010 " -b1111100100101010100110110100001010 g -#1531 -b1111100100101010100110110100001011 " -b1111100100101010100110110100001011 g -#1532 -b1111100100101010100110110100001100 " -b1111100100101010100110110100001100 g -#1533 -b1111100100101010100110110100001101 " -b1111100100101010100110110100001101 g -#1534 -b1111100100101010100110110100001110 " -b1111100100101010100110110100001110 g -#1535 -b1111100100101010100110110100001111 " -b1111100100101010100110110100001111 g -#1536 -b1111100100101010100110110100010000 " -b11110 b -b1111100100101010100110110100010000 g -#1537 -b1111100100101010100110110100010001 " -b11101 b -b1111100100101010100110110100010001 g -b10000 I" -#1538 -b1111100100101010100110110100010010 " -b11100 b -b1111100100101010100110110100010010 g -b0 I" -#1539 -b1111100100101010100110110100010011 " -b1111100100101010100110110100010011 g -#1540 -b1111100100101010100110110100010100 " -b1111100100101010100110110100010100 g -#1541 -b1111100100101010100110110100010101 " -b1111100100101010100110110100010101 g -#1542 -b1111100100101010100110110100010110 " -b1111100100101010100110110100010110 g -#1543 -b1111100100101010100110110100010111 " -b1111100100101010100110110100010111 g -#1544 -b1111100100101010100110110100011000 " -b1111100100101010100110110100011000 g -#1545 -b1111100100101010100110110100011001 " -b1111100100101010100110110100011001 g -#1546 -b1111100100101010100110110100011010 " -b1111100100101010100110110100011010 g -#1547 -b1111100100101010100110110100011011 " -b1111100100101010100110110100011011 g -#1548 -b1111100100101010100110110100011100 " -b1111100100101010100110110100011100 g -#1549 -b1111100100101010100110110100011101 " -b1111100100101010100110110100011101 g -#1550 -b1111100100101010100110110100011110 " -b1111100100101010100110110100011110 g -#1551 -b1111100100101010100110110100011111 " -b1111100100101010100110110100011111 g -#1552 -b1111100100101010100110110100100000 " -b11110 b -b1111100100101010100110110100100000 g -b1111 I" -#1553 -b1111100100101010100110110100100001 " -b11101 b -b1111100100101010100110110100100001 g -b10000 I" -#1554 -b1111100100101010100110110100100010 " -b11100 b -b1111100100101010100110110100100010 g -b0 I" -#1555 -b1111100100101010100110110100100011 " -b1111100100101010100110110100100011 g -#1556 -b1111100100101010100110110100100100 " -b1111100100101010100110110100100100 g -#1557 -b1111100100101010100110110100100101 " -b1111100100101010100110110100100101 g -#1558 -b1111100100101010100110110100100110 " -b1111100100101010100110110100100110 g -#1559 -b1111100100101010100110110100100111 " -b1111100100101010100110110100100111 g -#1560 -b1111100100101010100110110100101000 " -b1111100100101010100110110100101000 g -#1561 -b1111100100101010100110110100101001 " -b1111100100101010100110110100101001 g -#1562 -b1111100100101010100110110100101010 " -b1111100100101010100110110100101010 g -#1563 -b1111100100101010100110110100101011 " -b1111100100101010100110110100101011 g -#1564 -b1111100100101010100110110100101100 " -b1111100100101010100110110100101100 g -#1565 -b1111100100101010100110110100101101 " -b1111100100101010100110110100101101 g -#1566 -b1111100100101010100110110100101110 " -b1111100100101010100110110100101110 g -#1567 -b1111100100101010100110110100101111 " -b1111100100101010100110110100101111 g -#1568 -b1111100100101010100110110100110000 " -b11110 b -b1111100100101010100110110100110000 g -#1569 -b1111100100101010100110110100110001 " -b11101 b -b1111100100101010100110110100110001 g -b10000 I" -#1570 -b1111100100101010100110110100110010 " -b11100 b -b1111100100101010100110110100110010 g -b0 I" -#1571 -b1111100100101010100110110100110011 " -b1111100100101010100110110100110011 g -#1572 -b1111100100101010100110110100110100 " -b1111100100101010100110110100110100 g -#1573 -b1111100100101010100110110100110101 " -b1111100100101010100110110100110101 g -#1574 -b1111100100101010100110110100110110 " -b1111100100101010100110110100110110 g -#1575 -b1111100100101010100110110100110111 " -b1111100100101010100110110100110111 g -#1576 -b1111100100101010100110110100111000 " -b1111100100101010100110110100111000 g -#1577 -b1111100100101010100110110100111001 " -b1111100100101010100110110100111001 g -#1578 -b1111100100101010100110110100111010 " -b1111100100101010100110110100111010 g -#1579 -b1111100100101010100110110100111011 " -b1111100100101010100110110100111011 g -#1580 -b1111100100101010100110110100111100 " -b1111100100101010100110110100111100 g -#1581 -b1111100100101010100110110100111101 " -b1111100100101010100110110100111101 g -#1582 -b1111100100101010100110110100111110 " -b1111100100101010100110110100111110 g -#1583 -b1111100100101010100110110100111111 " -b1111100100101010100110110100111111 g -#1584 -b1111100100101010100110110101000000 " -b11110 b -b1111100100101010100110110101000000 g -#1585 -b1111100100101010100110110101000001 " -b11101 b -b1111100100101010100110110101000001 g -b10000 I" -#1586 -b1111100100101010100110110101000010 " -b11100 b -b1111100100101010100110110101000010 g -b0 I" -#1587 -b1111100100101010100110110101000011 " -b1111100100101010100110110101000011 g -#1588 -b1111100100101010100110110101000100 " -b1111100100101010100110110101000100 g -#1589 -b1111100100101010100110110101000101 " -b1111100100101010100110110101000101 g -#1590 -b1111100100101010100110110101000110 " -b1111100100101010100110110101000110 g -#1591 -b1111100100101010100110110101000111 " -b1111100100101010100110110101000111 g -#1592 -b1111100100101010100110110101001000 " -b1111100100101010100110110101001000 g -#1593 -b1111100100101010100110110101001001 " -b1111100100101010100110110101001001 g -#1594 -b1111100100101010100110110101001010 " -b1111100100101010100110110101001010 g -#1595 -b1111100100101010100110110101001011 " -b1111100100101010100110110101001011 g -#1596 -b1111100100101010100110110101001100 " -b1111100100101010100110110101001100 g -#1597 -b1111100100101010100110110101001101 " -b1111100100101010100110110101001101 g -#1598 -b1111100100101010100110110101001110 " -b1111100100101010100110110101001110 g -#1599 -b1111100100101010100110110101001111 " -b1111100100101010100110110101001111 g -#1600 -b1111100100101010100110110101010000 " -b11110 b -b1111100100101010100110110101010000 g -#1601 -b1111100100101010100110110101010001 " -b11101 b -b1111100100101010100110110101010001 g -b10000 I" -#1602 -b1111100100101010100110110101010010 " -b11100 b -b1111100100101010100110110101010010 g -b0 I" -#1603 -b1111100100101010100110110101010011 " -b1111100100101010100110110101010011 g -#1604 -b1111100100101010100110110101010100 " -b1111100100101010100110110101010100 g -#1605 -b1111100100101010100110110101010101 " -b1111100100101010100110110101010101 g -#1606 -b1111100100101010100110110101010110 " -b1111100100101010100110110101010110 g -#1607 -b1111100100101010100110110101010111 " -b1111100100101010100110110101010111 g -#1608 -b1111100100101010100110110101011000 " -b1111100100101010100110110101011000 g -#1609 -b1111100100101010100110110101011001 " -b1111100100101010100110110101011001 g -#1610 -b1111100100101010100110110101011010 " -b1111100100101010100110110101011010 g -#1611 -b1111100100101010100110110101011011 " -b1111100100101010100110110101011011 g -#1612 -b1111100100101010100110110101011100 " -b1111100100101010100110110101011100 g -#1613 -b1111100100101010100110110101011101 " -b1111100100101010100110110101011101 g -#1614 -b1111100100101010100110110101011110 " -b1111100100101010100110110101011110 g -#1615 -b1111100100101010100110110101011111 " -b1111100100101010100110110101011111 g -#1616 -b1111100100101010100110110101100000 " -b11110 b -b1111100100101010100110110101100000 g -b1111 I" -#1617 -b1111100100101010100110110101100001 " -b11101 b -b1111100100101010100110110101100001 g -b10000 I" -#1618 -b1111100100101010100110110101100010 " -b11100 b -b1111100100101010100110110101100010 g -b0 I" -#1619 -b1111100100101010100110110101100011 " -b1111100100101010100110110101100011 g -#1620 -b1111100100101010100110110101100100 " -b1111100100101010100110110101100100 g -#1621 -b1111100100101010100110110101100101 " -b1111100100101010100110110101100101 g -#1622 -b1111100100101010100110110101100110 " -b1111100100101010100110110101100110 g -#1623 -b1111100100101010100110110101100111 " -b1111100100101010100110110101100111 g -#1624 -b1111100100101010100110110101101000 " -b1111100100101010100110110101101000 g -#1625 -b1111100100101010100110110101101001 " -b1111100100101010100110110101101001 g -#1626 -b1111100100101010100110110101101010 " -b1111100100101010100110110101101010 g -#1627 -b1111100100101010100110110101101011 " -b1111100100101010100110110101101011 g -#1628 -b1111100100101010100110110101101100 " -b1111100100101010100110110101101100 g -#1629 -b1111100100101010100110110101101101 " -b1111100100101010100110110101101101 g -#1630 -b1111100100101010100110110101101110 " -b1111100100101010100110110101101110 g -#1631 -b1111100100101010100110110101101111 " -b1111100100101010100110110101101111 g -#1632 -b1111100100101010100110110101110000 " -b11110 b -b1111100100101010100110110101110000 g -b1111 I" -#1633 -b1111100100101010100110110101110001 " -b11101 b -b1111100100101010100110110101110001 g -b10000 I" -#1634 -b1111100100101010100110110101110010 " -b11100 b -b1111100100101010100110110101110010 g -b0 I" -#1635 -b1111100100101010100110110101110011 " -b1111100100101010100110110101110011 g -#1636 -b1111100100101010100110110101110100 " -b1111100100101010100110110101110100 g -#1637 -b1111100100101010100110110101110101 " -b1111100100101010100110110101110101 g -#1638 -b1111100100101010100110110101110110 " -b1111100100101010100110110101110110 g -#1639 -b1111100100101010100110110101110111 " -b1111100100101010100110110101110111 g -#1640 -b1111100100101010100110110101111000 " -b1111100100101010100110110101111000 g -#1641 -b1111100100101010100110110101111001 " -b1111100100101010100110110101111001 g -#1642 -b1111100100101010100110110101111010 " -b1111100100101010100110110101111010 g -#1643 -b1111100100101010100110110101111011 " -b1111100100101010100110110101111011 g -#1644 -b1111100100101010100110110101111100 " -b1111100100101010100110110101111100 g -#1645 -b1111100100101010100110110101111101 " -b1111100100101010100110110101111101 g -#1646 -b1111100100101010100110110101111110 " -b1111100100101010100110110101111110 g -#1647 -b1111100100101010100110110101111111 " -b1111100100101010100110110101111111 g -#1648 -b1111100100101010100110110110000000 " -b11110 b -b1111100100101010100110110110000000 g -b1111 I" -#1649 -b1111100100101010100110110110000001 " -b11101 b -b1111100100101010100110110110000001 g -b10000 I" -#1650 -b1111100100101010100110110110000010 " -b11100 b -b1111100100101010100110110110000010 g -b0 I" -#1651 -b1111100100101010100110110110000011 " -b1111100100101010100110110110000011 g -#1652 -b1111100100101010100110110110000100 " -b1111100100101010100110110110000100 g -#1653 -b1111100100101010100110110110000101 " -b1111100100101010100110110110000101 g -#1654 -b1111100100101010100110110110000110 " -b1111100100101010100110110110000110 g -#1655 -b1111100100101010100110110110000111 " -b1111100100101010100110110110000111 g -#1656 -b1111100100101010100110110110001000 " -b1111100100101010100110110110001000 g -#1657 -b1111100100101010100110110110001001 " -b1111100100101010100110110110001001 g -#1658 -b1111100100101010100110110110001010 " -b1111100100101010100110110110001010 g -#1659 -b1111100100101010100110110110001011 " -b1111100100101010100110110110001011 g -#1660 -b1111100100101010100110110110001100 " -b1111100100101010100110110110001100 g -#1661 -b1111100100101010100110110110001101 " -b1111100100101010100110110110001101 g -#1662 -b1111100100101010100110110110001110 " -b1111100100101010100110110110001110 g -#1663 -b1111100100101010100110110110001111 " -b1111100100101010100110110110001111 g -#1664 -b1111100100101010100110110110010000 " -b11110 b -b1111100100101010100110110110010000 g -#1665 -b1111100100101010100110110110010001 " -b11101 b -b1111100100101010100110110110010001 g -b10000 I" -#1666 -b1111100100101010100110110110010010 " -b11100 b -b1111100100101010100110110110010010 g -b0 I" -#1667 -b1111100100101010100110110110010011 " -b1111100100101010100110110110010011 g -#1668 -b1111100100101010100110110110010100 " -b1111100100101010100110110110010100 g -#1669 -b1111100100101010100110110110010101 " -b1111100100101010100110110110010101 g -#1670 -b1111100100101010100110110110010110 " -b1111100100101010100110110110010110 g -#1671 -b1111100100101010100110110110010111 " -b1111100100101010100110110110010111 g -#1672 -b1111100100101010100110110110011000 " -b1111100100101010100110110110011000 g -#1673 -b1111100100101010100110110110011001 " -b1111100100101010100110110110011001 g -#1674 -b1111100100101010100110110110011010 " -b1111100100101010100110110110011010 g -#1675 -b1111100100101010100110110110011011 " -b1111100100101010100110110110011011 g -#1676 -b1111100100101010100110110110011100 " -b1111100100101010100110110110011100 g -#1677 -b1111100100101010100110110110011101 " -b1111100100101010100110110110011101 g -#1678 -b1111100100101010100110110110011110 " -b1111100100101010100110110110011110 g -#1679 -b1111100100101010100110110110011111 " -b1111100100101010100110110110011111 g -#1680 -b1111100100101010100110110110100000 " -b11110 b -b1111100100101010100110110110100000 g -b1111 I" -#1681 -b1111100100101010100110110110100001 " -b11101 b -b1111100100101010100110110110100001 g -b10000 I" -#1682 -b1111100100101010100110110110100010 " -b11100 b -b1111100100101010100110110110100010 g -b0 I" -#1683 -b1111100100101010100110110110100011 " -b1111100100101010100110110110100011 g -#1684 -b1111100100101010100110110110100100 " -b1111100100101010100110110110100100 g -#1685 -b1111100100101010100110110110100101 " -b1111100100101010100110110110100101 g -#1686 -b1111100100101010100110110110100110 " -b1111100100101010100110110110100110 g -#1687 -b1111100100101010100110110110100111 " -b1111100100101010100110110110100111 g -#1688 -b1111100100101010100110110110101000 " -b1111100100101010100110110110101000 g -#1689 -b1111100100101010100110110110101001 " -b1111100100101010100110110110101001 g -#1690 -b1111100100101010100110110110101010 " -b1111100100101010100110110110101010 g -#1691 -b1111100100101010100110110110101011 " -b1111100100101010100110110110101011 g -#1692 -b1111100100101010100110110110101100 " -b1111100100101010100110110110101100 g -#1693 -b1111100100101010100110110110101101 " -b1111100100101010100110110110101101 g -#1694 -b1111100100101010100110110110101110 " -b1111100100101010100110110110101110 g -#1695 -b1111100100101010100110110110101111 " -b1111100100101010100110110110101111 g -#1696 -b1111100100101010100110110110110000 " -b11110 b -b1111100100101010100110110110110000 g -b1111 I" -#1697 -b1111100100101010100110110110110001 " -b11101 b -b1111100100101010100110110110110001 g -b10000 I" -#1698 -b1111100100101010100110110110110010 " -b11100 b -b1111100100101010100110110110110010 g -b0 I" -#1699 -b1111100100101010100110110110110011 " -b1111100100101010100110110110110011 g -#1700 -b1111100100101010100110110110110100 " -b1111100100101010100110110110110100 g -#1701 -b1111100100101010100110110110110101 " -b1111100100101010100110110110110101 g -#1702 -b1111100100101010100110110110110110 " -b1111100100101010100110110110110110 g -#1703 -b1111100100101010100110110110110111 " -b1111100100101010100110110110110111 g -#1704 -b1111100100101010100110110110111000 " -b1111100100101010100110110110111000 g -#1705 -b1111100100101010100110110110111001 " -b1111100100101010100110110110111001 g -#1706 -b1111100100101010100110110110111010 " -b1111100100101010100110110110111010 g -#1707 -b1111100100101010100110110110111011 " -b1111100100101010100110110110111011 g -#1708 -b1111100100101010100110110110111100 " -b1111100100101010100110110110111100 g -#1709 -b1111100100101010100110110110111101 " -b1111100100101010100110110110111101 g -#1710 -b1111100100101010100110110110111110 " -b1111100100101010100110110110111110 g -#1711 -b1111100100101010100110110110111111 " -b1111100100101010100110110110111111 g -#1712 -b1111100100101010100110110111000000 " -b11110 b -b1111100100101010100110110111000000 g -b1111 I" -#1713 -b1111100100101010100110110111000001 " -b11101 b -b1111100100101010100110110111000001 g -b10000 I" -#1714 -b1111100100101010100110110111000010 " -b11100 b -b1111100100101010100110110111000010 g -b0 I" -#1715 -b1111100100101010100110110111000011 " -b1111100100101010100110110111000011 g -#1716 -b1111100100101010100110110111000100 " -b1111100100101010100110110111000100 g -#1717 -b1111100100101010100110110111000101 " -b1111100100101010100110110111000101 g -#1718 -b1111100100101010100110110111000110 " -b1111100100101010100110110111000110 g -#1719 -b1111100100101010100110110111000111 " -b1111100100101010100110110111000111 g -#1720 -b1111100100101010100110110111001000 " -b1111100100101010100110110111001000 g -#1721 -b1111100100101010100110110111001001 " -b1111100100101010100110110111001001 g -#1722 -b1111100100101010100110110111001010 " -b1111100100101010100110110111001010 g -#1723 -b1111100100101010100110110111001011 " -b1111100100101010100110110111001011 g -#1724 -b1111100100101010100110110111001100 " -b1111100100101010100110110111001100 g -#1725 -b1111100100101010100110110111001101 " -b1111100100101010100110110111001101 g -#1726 -b1111100100101010100110110111001110 " -b1111100100101010100110110111001110 g -#1727 -b1111100100101010100110110111001111 " -b1111100100101010100110110111001111 g -#1728 -b1111100100101010100110110111010000 " -b11110 b -b1111100100101010100110110111010000 g -#1729 -b1111100100101010100110110111010001 " -b11101 b -b1111100100101010100110110111010001 g -b10000 I" -#1730 -b1111100100101010100110110111010010 " -b11100 b -b1111100100101010100110110111010010 g -b0 I" -#1731 -b1111100100101010100110110111010011 " -b1111100100101010100110110111010011 g -#1732 -b1111100100101010100110110111010100 " -b1111100100101010100110110111010100 g -#1733 -b1111100100101010100110110111010101 " -b1111100100101010100110110111010101 g -#1734 -b1111100100101010100110110111010110 " -b1111100100101010100110110111010110 g -#1735 -b1111100100101010100110110111010111 " -b1111100100101010100110110111010111 g -#1736 -b1111100100101010100110110111011000 " -b1111100100101010100110110111011000 g -#1737 -b1111100100101010100110110111011001 " -b1111100100101010100110110111011001 g -#1738 -b1111100100101010100110110111011010 " -b1111100100101010100110110111011010 g -#1739 -b1111100100101010100110110111011011 " -b1111100100101010100110110111011011 g -#1740 -b1111100100101010100110110111011100 " -b1111100100101010100110110111011100 g -#1741 -b1111100100101010100110110111011101 " -b1111100100101010100110110111011101 g -#1742 -b1111100100101010100110110111011110 " -b1111100100101010100110110111011110 g -#1743 -b1111100100101010100110110111011111 " -b1111100100101010100110110111011111 g -#1744 -b1111100100101010100110110111100000 " -b11110 b -b1111100100101010100110110111100000 g -b1111 I" -#1745 -b1111100100101010100110110111100001 " -b11101 b -b1111100100101010100110110111100001 g -b10000 I" -#1746 -b1111100100101010100110110111100010 " -b11100 b -b1111100100101010100110110111100010 g -b0 I" -#1747 -b1111100100101010100110110111100011 " -b1111100100101010100110110111100011 g -#1748 -b1111100100101010100110110111100100 " -b1111100100101010100110110111100100 g -#1749 -b1111100100101010100110110111100101 " -b1111100100101010100110110111100101 g -#1750 -b1111100100101010100110110111100110 " -b1111100100101010100110110111100110 g -#1751 -b1111100100101010100110110111100111 " -b1111100100101010100110110111100111 g -#1752 -b1111100100101010100110110111101000 " -b1111100100101010100110110111101000 g -#1753 -b1111100100101010100110110111101001 " -b1111100100101010100110110111101001 g -#1754 -b1111100100101010100110110111101010 " -b1111100100101010100110110111101010 g -#1755 -b1111100100101010100110110111101011 " -b1111100100101010100110110111101011 g -#1756 -b1111100100101010100110110111101100 " -b1111100100101010100110110111101100 g -#1757 -b1111100100101010100110110111101101 " -b1111100100101010100110110111101101 g -#1758 -b1111100100101010100110110111101110 " -b1111100100101010100110110111101110 g -#1759 -b1111100100101010100110110111101111 " -b1111100100101010100110110111101111 g -#1760 -b1111100100101010100110110111110000 " -b11110 b -b1111100100101010100110110111110000 g -b1111 I" -#1761 -b1111100100101010100110110111110001 " -b11101 b -b1111100100101010100110110111110001 g -b10000 I" -#1762 -b1111100100101010100110110111110010 " -b11100 b -b1111100100101010100110110111110010 g -b0 I" -#1763 -b1111100100101010100110110111110011 " -b1111100100101010100110110111110011 g -#1764 -b1111100100101010100110110111110100 " -b1111100100101010100110110111110100 g -#1765 -b1111100100101010100110110111110101 " -b1111100100101010100110110111110101 g -#1766 -b1111100100101010100110110111110110 " -b1111100100101010100110110111110110 g -#1767 -b1111100100101010100110110111110111 " -b1111100100101010100110110111110111 g -#1768 -b1111100100101010100110110111111000 " -b1111100100101010100110110111111000 g -#1769 -b1111100100101010100110110111111001 " -b1111100100101010100110110111111001 g -#1770 -b1111100100101010100110110111111010 " -b1111100100101010100110110111111010 g -#1771 -b1111100100101010100110110111111011 " -b1111100100101010100110110111111011 g -#1772 -b1111100100101010100110110111111100 " -b1111100100101010100110110111111100 g -#1773 -b1111100100101010100110110111111101 " -b1111100100101010100110110111111101 g -#1774 -b1111100100101010100110110111111110 " -b1111100100101010100110110111111110 g -#1775 -b1111100100101010100110110111111111 " -b1111100100101010100110110111111111 g -#1776 -b1111100100101010100110111000000000 " -b11110 b -b1111100100101010100110111000000000 g -#1777 -b1111100100101010100110111000000001 " -b11101 b -b1111100100101010100110111000000001 g -b10000 I" -#1778 -b1111100100101010100110111000000010 " -b11100 b -b1111100100101010100110111000000010 g -b0 I" -#1779 -b1111100100101010100110111000000011 " -b1111100100101010100110111000000011 g -#1780 -b1111100100101010100110111000000100 " -b1111100100101010100110111000000100 g -#1781 -b1111100100101010100110111000000101 " -b1111100100101010100110111000000101 g -#1782 -b1111100100101010100110111000000110 " -b1111100100101010100110111000000110 g -#1783 -b1111100100101010100110111000000111 " -b1111100100101010100110111000000111 g -#1784 -b1111100100101010100110111000001000 " -b1111100100101010100110111000001000 g -#1785 -b1111100100101010100110111000001001 " -b1111100100101010100110111000001001 g -#1786 -b1111100100101010100110111000001010 " -b1111100100101010100110111000001010 g -#1787 -b1111100100101010100110111000001011 " -b1111100100101010100110111000001011 g -#1788 -b1111100100101010100110111000001100 " -b1111100100101010100110111000001100 g -#1789 -b1111100100101010100110111000001101 " -b1111100100101010100110111000001101 g -#1790 -b1111100100101010100110111000001110 " -b1111100100101010100110111000001110 g -#1791 -b1111100100101010100110111000001111 " -b1111100100101010100110111000001111 g -#1792 -b1111100100101010100110111000010000 " -b11110 b -b1111100100101010100110111000010000 g -#1793 -b1111100100101010100110111000010001 " -b11101 b -b1111100100101010100110111000010001 g -b10000 I" -#1794 -b1111100100101010100110111000010010 " -b11100 b -b1111100100101010100110111000010010 g -b0 I" -#1795 -b1111100100101010100110111000010011 " -b1111100100101010100110111000010011 g -#1796 -b1111100100101010100110111000010100 " -b1111100100101010100110111000010100 g -#1797 -b1111100100101010100110111000010101 " -b1111100100101010100110111000010101 g -#1798 -b1111100100101010100110111000010110 " -b1111100100101010100110111000010110 g -#1799 -b1111100100101010100110111000010111 " -b1111100100101010100110111000010111 g -#1800 -b1111100100101010100110111000011000 " -b1111100100101010100110111000011000 g -#1801 -b1111100100101010100110111000011001 " -b1111100100101010100110111000011001 g -#1802 -b1111100100101010100110111000011010 " -b1111100100101010100110111000011010 g -#1803 -b1111100100101010100110111000011011 " -b1111100100101010100110111000011011 g -#1804 -b1111100100101010100110111000011100 " -b1111100100101010100110111000011100 g -#1805 -b1111100100101010100110111000011101 " -b1111100100101010100110111000011101 g -#1806 -b1111100100101010100110111000011110 " -b1111100100101010100110111000011110 g -#1807 -b1111100100101010100110111000011111 " -b1111100100101010100110111000011111 g -#1808 -b1111100100101010100110111000100000 " -b11110 b -b1111100100101010100110111000100000 g -#1809 -b1111100100101010100110111000100001 " -b11101 b -b1111100100101010100110111000100001 g -b10000 I" -#1810 -b1111100100101010100110111000100010 " -b11100 b -b1111100100101010100110111000100010 g -b0 I" -#1811 -b1111100100101010100110111000100011 " -b1111100100101010100110111000100011 g -#1812 -b1111100100101010100110111000100100 " -b1111100100101010100110111000100100 g -#1813 -b1111100100101010100110111000100101 " -b1111100100101010100110111000100101 g -#1814 -b1111100100101010100110111000100110 " -b1111100100101010100110111000100110 g -#1815 -b1111100100101010100110111000100111 " -b1111100100101010100110111000100111 g -#1816 -b1111100100101010100110111000101000 " -b1111100100101010100110111000101000 g -#1817 -b1111100100101010100110111000101001 " -b1111100100101010100110111000101001 g -#1818 -b1111100100101010100110111000101010 " -b1111100100101010100110111000101010 g -#1819 -b1111100100101010100110111000101011 " -b1111100100101010100110111000101011 g -#1820 -b1111100100101010100110111000101100 " -b1111100100101010100110111000101100 g -#1821 -b1111100100101010100110111000101101 " -b1111100100101010100110111000101101 g -#1822 -b1111100100101010100110111000101110 " -b1111100100101010100110111000101110 g -#1823 -b1111100100101010100110111000101111 " -b1111100100101010100110111000101111 g -#1824 -b1111100100101010100110111000110000 " -b11110 b -b1111100100101010100110111000110000 g -b1111 I" -#1825 -b1111100100101010100110111000110001 " -b11101 b -b1111100100101010100110111000110001 g -b10000 I" -#1826 -b1111100100101010100110111000110010 " -b11100 b -b1111100100101010100110111000110010 g -b0 I" -#1827 -b1111100100101010100110111000110011 " -b1111100100101010100110111000110011 g -#1828 -b1111100100101010100110111000110100 " -b1111100100101010100110111000110100 g -#1829 -b1111100100101010100110111000110101 " -b1111100100101010100110111000110101 g -#1830 -b1111100100101010100110111000110110 " -b1111100100101010100110111000110110 g -#1831 -b1111100100101010100110111000110111 " -b1111100100101010100110111000110111 g -#1832 -b1111100100101010100110111000111000 " -b1111100100101010100110111000111000 g -#1833 -b1111100100101010100110111000111001 " -b1111100100101010100110111000111001 g -#1834 -b1111100100101010100110111000111010 " -b1111100100101010100110111000111010 g -#1835 -b1111100100101010100110111000111011 " -b1111100100101010100110111000111011 g -#1836 -b1111100100101010100110111000111100 " -b1111100100101010100110111000111100 g -#1837 -b1111100100101010100110111000111101 " -b1111100100101010100110111000111101 g -#1838 -b1111100100101010100110111000111110 " -b1111100100101010100110111000111110 g -#1839 -b1111100100101010100110111000111111 " -b1111100100101010100110111000111111 g -#1840 -b1111100100101010100110111001000000 " -b11110 b -b1111100100101010100110111001000000 g -b1111 I" -#1841 -b1111100100101010100110111001000001 " -b11101 b -b1111100100101010100110111001000001 g -b10000 I" -#1842 -b1111100100101010100110111001000010 " -b11100 b -b1111100100101010100110111001000010 g -b0 I" -#1843 -b1111100100101010100110111001000011 " -b1111100100101010100110111001000011 g -#1844 -b1111100100101010100110111001000100 " -b1111100100101010100110111001000100 g -#1845 -b1111100100101010100110111001000101 " -b1111100100101010100110111001000101 g -#1846 -b1111100100101010100110111001000110 " -b1111100100101010100110111001000110 g -#1847 -b1111100100101010100110111001000111 " -b1111100100101010100110111001000111 g -#1848 -b1111100100101010100110111001001000 " -b1111100100101010100110111001001000 g -#1849 -b1111100100101010100110111001001001 " -b1111100100101010100110111001001001 g -#1850 -b1111100100101010100110111001001010 " -b1111100100101010100110111001001010 g -#1851 -b1111100100101010100110111001001011 " -b1111100100101010100110111001001011 g -#1852 -b1111100100101010100110111001001100 " -b1111100100101010100110111001001100 g -#1853 -b1111100100101010100110111001001101 " -b1111100100101010100110111001001101 g -#1854 -b1111100100101010100110111001001110 " -b1111100100101010100110111001001110 g -#1855 -b1111100100101010100110111001001111 " -b1111100100101010100110111001001111 g -#1856 -b1111100100101010100110111001010000 " -b11110 b -b1111100100101010100110111001010000 g -b1111 I" -#1857 -b1111100100101010100110111001010001 " -b11101 b -b1111100100101010100110111001010001 g -b10000 I" -#1858 -b1111100100101010100110111001010010 " -b11100 b -b1111100100101010100110111001010010 g -b0 I" -#1859 -b1111100100101010100110111001010011 " -b1111100100101010100110111001010011 g -#1860 -b1111100100101010100110111001010100 " -b1111100100101010100110111001010100 g -#1861 -b1111100100101010100110111001010101 " -b1111100100101010100110111001010101 g -#1862 -b1111100100101010100110111001010110 " -b1111100100101010100110111001010110 g -#1863 -b1111100100101010100110111001010111 " -b1111100100101010100110111001010111 g -#1864 -b1111100100101010100110111001011000 " -b1111100100101010100110111001011000 g -#1865 -b1111100100101010100110111001011001 " -b1111100100101010100110111001011001 g -#1866 -b1111100100101010100110111001011010 " -b1111100100101010100110111001011010 g -#1867 -b1111100100101010100110111001011011 " -b1111100100101010100110111001011011 g -#1868 -b1111100100101010100110111001011100 " -b1111100100101010100110111001011100 g -#1869 -b1111100100101010100110111001011101 " -b1111100100101010100110111001011101 g -#1870 -b1111100100101010100110111001011110 " -b1111100100101010100110111001011110 g -#1871 -b1111100100101010100110111001011111 " -b1111100100101010100110111001011111 g -#1872 -b1111100100101010100110111001100000 " -b11110 b -b1111100100101010100110111001100000 g -b1111 I" -#1873 -b1111100100101010100110111001100001 " -b11101 b -b1111100100101010100110111001100001 g -b10000 I" -#1874 -b1111100100101010100110111001100010 " -b11100 b -b0 I" -#1875 -b1111100100101010100110111001100011 " -b1111100100101010100110111001100010 g -#1876 -b1111100100101010100110111001100100 " -b1111100100101010100110111001100011 g -#1877 -b1111100100101010100110111001100101 " -b1111100100101010100110111001100100 g -#1878 -b1111100100101010100110111001100110 " -b1111100100101010100110111001100101 g -#1879 -b1111100100101010100110111001100111 " -b1111100100101010100110111001100110 g -#1880 -b1111100100101010100110111001101000 " -b1111100100101010100110111001100111 g -#1881 -b1111100100101010100110111001101001 " -b1111100100101010100110111001101000 g -#1882 -b1111100100101010100110111001101010 " -b1111100100101010100110111001101001 g -#1883 -b1111100100101010100110111001101011 " -b1111100100101010100110111001101010 g -#1884 -b1111100100101010100110111001101100 " -b1111100100101010100110111001101011 g -#1885 -b1111100100101010100110111001101101 " -b1111100100101010100110111001101100 g -#1886 -b1111100100101010100110111001101110 " -b1111100100101010100110111001101101 g -#1887 -b1111100100101010100110111001101111 " -b1111100100101010100110111001101110 g -#1888 -b1111100100101010100110111001110000 " -b11110 b -b1111100100101010100110111001101111 g -#1889 -b1111100100101010100110111001110001 " -b11101 b -b1111100100101010100110111001110000 g -b1111 I" -#1890 -b1111100100101010100110111001110010 " -b11100 b -b1111100100101010100110111001110001 g -b10000 I" -#1891 -b1111100100101010100110111001110011 " -b1111100100101010100110111001110010 g -b0 I" -#1892 -b1111100100101010100110111001110100 " -b1111100100101010100110111001110011 g -#1893 -b1111100100101010100110111001110101 " -b1111100100101010100110111001110100 g -#1894 -b1111100100101010100110111001110110 " -b1111100100101010100110111001110101 g -#1895 -b1111100100101010100110111001110111 " -b1111100100101010100110111001110110 g -#1896 -b1111100100101010100110111001111000 " -b1111100100101010100110111001110111 g -#1897 -b1111100100101010100110111001111001 " -b1111100100101010100110111001111000 g -#1898 -b1111100100101010100110111001111010 " -b1111100100101010100110111001111001 g -#1899 -b1111100100101010100110111001111011 " -b1111100100101010100110111001111010 g -#1900 -b1111100100101010100110111001111100 " -b1111100100101010100110111001111011 g -#1901 -b1111100100101010100110111001111101 " -b1111100100101010100110111001111100 g -#1902 -b1111100100101010100110111001111110 " -b1111100100101010100110111001111101 g -#1903 -b1111100100101010100110111001111111 " -b1111100100101010100110111001111110 g -#1904 -b1111100100101010100110111010000000 " -b11110 b -b1111100100101010100110111001111111 g -#1905 -b1111100100101010100110111010000001 " -b11101 b -b1111100100101010100110111010000000 g -b1111 I" -#1906 -b1111100100101010100110111010000010 " -b11100 b -b1111100100101010100110111010000001 g -b10000 I" -#1907 -b1111100100101010100110111010000011 " -b1111100100101010100110111010000010 g -b0 I" -#1908 -b1111100100101010100110111010000100 " -b1111100100101010100110111010000011 g -#1909 -b1111100100101010100110111010000101 " -b1111100100101010100110111010000100 g -#1910 -b1111100100101010100110111010000110 " -b1111100100101010100110111010000101 g -#1911 -b1111100100101010100110111010000111 " -b1111100100101010100110111010000110 g -#1912 -b1111100100101010100110111010001000 " -b1111100100101010100110111010000111 g -#1913 -b1111100100101010100110111010001001 " -b1111100100101010100110111010001000 g -#1914 -b1111100100101010100110111010001010 " -b1111100100101010100110111010001001 g -#1915 -b1111100100101010100110111010001011 " -b1111100100101010100110111010001010 g -#1916 -b1111100100101010100110111010001100 " -b1111100100101010100110111010001011 g -#1917 -b1111100100101010100110111010001101 " -b1111100100101010100110111010001100 g -#1918 -b1111100100101010100110111010001110 " -b1111100100101010100110111010001101 g -#1919 -b1111100100101010100110111010001111 " -b1111100100101010100110111010001110 g -#1920 -b1111100100101010100110111010010000 " -b11110 b -b1111100100101010100110111010001111 g -#1921 -b1111100100101010100110111010010001 " -b11101 b -b1111100100101010100110111010010000 g -#1922 -b1111100100101010100110111010010010 " -b11100 b -b1111100100101010100110111010010001 g -#1923 -b1111100100101010100110111010010011 " -b1111100100101010100110111010010010 g -#1924 -b1111100100101010100110111010010100 " -b1111100100101010100110111010010011 g -#1925 -b1111100100101010100110111010010101 " -b1111100100101010100110111010010100 g -#1926 -b1111100100101010100110111010010110 " -b1111100100101010100110111010010101 g -#1927 -b1111100100101010100110111010010111 " -b1111100100101010100110111010010110 g -#1928 -b1111100100101010100110111010011000 " -b1111100100101010100110111010010111 g -#1929 -b1111100100101010100110111010011001 " -b1111100100101010100110111010011000 g -#1930 -b1111100100101010100110111010011010 " -b1111100100101010100110111010011001 g -#1931 -b1111100100101010100110111010011011 " -b1111100100101010100110111010011010 g -#1932 -b1111100100101010100110111010011100 " -b1111100100101010100110111010011011 g -#1933 -b1111100100101010100110111010011101 " -b1111100100101010100110111010011100 g -#1934 -b1111100100101010100110111010011110 " -b1111100100101010100110111010011101 g -#1935 -b1111100100101010100110111010011111 " -b1111100100101010100110111010011110 g -#1936 -b1111100100101010100110111010100000 " -b11110 b -b1111100100101010100110111010011111 g -#1937 -b1111100100101010100110111010100001 " -b11101 b -b1111100100101010100110111010100000 g -b1111 I" -#1938 -b1111100100101010100110111010100010 " -b11100 b -#1939 -b1111100100101010100110111010100011 " -#1940 -b1111100100101010100110111010100100 " -#1941 -b1111100100101010100110111010100101 " -#1942 -b1111100100101010100110111010100110 " -#1943 -b1111100100101010100110111010100111 " -#1944 -b1111100100101010100110111010101000 " -#1945 -b1111100100101010100110111010101001 " -#1946 -b1111100100101010100110111010101010 " -#1947 -b1111100100101010100110111010101011 " -#1948 -b1111100100101010100110111010101100 " -#1949 -b1111100100101010100110111010101101 " -#1950 -b1111100100101010100110111010101110 " -#1951 -b1111100100101010100110111010101111 " -#1952 -b1111100100101010100110111010110000 " -b11110 b -b1111100100101010100110111010110001 g -b10000 I" -#1953 -b1111100100101010100110111010110001 " -b11101 b -b1111100100101010100110111010110010 g -b0 I" -#1954 -b1111100100101010100110111010110010 " -b11100 b -b1111100100101010100110111010110011 g -#1955 -b1111100100101010100110111010110011 " -b1111100100101010100110111010110100 g -#1956 -b1111100100101010100110111010110100 " -b1111100100101010100110111010110101 g -#1957 -b1111100100101010100110111010110101 " -b1111100100101010100110111010110110 g -#1958 -b1111100100101010100110111010110110 " -b1111100100101010100110111010110111 g -#1959 -b1111100100101010100110111010110111 " -b1111100100101010100110111010111000 g -#1960 -b1111100100101010100110111010111000 " -b1111100100101010100110111010111001 g -#1961 -b1111100100101010100110111010111001 " -b1111100100101010100110111010111010 g -#1962 -b1111100100101010100110111010111010 " -b1111100100101010100110111010111011 g -#1963 -b1111100100101010100110111010111011 " -b1111100100101010100110111010111100 g -#1964 -b1111100100101010100110111010111100 " -b1111100100101010100110111010111101 g -#1965 -b1111100100101010100110111010111101 " -b1111100100101010100110111010111110 g -#1966 -b1111100100101010100110111010111110 " -b1111100100101010100110111010111111 g -#1967 -b1111100100101010100110111010111111 " -b1111100100101010100110111011000000 g -b1111 I" -#1968 -b1111100100101010100110111011000000 " -b11110 b -#1969 -b1111100100101010100110111011000001 " -b11101 b -b1111100100101010100110111011000001 g -b10000 I" -#1970 -b1111100100101010100110111011000010 " -b11100 b -b1111100100101010100110111011000010 g -b0 I" -#1971 -b1111100100101010100110111011000011 " -b1111100100101010100110111011000011 g -#1972 -b1111100100101010100110111011000100 " -b1111100100101010100110111011000100 g -#1973 -b1111100100101010100110111011000101 " -b1111100100101010100110111011000101 g -#1974 -b1111100100101010100110111011000110 " -b1111100100101010100110111011000110 g -#1975 -b1111100100101010100110111011000111 " -b1111100100101010100110111011000111 g -#1976 -b1111100100101010100110111011001000 " -b1111100100101010100110111011001000 g -#1977 -b1111100100101010100110111011001001 " -b1111100100101010100110111011001001 g -#1978 -b1111100100101010100110111011001010 " -b1111100100101010100110111011001010 g -#1979 -b1111100100101010100110111011001011 " -b1111100100101010100110111011001011 g -#1980 -b1111100100101010100110111011001100 " -b1111100100101010100110111011001100 g -#1981 -b1111100100101010100110111011001101 " -b1111100100101010100110111011001101 g -#1982 -b1111100100101010100110111011001110 " -b1111100100101010100110111011001110 g -#1983 -b1111100100101010100110111011001111 " -b1111100100101010100110111011001111 g -#1984 -b1111100100101010100110111011010000 " -b11110 b -b1111100100101010100110111011010000 g -b1111 I" -#1985 -b1111100100101010100110111011010001 " -b11101 b -b1111100100101010100110111011010001 g -b10000 I" -#1986 -b1111100100101010100110111011010010 " -b11100 b -b1111100100101010100110111011010010 g -b0 I" -#1987 -b1111100100101010100110111011010011 " -b1111100100101010100110111011010011 g -#1988 -b1111100100101010100110111011010100 " -b1111100100101010100110111011010100 g -#1989 -b1111100100101010100110111011010101 " -b1111100100101010100110111011010101 g -#1990 -b1111100100101010100110111011010110 " -b1111100100101010100110111011010110 g -#1991 -b1111100100101010100110111011010111 " -b1111100100101010100110111011010111 g -#1992 -b1111100100101010100110111011011000 " -b1111100100101010100110111011011000 g -#1993 -b1111100100101010100110111011011001 " -b1111100100101010100110111011011001 g -#1994 -b1111100100101010100110111011011010 " -b1111100100101010100110111011011010 g -#1995 -b1111100100101010100110111011011011 " -b1111100100101010100110111011011011 g -#1996 -b1111100100101010100110111011011100 " -b1111100100101010100110111011011100 g -#1997 -b1111100100101010100110111011011101 " -b1111100100101010100110111011011101 g -#1998 -b1111100100101010100110111011011110 " -b1111100100101010100110111011011110 g -#1999 -b1111100100101010100110111011011111 " -b1111100100101010100110111011011111 g -#2000 -b1111100100101010100110111011100000 " -b11110 b -b1111100100101010100110111011100000 g -#2001 -b1111100100101010100110111011100001 " -b11101 b -b1111100100101010100110111011100001 g -b10000 I" -#2002 -b1111100100101010100110111011100010 " -b11100 b -b1111100100101010100110111011100010 g -b0 I" -#2003 -b1111100100101010100110111011100011 " -b1111100100101010100110111011100011 g -#2004 -b1111100100101010100110111011100100 " -b1111100100101010100110111011100100 g -#2005 -b1111100100101010100110111011100101 " -b1111100100101010100110111011100101 g -#2006 -b1111100100101010100110111011100110 " -b1111100100101010100110111011100110 g -#2007 -b1111100100101010100110111011100111 " -b1111100100101010100110111011100111 g -#2008 -b1111100100101010100110111011101000 " -b1111100100101010100110111011101000 g -#2009 -b1111100100101010100110111011101001 " -b1111100100101010100110111011101001 g -#2010 -b1111100100101010100110111011101010 " -b1111100100101010100110111011101010 g -#2011 -b1111100100101010100110111011101011 " -b1111100100101010100110111011101011 g -#2012 -b1111100100101010100110111011101100 " -b1111100100101010100110111011101100 g -#2013 -b1111100100101010100110111011101101 " -b1111100100101010100110111011101101 g -#2014 -b1111100100101010100110111011101110 " -b1111100100101010100110111011101110 g -#2015 -b1111100100101010100110111011101111 " -b1111100100101010100110111011101111 g -#2016 -b1111100100101010100110111011110000 " -b11110 b -b1111100100101010100110111011110000 g -#2017 -b1111100100101010100110111011110001 " -b11101 b -b1111100100101010100110111011110001 g -#2018 -b1111100100101010100110111011110010 " -b11100 b -b1111100100101010100110111011110010 g -#2019 -b1111100100101010100110111011110011 " -b1111100100101010100110111011110011 g -#2020 -b1111100100101010100110111011110100 " -b1111100100101010100110111011110100 g -#2021 -b1111100100101010100110111011110101 " -b1111100100101010100110111011110101 g -#2022 -b1111100100101010100110111011110110 " -b1111100100101010100110111011110110 g -#2023 -b1111100100101010100110111011110111 " -b1111100100101010100110111011110111 g -#2024 -b1111100100101010100110111011111000 " -b1111100100101010100110111011111000 g -#2025 -b1111100100101010100110111011111001 " -b1111100100101010100110111011111001 g -#2026 -b1111100100101010100110111011111010 " -b1111100100101010100110111011111010 g -#2027 -b1111100100101010100110111011111011 " -b1111100100101010100110111011111011 g -#2028 -b1111100100101010100110111011111100 " -b1111100100101010100110111011111100 g -#2029 -b1111100100101010100110111011111101 " -b1111100100101010100110111011111101 g -#2030 -b1111100100101010100110111011111110 " -b1111100100101010100110111011111110 g -#2031 -b1111100100101010100110111011111111 " -b1111100100101010100110111011111111 g -#2032 -b1111100100101010100110111100000000 " -b11110 b -b1111100100101010100110111100000000 g -b1111 I" -#2033 -b1111100100101010100110111100000001 " -b11101 b -b1111100100101010100110111100000001 g -b10000 I" -#2034 -b1111100100101010100110111100000010 " -b11100 b -b1111100100101010100110111100000010 g -b0 I" -#2035 -b1111100100101010100110111100000011 " -b1111100100101010100110111100000011 g -#2036 -b1111100100101010100110111100000100 " -b1111100100101010100110111100000100 g -#2037 -b1111100100101010100110111100000101 " -b1111100100101010100110111100000101 g -#2038 -b1111100100101010100110111100000110 " -b1111100100101010100110111100000110 g -#2039 -b1111100100101010100110111100000111 " -b1111100100101010100110111100000111 g -#2040 -b1111100100101010100110111100001000 " -b1111100100101010100110111100001000 g -#2041 -b1111100100101010100110111100001001 " -b1111100100101010100110111100001001 g -#2042 -b1111100100101010100110111100001010 " -b1111100100101010100110111100001010 g -#2043 -b1111100100101010100110111100001011 " -b1111100100101010100110111100001011 g -#2044 -b1111100100101010100110111100001100 " -b1111100100101010100110111100001100 g -#2045 -b1111100100101010100110111100001101 " -b1111100100101010100110111100001101 g -#2046 -b1111100100101010100110111100001110 " -b1111100100101010100110111100001110 g -#2047 -b1111100100101010100110111100001111 " -b1111100100101010100110111100001111 g -#2048 -b1111100100101010100110111100010000 " -b11110 b -b1111100100101010100110111100010000 g -b1111 I" -#2049 -b1111100100101010100110111100010001 " -b11101 b -b1111100100101010100110111100010001 g -b10000 I" -#2050 -b1111100100101010100110111100010010 " -b11100 b -b1111100100101010100110111100010010 g -b0 I" -#2051 -b1111100100101010100110111100010011 " -b1111100100101010100110111100010011 g -#2052 -b1111100100101010100110111100010100 " -b1111100100101010100110111100010100 g -#2053 -b1111100100101010100110111100010101 " -b1111100100101010100110111100010101 g -#2054 -b1111100100101010100110111100010110 " -b1111100100101010100110111100010110 g -#2055 -b1111100100101010100110111100010111 " -b1111100100101010100110111100010111 g -#2056 -b1111100100101010100110111100011000 " -b1111100100101010100110111100011000 g -#2057 -b1111100100101010100110111100011001 " -b1111100100101010100110111100011001 g -#2058 -b1111100100101010100110111100011010 " -b1111100100101010100110111100011010 g -#2059 -b1111100100101010100110111100011011 " -b1111100100101010100110111100011011 g -#2060 -b1111100100101010100110111100011100 " -b1111100100101010100110111100011100 g -#2061 -b1111100100101010100110111100011101 " -b1111100100101010100110111100011101 g -#2062 -b1111100100101010100110111100011110 " -b1111100100101010100110111100011110 g -#2063 -b1111100100101010100110111100011111 " -b1111100100101010100110111100011111 g -#2064 -b1111100100101010100110111100100000 " -b11110 b -b1111100100101010100110111100100000 g -b1111 I" -#2065 -b1111100100101010100110111100100001 " -b11101 b -b1111100100101010100110111100100001 g -b10000 I" -#2066 -b1111100100101010100110111100100010 " -b11100 b -b1111100100101010100110111100100010 g -b0 I" -#2067 -b1111100100101010100110111100100011 " -b1111100100101010100110111100100011 g -#2068 -b1111100100101010100110111100100100 " -b1111100100101010100110111100100100 g -#2069 -b1111100100101010100110111100100101 " -b1111100100101010100110111100100101 g -#2070 -b1111100100101010100110111100100110 " -b1111100100101010100110111100100110 g -#2071 -b1111100100101010100110111100100111 " -b1111100100101010100110111100100111 g -#2072 -b1111100100101010100110111100101000 " -b1111100100101010100110111100101000 g -#2073 -b1111100100101010100110111100101001 " -b1111100100101010100110111100101001 g -#2074 -b1111100100101010100110111100101010 " -b1111100100101010100110111100101010 g -#2075 -b1111100100101010100110111100101011 " -b1111100100101010100110111100101011 g -#2076 -b1111100100101010100110111100101100 " -b1111100100101010100110111100101100 g -#2077 -b1111100100101010100110111100101101 " -b1111100100101010100110111100101101 g -#2078 -b1111100100101010100110111100101110 " -b1111100100101010100110111100101110 g -#2079 -b1111100100101010100110111100101111 " -b1111100100101010100110111100101111 g -#2080 -b1111100100101010100110111100110000 " -b11110 b -b1111100100101010100110111100110000 g -b1111 I" -#2081 -b1111100100101010100110111100110001 " -b11101 b -b1111100100101010100110111100110001 g -b10000 I" -#2082 -b1111100100101010100110111100110010 " -b11100 b -b1111100100101010100110111100110010 g -b0 I" -#2083 -b1111100100101010100110111100110011 " -b1111100100101010100110111100110011 g -#2084 -b1111100100101010100110111100110100 " -b1111100100101010100110111100110100 g -#2085 -b1111100100101010100110111100110101 " -b1111100100101010100110111100110101 g -#2086 -b1111100100101010100110111100110110 " -b1111100100101010100110111100110110 g -#2087 -b1111100100101010100110111100110111 " -b1111100100101010100110111100110111 g -#2088 -b1111100100101010100110111100111000 " -b1111100100101010100110111100111000 g -#2089 -b1111100100101010100110111100111001 " -b1111100100101010100110111100111001 g -#2090 -b1111100100101010100110111100111010 " -b1111100100101010100110111100111010 g -#2091 -b1111100100101010100110111100111011 " -b1111100100101010100110111100111011 g -#2092 -b1111100100101010100110111100111100 " -b1111100100101010100110111100111100 g -#2093 -b1111100100101010100110111100111101 " -b1111100100101010100110111100111101 g -#2094 -b1111100100101010100110111100111110 " -b1111100100101010100110111100111110 g -#2095 -b1111100100101010100110111100111111 " -b1111100100101010100110111100111111 g -#2096 -b1111100100101010100110111101000000 " -b11110 b -b1111100100101010100110111101000000 g -b1111 I" -#2097 -b1111100100101010100110111101000001 " -b11101 b -#2098 -b1111100100101010100110111101000010 " -b11100 b -#2099 -b1111100100101010100110111101000011 " -#2100 -b1111100100101010100110111101000100 " -#2101 -b1111100100101010100110111101000101 " -#2102 -b1111100100101010100110111101000110 " -#2103 -b1111100100101010100110111101000111 " -#2104 -b1111100100101010100110111101001000 " -#2105 -b1111100100101010100110111101001001 " -#2106 -b1111100100101010100110111101001010 " -#2107 -b1111100100101010100110111101001011 " -#2108 -b1111100100101010100110111101001100 " -#2109 -b1111100100101010100110111101001101 " -#2110 -b1111100100101010100110111101001110 " -#2111 -b1111100100101010100110111101001111 " -#2112 -b1111100100101010100110111101010000 " -b11110 b -#2113 -b1111100100101010100110111101010001 " -b11101 b -b1111100100101010100110111101010001 g -b10000 I" -#2114 -b1111100100101010100110111101010010 " -b11100 b -b1111100100101010100110111101010010 g -b0 I" -#2115 -b1111100100101010100110111101010011 " -b1111100100101010100110111101010011 g -#2116 -b1111100100101010100110111101010100 " -b1111100100101010100110111101010100 g -#2117 -b1111100100101010100110111101010101 " -b1111100100101010100110111101010101 g -#2118 -b1111100100101010100110111101010110 " -b1111100100101010100110111101010110 g -#2119 -b1111100100101010100110111101010111 " -b1111100100101010100110111101010111 g -#2120 -b1111100100101010100110111101011000 " -b1111100100101010100110111101011000 g -#2121 -b1111100100101010100110111101011001 " -b1111100100101010100110111101011001 g -#2122 -b1111100100101010100110111101011010 " -b1111100100101010100110111101011010 g -#2123 -b1111100100101010100110111101011011 " -b1111100100101010100110111101011011 g -#2124 -b1111100100101010100110111101011100 " -b1111100100101010100110111101011100 g -#2125 -b1111100100101010100110111101011101 " -b1111100100101010100110111101011101 g -#2126 -b1111100100101010100110111101011110 " -b1111100100101010100110111101011110 g -#2127 -b1111100100101010100110111101011111 " -b1111100100101010100110111101011111 g -#2128 -b1111100100101010100110111101100000 " -b11110 b -b1111100100101010100110111101100000 g -b1111 I" -#2129 -b1111100100101010100110111101100001 " -b11101 b -b1111100100101010100110111101100001 g -b10000 I" -#2130 -b1111100100101010100110111101100010 " -b11100 b -b1111100100101010100110111101100010 g -b0 I" -#2131 -b1111100100101010100110111101100011 " -b1111100100101010100110111101100011 g -#2132 -b1111100100101010100110111101100100 " -b1111100100101010100110111101100100 g -#2133 -b1111100100101010100110111101100101 " -b1111100100101010100110111101100101 g -#2134 -b1111100100101010100110111101100110 " -b1111100100101010100110111101100110 g -#2135 -b1111100100101010100110111101100111 " -b1111100100101010100110111101100111 g -#2136 -b1111100100101010100110111101101000 " -b1111100100101010100110111101101000 g -#2137 -b1111100100101010100110111101101001 " -b1111100100101010100110111101101001 g -#2138 -b1111100100101010100110111101101010 " -b1111100100101010100110111101101010 g -#2139 -b1111100100101010100110111101101011 " -b1111100100101010100110111101101011 g -#2140 -b1111100100101010100110111101101100 " -b1111100100101010100110111101101100 g -#2141 -b1111100100101010100110111101101101 " -b1111100100101010100110111101101101 g -#2142 -b1111100100101010100110111101101110 " -b1111100100101010100110111101101110 g -#2143 -b1111100100101010100110111101101111 " -b1111100100101010100110111101101111 g -#2144 -b1111100100101010100110111101110000 " -b11110 b -b1111100100101010100110111101110000 g -b1111 I" -#2145 -b1111100100101010100110111101110001 " -b11101 b -b1111100100101010100110111101110001 g -b10000 I" -#2146 -b1111100100101010100110111101110010 " -b11100 b -b1111100100101010100110111101110010 g -b0 I" -#2147 -b1111100100101010100110111101110011 " -b1111100100101010100110111101110011 g -#2148 -b1111100100101010100110111101110100 " -b1111100100101010100110111101110100 g -#2149 -b1111100100101010100110111101110101 " -b1111100100101010100110111101110101 g -#2150 -b1111100100101010100110111101110110 " -b1111100100101010100110111101110110 g -#2151 -b1111100100101010100110111101110111 " -b1111100100101010100110111101110111 g -#2152 -b1111100100101010100110111101111000 " -b1111100100101010100110111101111000 g -#2153 -b1111100100101010100110111101111001 " -b1111100100101010100110111101111001 g -#2154 -b1111100100101010100110111101111010 " -b1111100100101010100110111101111010 g -#2155 -b1111100100101010100110111101111011 " -b1111100100101010100110111101111011 g -#2156 -b1111100100101010100110111101111100 " -b1111100100101010100110111101111100 g -#2157 -b1111100100101010100110111101111101 " -b1111100100101010100110111101111101 g -#2158 -b1111100100101010100110111101111110 " -b1111100100101010100110111101111110 g -#2159 -b1111100100101010100110111101111111 " -b1111100100101010100110111101111111 g -#2160 -b1111100100101010100110111110000000 " -b11110 b -b1111100100101010100110111110000000 g -b1111 I" -#2161 -b1111100100101010100110111110000001 " -b11101 b -b1111100100101010100110111110000001 g -b10000 I" -#2162 -b1111100100101010100110111110000010 " -b11100 b -b1111100100101010100110111110000010 g -b0 I" -#2163 -b1111100100101010100110111110000011 " -b1111100100101010100110111110000011 g -#2164 -b1111100100101010100110111110000100 " -b1111100100101010100110111110000100 g -#2165 -b1111100100101010100110111110000101 " -b1111100100101010100110111110000101 g -#2166 -b1111100100101010100110111110000110 " -b1111100100101010100110111110000110 g -#2167 -b1111100100101010100110111110000111 " -b1111100100101010100110111110000111 g -#2168 -b1111100100101010100110111110001000 " -b1111100100101010100110111110001000 g -#2169 -b1111100100101010100110111110001001 " -b1111100100101010100110111110001001 g -#2170 -b1111100100101010100110111110001010 " -b1111100100101010100110111110001010 g -#2171 -b1111100100101010100110111110001011 " -b1111100100101010100110111110001011 g -#2172 -b1111100100101010100110111110001100 " -b1111100100101010100110111110001100 g -#2173 -b1111100100101010100110111110001101 " -b1111100100101010100110111110001101 g -#2174 -b1111100100101010100110111110001110 " -b1111100100101010100110111110001110 g -#2175 -b1111100100101010100110111110001111 " -b1111100100101010100110111110001111 g -#2176 -b1111100100101010100110111110010000 " -b11110 b -b1111100100101010100110111110010000 g -b1111 I" -#2177 -b1111100100101010100110111110010001 " -b11101 b -b1111100100101010100110111110010001 g -b10000 I" -#2178 -b1111100100101010100110111110010010 " -b11100 b -b1111100100101010100110111110010010 g -b0 I" -#2179 -b1111100100101010100110111110010011 " -b1111100100101010100110111110010011 g -#2180 -b1111100100101010100110111110010100 " -b1111100100101010100110111110010100 g -#2181 -b1111100100101010100110111110010101 " -b1111100100101010100110111110010101 g -#2182 -b1111100100101010100110111110010110 " -b1111100100101010100110111110010110 g -#2183 -b1111100100101010100110111110010111 " -b1111100100101010100110111110010111 g -#2184 -b1111100100101010100110111110011000 " -b1111100100101010100110111110011000 g -#2185 -b1111100100101010100110111110011001 " -b1111100100101010100110111110011001 g -#2186 -b1111100100101010100110111110011010 " -b1111100100101010100110111110011010 g -#2187 -b1111100100101010100110111110011011 " -b1111100100101010100110111110011011 g -#2188 -b1111100100101010100110111110011100 " -b1111100100101010100110111110011100 g -#2189 -b1111100100101010100110111110011101 " -b1111100100101010100110111110011101 g -#2190 -b1111100100101010100110111110011110 " -b1111100100101010100110111110011110 g -#2191 -b1111100100101010100110111110011111 " -b1111100100101010100110111110011111 g -#2192 -b1111100100101010100110111110100000 " -b11110 b -b1111100100101010100110111110100000 g -#2193 -b1111100100101010100110111110100001 " -b11101 b -b1111100100101010100110111110100001 g -b10000 I" -#2194 -b1111100100101010100110111110100010 " -b11100 b -b1111100100101010100110111110100010 g -b0 I" -#2195 -b1111100100101010100110111110100011 " -b1111100100101010100110111110100011 g -#2196 -b1111100100101010100110111110100100 " -b1111100100101010100110111110100100 g -#2197 -b1111100100101010100110111110100101 " -b1111100100101010100110111110100101 g -#2198 -b1111100100101010100110111110100110 " -b1111100100101010100110111110100110 g -#2199 -b1111100100101010100110111110100111 " -b1111100100101010100110111110100111 g -#2200 -b1111100100101010100110111110101000 " -b1111100100101010100110111110101000 g -#2201 -b1111100100101010100110111110101001 " -b1111100100101010100110111110101001 g -#2202 -b1111100100101010100110111110101010 " -b1111100100101010100110111110101010 g -#2203 -b1111100100101010100110111110101011 " -b1111100100101010100110111110101011 g -#2204 -b1111100100101010100110111110101100 " -b1111100100101010100110111110101100 g -#2205 -b1111100100101010100110111110101101 " -b1111100100101010100110111110101101 g -#2206 -b1111100100101010100110111110101110 " -b1111100100101010100110111110101110 g -#2207 -b1111100100101010100110111110101111 " -b1111100100101010100110111110101111 g -#2208 -b1111100100101010100110111110110000 " -b11110 b -b1111100100101010100110111110110000 g -b1111 I" -#2209 -b1111100100101010100110111110110001 " -b11101 b -b1111100100101010100110111110110001 g -b10000 I" -#2210 -b1111100100101010100110111110110010 " -b11100 b -b1111100100101010100110111110110010 g -b0 I" -#2211 -b1111100100101010100110111110110011 " -b1111100100101010100110111110110011 g -#2212 -b1111100100101010100110111110110100 " -b1111100100101010100110111110110100 g -#2213 -b1111100100101010100110111110110101 " -b1111100100101010100110111110110101 g -#2214 -b1111100100101010100110111110110110 " -b1111100100101010100110111110110110 g -#2215 -b1111100100101010100110111110110111 " -b1111100100101010100110111110110111 g -#2216 -b1111100100101010100110111110111000 " -b1111100100101010100110111110111000 g -#2217 -b1111100100101010100110111110111001 " -b1111100100101010100110111110111001 g -#2218 -b1111100100101010100110111110111010 " -b1111100100101010100110111110111010 g -#2219 -b1111100100101010100110111110111011 " -b1111100100101010100110111110111011 g -#2220 -b1111100100101010100110111110111100 " -b1111100100101010100110111110111100 g -#2221 -b1111100100101010100110111110111101 " -b1111100100101010100110111110111101 g -#2222 -b1111100100101010100110111110111110 " -b1111100100101010100110111110111110 g -#2223 -b1111100100101010100110111110111111 " -b1111100100101010100110111110111111 g -#2224 -b1111100100101010100110111111000000 " -b11110 b -b1111100100101010100110111111000000 g -b1111 I" -#2225 -b1111100100101010100110111111000001 " -b11101 b -b1111100100101010100110111111000001 g -b10000 I" -#2226 -b1111100100101010100110111111000010 " -b11100 b -b1111100100101010100110111111000010 g -b0 I" -#2227 -b1111100100101010100110111111000011 " -b1111100100101010100110111111000011 g -#2228 -b1111100100101010100110111111000100 " -b1111100100101010100110111111000100 g -#2229 -b1111100100101010100110111111000101 " -b1111100100101010100110111111000101 g -#2230 -b1111100100101010100110111111000110 " -b1111100100101010100110111111000110 g -#2231 -b1111100100101010100110111111000111 " -b1111100100101010100110111111000111 g -#2232 -b1111100100101010100110111111001000 " -b1111100100101010100110111111001000 g -#2233 -b1111100100101010100110111111001001 " -b1111100100101010100110111111001001 g -#2234 -b1111100100101010100110111111001010 " -b1111100100101010100110111111001010 g -#2235 -b1111100100101010100110111111001011 " -b1111100100101010100110111111001011 g -#2236 -b1111100100101010100110111111001100 " -b1111100100101010100110111111001100 g -#2237 -b1111100100101010100110111111001101 " -b1111100100101010100110111111001101 g -#2238 -b1111100100101010100110111111001110 " -b1111100100101010100110111111001110 g -#2239 -b1111100100101010100110111111001111 " -b1111100100101010100110111111001111 g -#2240 -b1111100100101010100110111111010000 " -b11110 b -b1111100100101010100110111111010000 g -b1111 I" -#2241 -b1111100100101010100110111111010001 " -b11101 b -b1111100100101010100110111111010001 g -b10000 I" -#2242 -b1111100100101010100110111111010010 " -b11100 b -b1111100100101010100110111111010010 g -b0 I" -#2243 -b1111100100101010100110111111010011 " -b1111100100101010100110111111010011 g -#2244 -b1111100100101010100110111111010100 " -b1111100100101010100110111111010100 g -#2245 -b1111100100101010100110111111010101 " -b1111100100101010100110111111010101 g -#2246 -b1111100100101010100110111111010110 " -b1111100100101010100110111111010110 g -#2247 -b1111100100101010100110111111010111 " -b1111100100101010100110111111010111 g -#2248 -b1111100100101010100110111111011000 " -b1111100100101010100110111111011000 g -#2249 -b1111100100101010100110111111011001 " -b1111100100101010100110111111011001 g -#2250 -b1111100100101010100110111111011010 " -b1111100100101010100110111111011010 g -#2251 -b1111100100101010100110111111011011 " -b1111100100101010100110111111011011 g -#2252 -b1111100100101010100110111111011100 " -b1111100100101010100110111111011100 g -#2253 -b1111100100101010100110111111011101 " -b1111100100101010100110111111011101 g -#2254 -b1111100100101010100110111111011110 " -b1111100100101010100110111111011110 g -#2255 -b1111100100101010100110111111011111 " -b1111100100101010100110111111011111 g -#2256 -b1111100100101010100110111111100000 " -b11110 b -b1111100100101010100110111111100000 g -b1111 I" -#2257 -b1111100100101010100110111111100001 " -b11101 b -b1111100100101010100110111111100001 g -b10000 I" -#2258 -b1111100100101010100110111111100010 " -b11100 b -b1111100100101010100110111111100010 g -b0 I" -#2259 -b1111100100101010100110111111100011 " -b1111100100101010100110111111100011 g -#2260 -b1111100100101010100110111111100100 " -b1111100100101010100110111111100100 g -#2261 -b1111100100101010100110111111100101 " -b1111100100101010100110111111100101 g -#2262 -b1111100100101010100110111111100110 " -b1111100100101010100110111111100110 g -#2263 -b1111100100101010100110111111100111 " -b1111100100101010100110111111100111 g -#2264 -b1111100100101010100110111111101000 " -b1111100100101010100110111111101000 g -#2265 -b1111100100101010100110111111101001 " -b1111100100101010100110111111101001 g -#2266 -b1111100100101010100110111111101010 " -b1111100100101010100110111111101010 g -#2267 -b1111100100101010100110111111101011 " -b1111100100101010100110111111101011 g -#2268 -b1111100100101010100110111111101100 " -b1111100100101010100110111111101100 g -#2269 -b1111100100101010100110111111101101 " -b1111100100101010100110111111101101 g -#2270 -b1111100100101010100110111111101110 " -b1111100100101010100110111111101110 g -#2271 -b1111100100101010100110111111101111 " -b1111100100101010100110111111101111 g -#2272 -b1111100100101010100110111111110000 " -b11110 b -b1111100100101010100110111111110000 g -b1111 I" -#2273 -b1111100100101010100110111111110001 " -b11101 b -b1111100100101010100110111111110001 g -b10000 I" -#2274 -b1111100100101010100110111111110010 " -b11100 b -b1111100100101010100110111111110010 g -b0 I" -#2275 -b1111100100101010100110111111110011 " -b1111100100101010100110111111110011 g -#2276 -b1111100100101010100110111111110100 " -b1111100100101010100110111111110100 g -#2277 -b1111100100101010100110111111110101 " -b1111100100101010100110111111110101 g -#2278 -b1111100100101010100110111111110110 " -b1111100100101010100110111111110110 g -#2279 -b1111100100101010100110111111110111 " -b1111100100101010100110111111110111 g -#2280 -b1111100100101010100110111111111000 " -b1111100100101010100110111111111000 g -#2281 -b1111100100101010100110111111111001 " -b1111100100101010100110111111111001 g -#2282 -b1111100100101010100110111111111010 " -b1111100100101010100110111111111010 g -#2283 -b1111100100101010100110111111111011 " -b1111100100101010100110111111111011 g -#2284 -b1111100100101010100110111111111100 " -b1111100100101010100110111111111100 g -#2285 -b1111100100101010100110111111111101 " -b1111100100101010100110111111111101 g -#2286 -b1111100100101010100110111111111110 " -b1111100100101010100110111111111110 g -#2287 -b1111100100101010100110111111111111 " -b1111100100101010100110111111111111 g -#2288 -b1111100100101010100111000000000000 " -b11110 b -b1111100100101010100111000000000000 g -b1111 I" -#2289 -b1111100100101010100111000000000001 " -b11101 b -b1111100100101010100111000000000001 g -b10000 I" -#2290 -b1111100100101010100111000000000010 " -b11100 b -b1111100100101010100111000000000010 g -b0 I" -#2291 -b1111100100101010100111000000000011 " -b1111100100101010100111000000000011 g -#2292 -b1111100100101010100111000000000100 " -b1111100100101010100111000000000100 g -#2293 -b1111100100101010100111000000000101 " -b1111100100101010100111000000000101 g -#2294 -b1111100100101010100111000000000110 " -b1111100100101010100111000000000110 g -#2295 -b1111100100101010100111000000000111 " -b1111100100101010100111000000000111 g -#2296 -b1111100100101010100111000000001000 " -b1111100100101010100111000000001000 g -#2297 -b1111100100101010100111000000001001 " -b1111100100101010100111000000001001 g -#2298 -b1111100100101010100111000000001010 " -b1111100100101010100111000000001010 g -#2299 -b1111100100101010100111000000001011 " -b1111100100101010100111000000001011 g -#2300 -b1111100100101010100111000000001100 " -b1111100100101010100111000000001100 g -#2301 -b1111100100101010100111000000001101 " -b1111100100101010100111000000001101 g -#2302 -b1111100100101010100111000000001110 " -b1111100100101010100111000000001110 g -#2303 -b1111100100101010100111000000001111 " -b1111100100101010100111000000001111 g -#2304 -b1111100100101010100111000000010000 " -b11110 b -b1111100100101010100111000000010000 g -b1111 I" -#2305 -b1111100100101010100111000000010001 " -b11101 b -b1111100100101010100111000000010001 g -b10000 I" -#2306 -b1111100100101010100111000000010010 " -b11100 b -b1111100100101010100111000000010010 g -b0 I" -#2307 -b1111100100101010100111000000010011 " -b1111100100101010100111000000010011 g -#2308 -b1111100100101010100111000000010100 " -b1111100100101010100111000000010100 g -#2309 -b1111100100101010100111000000010101 " -b1111100100101010100111000000010101 g -#2310 -b1111100100101010100111000000010110 " -b1111100100101010100111000000010110 g -#2311 -b1111100100101010100111000000010111 " -b1111100100101010100111000000010111 g -#2312 -b1111100100101010100111000000011000 " -b1111100100101010100111000000011000 g -#2313 -b1111100100101010100111000000011001 " -b1111100100101010100111000000011001 g -#2314 -b1111100100101010100111000000011010 " -b1111100100101010100111000000011010 g -#2315 -b1111100100101010100111000000011011 " -b1111100100101010100111000000011011 g -#2316 -b1111100100101010100111000000011100 " -b1111100100101010100111000000011100 g -#2317 -b1111100100101010100111000000011101 " -b1111100100101010100111000000011101 g -#2318 -b1111100100101010100111000000011110 " -b1111100100101010100111000000011110 g -#2319 -b1111100100101010100111000000011111 " -b1111100100101010100111000000011111 g -#2320 -b1111100100101010100111000000100000 " -b11110 b -b1111100100101010100111000000100000 g -#2321 -b1111100100101010100111000000100001 " -b11101 b -b1111100100101010100111000000100001 g -b10000 I" -#2322 -b1111100100101010100111000000100010 " -b11100 b -b1111100100101010100111000000100010 g -b0 I" -#2323 -b1111100100101010100111000000100011 " -b1111100100101010100111000000100011 g -#2324 -b1111100100101010100111000000100100 " -b1111100100101010100111000000100100 g -#2325 -b1111100100101010100111000000100101 " -b1111100100101010100111000000100101 g -#2326 -b1111100100101010100111000000100110 " -b1111100100101010100111000000100110 g -#2327 -b1111100100101010100111000000100111 " -b1111100100101010100111000000100111 g -#2328 -b1111100100101010100111000000101000 " -b1111100100101010100111000000101000 g -#2329 -b1111100100101010100111000000101001 " -b1111100100101010100111000000101001 g -#2330 -b1111100100101010100111000000101010 " -b1111100100101010100111000000101010 g -#2331 -b1111100100101010100111000000101011 " -b1111100100101010100111000000101011 g -#2332 -b1111100100101010100111000000101100 " -b1111100100101010100111000000101100 g -#2333 -b1111100100101010100111000000101101 " -b1111100100101010100111000000101101 g -#2334 -b1111100100101010100111000000101110 " -b1111100100101010100111000000101110 g -#2335 -b1111100100101010100111000000101111 " -b1111100100101010100111000000101111 g -#2336 -b1111100100101010100111000000110000 " -b11110 b -b1111100100101010100111000000110000 g -b1111 I" -#2337 -b1111100100101010100111000000110001 " -b11101 b -b1111100100101010100111000000110001 g -b10000 I" -#2338 -b1111100100101010100111000000110010 " -b11100 b -b1111100100101010100111000000110010 g -b0 I" -#2339 -b1111100100101010100111000000110011 " -b1111100100101010100111000000110011 g -#2340 -b1111100100101010100111000000110100 " -b1111100100101010100111000000110100 g -#2341 -b1111100100101010100111000000110101 " -b1111100100101010100111000000110101 g -#2342 -b1111100100101010100111000000110110 " -b1111100100101010100111000000110110 g -#2343 -b1111100100101010100111000000110111 " -b1111100100101010100111000000110111 g -#2344 -b1111100100101010100111000000111000 " -b1111100100101010100111000000111000 g -#2345 -b1111100100101010100111000000111001 " -b1111100100101010100111000000111001 g -#2346 -b1111100100101010100111000000111010 " -b1111100100101010100111000000111010 g -#2347 -b1111100100101010100111000000111011 " -b1111100100101010100111000000111011 g -#2348 -b1111100100101010100111000000111100 " -b1111100100101010100111000000111100 g -#2349 -b1111100100101010100111000000111101 " -b1111100100101010100111000000111101 g -#2350 -b1111100100101010100111000000111110 " -b1111100100101010100111000000111110 g -#2351 -b1111100100101010100111000000111111 " -b1111100100101010100111000000111111 g -#2352 -b1111100100101010100111000001000000 " -b11110 b -b1111100100101010100111000001000000 g -b1111 I" -#2353 -b1111100100101010100111000001000001 " -b11101 b -b1111100100101010100111000001000001 g -b10000 I" -#2354 -b1111100100101010100111000001000010 " -b11100 b -b1111100100101010100111000001000010 g -b0 I" -#2355 -b1111100100101010100111000001000011 " -b1111100100101010100111000001000011 g -#2356 -b1111100100101010100111000001000100 " -b1111100100101010100111000001000100 g -#2357 -b1111100100101010100111000001000101 " -b1111100100101010100111000001000101 g -#2358 -b1111100100101010100111000001000110 " -b1111100100101010100111000001000110 g -#2359 -b1111100100101010100111000001000111 " -b1111100100101010100111000001000111 g -#2360 -b1111100100101010100111000001001000 " -b1111100100101010100111000001001000 g -#2361 -b1111100100101010100111000001001001 " -b1111100100101010100111000001001001 g -#2362 -b1111100100101010100111000001001010 " -b1111100100101010100111000001001010 g -#2363 -b1111100100101010100111000001001011 " -b1111100100101010100111000001001011 g -#2364 -b1111100100101010100111000001001100 " -b1111100100101010100111000001001100 g -#2365 -b1111100100101010100111000001001101 " -b1111100100101010100111000001001101 g -#2366 -b1111100100101010100111000001001110 " -b1111100100101010100111000001001110 g -#2367 -b1111100100101010100111000001001111 " -b1111100100101010100111000001001111 g -#2368 -b1111100100101010100111000001010000 " -b11110 b -b1111100100101010100111000001010000 g -b1111 I" -#2369 -b1111100100101010100111000001010001 " -b11101 b -b1111100100101010100111000001010001 g -b10000 I" -#2370 -b1111100100101010100111000001010010 " -b11100 b -b1111100100101010100111000001010010 g -b0 I" -#2371 -b1111100100101010100111000001010011 " -b1111100100101010100111000001010011 g -#2372 -b1111100100101010100111000001010100 " -b1111100100101010100111000001010100 g -#2373 -b1111100100101010100111000001010101 " -b1111100100101010100111000001010101 g -#2374 -b1111100100101010100111000001010110 " -b1111100100101010100111000001010110 g -#2375 -b1111100100101010100111000001010111 " -b1111100100101010100111000001010111 g -#2376 -b1111100100101010100111000001011000 " -b1111100100101010100111000001011000 g -#2377 -b1111100100101010100111000001011001 " -b1111100100101010100111000001011001 g -#2378 -b1111100100101010100111000001011010 " -b1111100100101010100111000001011010 g -#2379 -b1111100100101010100111000001011011 " -b1111100100101010100111000001011011 g -#2380 -b1111100100101010100111000001011100 " -b1111100100101010100111000001011100 g -#2381 -b1111100100101010100111000001011101 " -b1111100100101010100111000001011101 g -#2382 -b1111100100101010100111000001011110 " -b1111100100101010100111000001011110 g -#2383 -b1111100100101010100111000001011111 " -b1111100100101010100111000001011111 g -#2384 -b1111100100101010100111000001100000 " -b11110 b -b1111100100101010100111000001100000 g -#2385 -b1111100100101010100111000001100001 " -b11101 b -b1111100100101010100111000001100001 g -b10000 I" -#2386 -b1111100100101010100111000001100010 " -b11100 b -b1111100100101010100111000001100010 g -b0 I" -#2387 -b1111100100101010100111000001100011 " -b1111100100101010100111000001100011 g -#2388 -b1111100100101010100111000001100100 " -b1111100100101010100111000001100100 g -#2389 -b1111100100101010100111000001100101 " -b1111100100101010100111000001100101 g -#2390 -b1111100100101010100111000001100110 " -b1111100100101010100111000001100110 g -#2391 -b1111100100101010100111000001100111 " -b1111100100101010100111000001100111 g -#2392 -b1111100100101010100111000001101000 " -b1111100100101010100111000001101000 g -#2393 -b1111100100101010100111000001101001 " -b1111100100101010100111000001101001 g -#2394 -b1111100100101010100111000001101010 " -b1111100100101010100111000001101010 g -#2395 -b1111100100101010100111000001101011 " -b1111100100101010100111000001101011 g -#2396 -b1111100100101010100111000001101100 " -b1111100100101010100111000001101100 g -#2397 -b1111100100101010100111000001101101 " -b1111100100101010100111000001101101 g -#2398 -b1111100100101010100111000001101110 " -b1111100100101010100111000001101110 g -#2399 -b1111100100101010100111000001101111 " -b1111100100101010100111000001101111 g -#2400 -b1111100100101010100111000001110000 " -b11110 b -b1111100100101010100111000001110000 g -b1111 I" -#2401 -b1111100100101010100111000001110001 " -b11101 b -b1111100100101010100111000001110001 g -b10000 I" -#2402 -b1111100100101010100111000001110010 " -b11100 b -b1111100100101010100111000001110010 g -b0 I" -#2403 -b1111100100101010100111000001110011 " -b1111100100101010100111000001110011 g -#2404 -b1111100100101010100111000001110100 " -b1111100100101010100111000001110100 g -#2405 -b1111100100101010100111000001110101 " -b1111100100101010100111000001110101 g -#2406 -b1111100100101010100111000001110110 " -b1111100100101010100111000001110110 g -#2407 -b1111100100101010100111000001110111 " -b1111100100101010100111000001110111 g -#2408 -b1111100100101010100111000001111000 " -b1111100100101010100111000001111000 g -#2409 -b1111100100101010100111000001111001 " -b1111100100101010100111000001111001 g -#2410 -b1111100100101010100111000001111010 " -b1111100100101010100111000001111010 g -#2411 -b1111100100101010100111000001111011 " -b1111100100101010100111000001111011 g -#2412 -b1111100100101010100111000001111100 " -b1111100100101010100111000001111100 g -#2413 -b1111100100101010100111000001111101 " -b1111100100101010100111000001111101 g -#2414 -b1111100100101010100111000001111110 " -b1111100100101010100111000001111110 g -#2415 -b1111100100101010100111000001111111 " -b1111100100101010100111000001111111 g -#2416 -b1111100100101010100111000010000000 " -b11110 b -b1111100100101010100111000010000000 g -b1111 I" -#2417 -b1111100100101010100111000010000001 " -b11101 b -b1111100100101010100111000010000001 g -b10000 I" -#2418 -b1111100100101010100111000010000010 " -b11100 b -b1111100100101010100111000010000010 g -b0 I" -#2419 -b1111100100101010100111000010000011 " -b1111100100101010100111000010000011 g -#2420 -b1111100100101010100111000010000100 " -b1111100100101010100111000010000100 g -#2421 -b1111100100101010100111000010000101 " -b1111100100101010100111000010000101 g -#2422 -b1111100100101010100111000010000110 " -b1111100100101010100111000010000110 g -#2423 -b1111100100101010100111000010000111 " -b1111100100101010100111000010000111 g -#2424 -b1111100100101010100111000010001000 " -b1111100100101010100111000010001000 g -#2425 -b1111100100101010100111000010001001 " -b1111100100101010100111000010001001 g -#2426 -b1111100100101010100111000010001010 " -b1111100100101010100111000010001010 g -#2427 -b1111100100101010100111000010001011 " -b1111100100101010100111000010001011 g -#2428 -b1111100100101010100111000010001100 " -b1111100100101010100111000010001100 g -#2429 -b1111100100101010100111000010001101 " -b1111100100101010100111000010001101 g -#2430 -b1111100100101010100111000010001110 " -b1111100100101010100111000010001110 g -#2431 -b1111100100101010100111000010001111 " -b1111100100101010100111000010001111 g -#2432 -b1111100100101010100111000010010000 " -b11110 b -b1111100100101010100111000010010000 g -#2433 -b1111100100101010100111000010010001 " -b11101 b -b1111100100101010100111000010010001 g -b10000 I" -#2434 -b1111100100101010100111000010010010 " -b11100 b -b1111100100101010100111000010010010 g -b0 I" -#2435 -b1111100100101010100111000010010011 " -b1111100100101010100111000010010011 g -#2436 -b1111100100101010100111000010010100 " -b1111100100101010100111000010010100 g -#2437 -b1111100100101010100111000010010101 " -b1111100100101010100111000010010101 g -#2438 -b1111100100101010100111000010010110 " -b1111100100101010100111000010010110 g -#2439 -b1111100100101010100111000010010111 " -b1111100100101010100111000010010111 g -#2440 -b1111100100101010100111000010011000 " -b1111100100101010100111000010011000 g -#2441 -b1111100100101010100111000010011001 " -b1111100100101010100111000010011001 g -#2442 -b1111100100101010100111000010011010 " -b1111100100101010100111000010011010 g -#2443 -b1111100100101010100111000010011011 " -b1111100100101010100111000010011011 g -#2444 -b1111100100101010100111000010011100 " -b1111100100101010100111000010011100 g -#2445 -b1111100100101010100111000010011101 " -b1111100100101010100111000010011101 g -#2446 -b1111100100101010100111000010011110 " -b1111100100101010100111000010011110 g -#2447 -b1111100100101010100111000010011111 " -b1111100100101010100111000010011111 g -#2448 -b1111100100101010100111000010100000 " -b11110 b -b1111100100101010100111000010100000 g -#2449 -b1111100100101010100111000010100001 " -b11101 b -b1111100100101010100111000010100001 g -b10000 I" -#2450 -b1111100100101010100111000010100010 " -b11100 b -b1111100100101010100111000010100010 g -b0 I" -#2451 -b1111100100101010100111000010100011 " -b1111100100101010100111000010100011 g -#2452 -b1111100100101010100111000010100100 " -b1111100100101010100111000010100100 g -#2453 -b1111100100101010100111000010100101 " -b1111100100101010100111000010100101 g -#2454 -b1111100100101010100111000010100110 " -b1111100100101010100111000010100110 g -#2455 -b1111100100101010100111000010100111 " -b1111100100101010100111000010100111 g -#2456 -b1111100100101010100111000010101000 " -b1111100100101010100111000010101000 g -#2457 -b1111100100101010100111000010101001 " -b1111100100101010100111000010101001 g -#2458 -b1111100100101010100111000010101010 " -b1111100100101010100111000010101010 g -#2459 -b1111100100101010100111000010101011 " -b1111100100101010100111000010101011 g -#2460 -b1111100100101010100111000010101100 " -b1111100100101010100111000010101100 g -#2461 -b1111100100101010100111000010101101 " -b1111100100101010100111000010101101 g -#2462 -b1111100100101010100111000010101110 " -b1111100100101010100111000010101110 g -#2463 -b1111100100101010100111000010101111 " -b1111100100101010100111000010101111 g -#2464 -b1111100100101010100111000010110000 " -b11110 b -b1111100100101010100111000010110000 g -#2465 -b1111100100101010100111000010110001 " -b11101 b -b1111100100101010100111000010110001 g -b10000 I" -#2466 -b1111100100101010100111000010110010 " -b11100 b -b1111100100101010100111000010110010 g -b0 I" -#2467 -b1111100100101010100111000010110011 " -b1111100100101010100111000010110011 g -#2468 -b1111100100101010100111000010110100 " -b1111100100101010100111000010110100 g -#2469 -b1111100100101010100111000010110101 " -b1111100100101010100111000010110101 g -#2470 -b1111100100101010100111000010110110 " -b1111100100101010100111000010110110 g -#2471 -b1111100100101010100111000010110111 " -b1111100100101010100111000010110111 g -#2472 -b1111100100101010100111000010111000 " -b1111100100101010100111000010111000 g -#2473 -b1111100100101010100111000010111001 " -b1111100100101010100111000010111001 g -#2474 -b1111100100101010100111000010111010 " -b1111100100101010100111000010111010 g -#2475 -b1111100100101010100111000010111011 " -b1111100100101010100111000010111011 g -#2476 -b1111100100101010100111000010111100 " -b1111100100101010100111000010111100 g -#2477 -b1111100100101010100111000010111101 " -b1111100100101010100111000010111101 g -#2478 -b1111100100101010100111000010111110 " -b1111100100101010100111000010111110 g -#2479 -b1111100100101010100111000010111111 " -b1111100100101010100111000010111111 g -#2480 -b1111100100101010100111000011000000 " -b11110 b -b1111100100101010100111000011000000 g -b1111 I" -#2481 -b1111100100101010100111000011000001 " -b11101 b -b1111100100101010100111000011000001 g -b10000 I" -#2482 -b1111100100101010100111000011000010 " -b11100 b -b1111100100101010100111000011000010 g -b0 I" -#2483 -b1111100100101010100111000011000011 " -b1111100100101010100111000011000011 g -#2484 -b1111100100101010100111000011000100 " -b1111100100101010100111000011000100 g -#2485 -b1111100100101010100111000011000101 " -b1111100100101010100111000011000101 g -#2486 -b1111100100101010100111000011000110 " -b1111100100101010100111000011000110 g -#2487 -b1111100100101010100111000011000111 " -b1111100100101010100111000011000111 g -#2488 -b1111100100101010100111000011001000 " -b1111100100101010100111000011001000 g -#2489 -b1111100100101010100111000011001001 " -b1111100100101010100111000011001001 g -#2490 -b1111100100101010100111000011001010 " -b1111100100101010100111000011001010 g -#2491 -b1111100100101010100111000011001011 " -b1111100100101010100111000011001011 g -#2492 -b1111100100101010100111000011001100 " -b1111100100101010100111000011001100 g -#2493 -b1111100100101010100111000011001101 " -b1111100100101010100111000011001101 g -#2494 -b1111100100101010100111000011001110 " -b1111100100101010100111000011001110 g -#2495 -b1111100100101010100111000011001111 " -b1111100100101010100111000011001111 g -#2496 -b1111100100101010100111000011010000 " -b11110 b -b1111100100101010100111000011010000 g -b1111 I" -#2497 -b1111100100101010100111000011010001 " -b11101 b -b1111100100101010100111000011010001 g -b10000 I" -#2498 -b1111100100101010100111000011010010 " -b11100 b -b1111100100101010100111000011010010 g -b0 I" -#2499 -b1111100100101010100111000011010011 " -b1111100100101010100111000011010011 g -#2500 -b1111100100101010100111000011010100 " -b1111100100101010100111000011010100 g -#2501 -b1111100100101010100111000011010101 " -b1111100100101010100111000011010101 g -#2502 -b1111100100101010100111000011010110 " -b1111100100101010100111000011010110 g -#2503 -b1111100100101010100111000011010111 " -b1111100100101010100111000011010111 g -#2504 -b1111100100101010100111000011011000 " -b1111100100101010100111000011011000 g -#2505 -b1111100100101010100111000011011001 " -b1111100100101010100111000011011001 g -#2506 -b1111100100101010100111000011011010 " -b1111100100101010100111000011011010 g -#2507 -b1111100100101010100111000011011011 " -b1111100100101010100111000011011011 g -#2508 -b1111100100101010100111000011011100 " -b1111100100101010100111000011011100 g -#2509 -b1111100100101010100111000011011101 " -b1111100100101010100111000011011101 g -#2510 -b1111100100101010100111000011011110 " -b1111100100101010100111000011011110 g -#2511 -b1111100100101010100111000011011111 " -b1111100100101010100111000011011111 g -#2512 -b1111100100101010100111000011100000 " -b11110 b -b1111100100101010100111000011100000 g -b1111 I" -#2513 -b1111100100101010100111000011100001 " -b11101 b -b1111100100101010100111000011100001 g -b10000 I" -#2514 -b1111100100101010100111000011100010 " -b11100 b -b1111100100101010100111000011100010 g -b0 I" -#2515 -b1111100100101010100111000011100011 " -b1111100100101010100111000011100011 g -#2516 -b1111100100101010100111000011100100 " -b1111100100101010100111000011100100 g -#2517 -b1111100100101010100111000011100101 " -b1111100100101010100111000011100101 g -#2518 -b1111100100101010100111000011100110 " -b1111100100101010100111000011100110 g -#2519 -b1111100100101010100111000011100111 " -b1111100100101010100111000011100111 g -#2520 -b1111100100101010100111000011101000 " -b1111100100101010100111000011101000 g -#2521 -b1111100100101010100111000011101001 " -b1111100100101010100111000011101001 g -#2522 -b1111100100101010100111000011101010 " -b1111100100101010100111000011101010 g -#2523 -b1111100100101010100111000011101011 " -b1111100100101010100111000011101011 g -#2524 -b1111100100101010100111000011101100 " -b1111100100101010100111000011101100 g -#2525 -b1111100100101010100111000011101101 " -b1111100100101010100111000011101101 g -#2526 -b1111100100101010100111000011101110 " -b1111100100101010100111000011101110 g -#2527 -b1111100100101010100111000011101111 " -b1111100100101010100111000011101111 g -#2528 -b1111100100101010100111000011110000 " -b11110 b -b1111100100101010100111000011110000 g -#2529 -b1111100100101010100111000011110001 " -b11101 b -b1111100100101010100111000011110001 g -b10000 I" -#2530 -b1111100100101010100111000011110010 " -b11100 b -b1111100100101010100111000011110010 g -b0 I" -#2531 -b1111100100101010100111000011110011 " -b1111100100101010100111000011110011 g -#2532 -b1111100100101010100111000011110100 " -b1111100100101010100111000011110100 g -#2533 -b1111100100101010100111000011110101 " -b1111100100101010100111000011110101 g -#2534 -b1111100100101010100111000011110110 " -b1111100100101010100111000011110110 g -#2535 -b1111100100101010100111000011110111 " -b1111100100101010100111000011110111 g -#2536 -b1111100100101010100111000011111000 " -b1111100100101010100111000011111000 g -#2537 -b1111100100101010100111000011111001 " -b1111100100101010100111000011111001 g -#2538 -b1111100100101010100111000011111010 " -b1111100100101010100111000011111010 g -#2539 -b1111100100101010100111000011111011 " -b1111100100101010100111000011111011 g -#2540 -b1111100100101010100111000011111100 " -b1111100100101010100111000011111100 g -#2541 -b1111100100101010100111000011111101 " -b1111100100101010100111000011111101 g -#2542 -b1111100100101010100111000011111110 " -b1111100100101010100111000011111110 g -#2543 -b1111100100101010100111000011111111 " -b1111100100101010100111000011111111 g -#2544 -b1111100100101010100111000100000000 " -b11110 b -b1111100100101010100111000100000000 g -b1111 I" -#2545 -b1111100100101010100111000100000001 " -b11101 b -b1111100100101010100111000100000001 g -b10000 I" -#2546 -b1111100100101010100111000100000010 " -b11100 b -b1111100100101010100111000100000010 g -b0 I" -#2547 -b1111100100101010100111000100000011 " -b1111100100101010100111000100000011 g -#2548 -b1111100100101010100111000100000100 " -b1111100100101010100111000100000100 g -#2549 -b1111100100101010100111000100000101 " -b1111100100101010100111000100000101 g -#2550 -b1111100100101010100111000100000110 " -b1111100100101010100111000100000110 g -#2551 -b1111100100101010100111000100000111 " -b1111100100101010100111000100000111 g -#2552 -b1111100100101010100111000100001000 " -b1111100100101010100111000100001000 g -#2553 -b1111100100101010100111000100001001 " -b1111100100101010100111000100001001 g -#2554 -b1111100100101010100111000100001010 " -b1111100100101010100111000100001010 g -#2555 -b1111100100101010100111000100001011 " -b1111100100101010100111000100001011 g -#2556 -b1111100100101010100111000100001100 " -b1111100100101010100111000100001100 g -#2557 -b1111100100101010100111000100001101 " -b1111100100101010100111000100001101 g -#2558 -b1111100100101010100111000100001110 " -b1111100100101010100111000100001110 g -#2559 -b1111100100101010100111000100001111 " -b1111100100101010100111000100001111 g -#2560 -b1111100100101010100111000100010000 " -b11110 b -b1111100100101010100111000100010000 g -b1111 I" -#2561 -b1111100100101010100111000100010001 " -b11101 b -#2562 -b1111100100101010100111000100010010 " -b11100 b -#2563 -b1111100100101010100111000100010011 " -#2564 -b1111100100101010100111000100010100 " -#2565 -b1111100100101010100111000100010101 " -#2566 -b1111100100101010100111000100010110 " -#2567 -b1111100100101010100111000100010111 " -#2568 -b1111100100101010100111000100011000 " -#2569 -b1111100100101010100111000100011001 " -#2570 -b1111100100101010100111000100011010 " -#2571 -b1111100100101010100111000100011011 " -#2572 -b1111100100101010100111000100011100 " -#2573 -b1111100100101010100111000100011101 " -#2574 -b1111100100101010100111000100011110 " -#2575 -b1111100100101010100111000100011111 " -#2576 -b1111100100101010100111000100100000 " -b11110 b -b1111100100101010100111000100100001 g -b10000 I" -#2577 -b1111100100101010100111000100100001 " -b11101 b -b1111100100101010100111000100100010 g -b0 I" -#2578 -b1111100100101010100111000100100010 " -b11100 b -b1111100100101010100111000100100011 g -#2579 -b1111100100101010100111000100100011 " -#2580 -b1111100100101010100111000100100100 " -b1111100100101010100111000100100100 g -#2581 -b1111100100101010100111000100100101 " -b1111100100101010100111000100100101 g -#2582 -b1111100100101010100111000100100110 " -b1111100100101010100111000100100110 g -#2583 -b1111100100101010100111000100100111 " -b1111100100101010100111000100100111 g -#2584 -b1111100100101010100111000100101000 " -b1111100100101010100111000100101000 g -#2585 -b1111100100101010100111000100101001 " -b1111100100101010100111000100101001 g -#2586 -b1111100100101010100111000100101010 " -b1111100100101010100111000100101010 g -#2587 -b1111100100101010100111000100101011 " -b1111100100101010100111000100101011 g -#2588 -b1111100100101010100111000100101100 " -b1111100100101010100111000100101100 g -#2589 -b1111100100101010100111000100101101 " -b1111100100101010100111000100101101 g -#2590 -b1111100100101010100111000100101110 " -b1111100100101010100111000100101110 g -#2591 -b1111100100101010100111000100101111 " -b1111100100101010100111000100101111 g -#2592 -b1111100100101010100111000100110000 " -b11110 b -b1111100100101010100111000100110000 g -b1111 I" -#2593 -b1111100100101010100111000100110001 " -b11101 b -b1111100100101010100111000100110001 g -b10000 I" -#2594 -b1111100100101010100111000100110010 " -b11100 b -b1111100100101010100111000100110010 g -b0 I" -#2595 -b1111100100101010100111000100110011 " -b1111100100101010100111000100110011 g -#2596 -b1111100100101010100111000100110100 " -b1111100100101010100111000100110100 g -#2597 -b1111100100101010100111000100110101 " -b1111100100101010100111000100110101 g -#2598 -b1111100100101010100111000100110110 " -b1111100100101010100111000100110110 g -#2599 -b1111100100101010100111000100110111 " -b1111100100101010100111000100110111 g -#2600 -b1111100100101010100111000100111000 " -b1111100100101010100111000100111000 g -#2601 -b1111100100101010100111000100111001 " -b1111100100101010100111000100111001 g -#2602 -b1111100100101010100111000100111010 " -b1111100100101010100111000100111010 g -#2603 -b1111100100101010100111000100111011 " -b1111100100101010100111000100111011 g -#2604 -b1111100100101010100111000100111100 " -b1111100100101010100111000100111100 g -#2605 -b1111100100101010100111000100111101 " -b1111100100101010100111000100111101 g -#2606 -b1111100100101010100111000100111110 " -b1111100100101010100111000100111110 g -#2607 -b1111100100101010100111000100111111 " -b1111100100101010100111000100111111 g -#2608 -b1111100100101010100111000101000000 " -b11110 b -b1111100100101010100111000101000000 g -b1111 I" -#2609 -b1111100100101010100111000101000001 " -b11101 b -b1111100100101010100111000101000001 g -b10000 I" -#2610 -b1111100100101010100111000101000010 " -b11100 b -b1111100100101010100111000101000010 g -b0 I" -#2611 -b1111100100101010100111000101000011 " -b1111100100101010100111000101000011 g -#2612 -b1111100100101010100111000101000100 " -b1111100100101010100111000101000100 g -#2613 -b1111100100101010100111000101000101 " -b1111100100101010100111000101000101 g -#2614 -b1111100100101010100111000101000110 " -b1111100100101010100111000101000110 g -#2615 -b1111100100101010100111000101000111 " -b1111100100101010100111000101000111 g -#2616 -b1111100100101010100111000101001000 " -b1111100100101010100111000101001000 g -#2617 -b1111100100101010100111000101001001 " -b1111100100101010100111000101001001 g -#2618 -b1111100100101010100111000101001010 " -b1111100100101010100111000101001010 g -#2619 -b1111100100101010100111000101001011 " -b1111100100101010100111000101001011 g -#2620 -b1111100100101010100111000101001100 " -b1111100100101010100111000101001100 g -#2621 -b1111100100101010100111000101001101 " -b1111100100101010100111000101001101 g -#2622 -b1111100100101010100111000101001110 " -b1111100100101010100111000101001110 g -#2623 -b1111100100101010100111000101001111 " -b1111100100101010100111000101001111 g -#2624 -b1111100100101010100111000101010000 " -b11110 b -b1111100100101010100111000101010000 g -b1111 I" -#2625 -b1111100100101010100111000101010001 " -b11101 b -b1111100100101010100111000101010001 g -b10000 I" -#2626 -b1111100100101010100111000101010010 " -b11100 b -b1111100100101010100111000101010010 g -b0 I" -#2627 -b1111100100101010100111000101010011 " -b1111100100101010100111000101010011 g -#2628 -b1111100100101010100111000101010100 " -b1111100100101010100111000101010100 g -#2629 -b1111100100101010100111000101010101 " -b1111100100101010100111000101010101 g -#2630 -b1111100100101010100111000101010110 " -b1111100100101010100111000101010110 g -#2631 -b1111100100101010100111000101010111 " -b1111100100101010100111000101010111 g -#2632 -b1111100100101010100111000101011000 " -b1111100100101010100111000101011000 g -#2633 -b1111100100101010100111000101011001 " -b1111100100101010100111000101011001 g -#2634 -b1111100100101010100111000101011010 " -b1111100100101010100111000101011010 g -#2635 -b1111100100101010100111000101011011 " -b1111100100101010100111000101011011 g -#2636 -b1111100100101010100111000101011100 " -b1111100100101010100111000101011100 g -#2637 -b1111100100101010100111000101011101 " -b1111100100101010100111000101011101 g -#2638 -b1111100100101010100111000101011110 " -b1111100100101010100111000101011110 g -#2639 -b1111100100101010100111000101011111 " -b1111100100101010100111000101011111 g -#2640 -b1111100100101010100111000101100000 " -b11110 b -b1111100100101010100111000101100000 g -#2641 -b1111100100101010100111000101100001 " -b11101 b -b1111100100101010100111000101100001 g -#2642 -b1111100100101010100111000101100010 " -b11100 b -b1111100100101010100111000101100010 g -#2643 -b1111100100101010100111000101100011 " -b1111100100101010100111000101100011 g -#2644 -b1111100100101010100111000101100100 " -b1111100100101010100111000101100100 g -#2645 -b1111100100101010100111000101100101 " -b1111100100101010100111000101100101 g -#2646 -b1111100100101010100111000101100110 " -b1111100100101010100111000101100110 g -#2647 -b1111100100101010100111000101100111 " -b1111100100101010100111000101100111 g -#2648 -b1111100100101010100111000101101000 " -b1111100100101010100111000101101000 g -#2649 -b1111100100101010100111000101101001 " -b1111100100101010100111000101101001 g -#2650 -b1111100100101010100111000101101010 " -b1111100100101010100111000101101010 g -#2651 -b1111100100101010100111000101101011 " -b1111100100101010100111000101101011 g -#2652 -b1111100100101010100111000101101100 " -b1111100100101010100111000101101100 g -#2653 -b1111100100101010100111000101101101 " -b1111100100101010100111000101101101 g -#2654 -b1111100100101010100111000101101110 " -b1111100100101010100111000101101110 g -#2655 -b1111100100101010100111000101101111 " -b1111100100101010100111000101101111 g -#2656 -b1111100100101010100111000101110000 " -b11110 b -b1111100100101010100111000101110000 g -b1111 I" -#2657 -b1111100100101010100111000101110001 " -b11101 b -b1111100100101010100111000101110001 g -b10000 I" -#2658 -b1111100100101010100111000101110010 " -b11100 b -b1111100100101010100111000101110010 g -b0 I" -#2659 -b1111100100101010100111000101110011 " -b1111100100101010100111000101110011 g -#2660 -b1111100100101010100111000101110100 " -b1111100100101010100111000101110100 g -#2661 -b1111100100101010100111000101110101 " -b1111100100101010100111000101110101 g -#2662 -b1111100100101010100111000101110110 " -b1111100100101010100111000101110110 g -#2663 -b1111100100101010100111000101110111 " -b1111100100101010100111000101110111 g -#2664 -b1111100100101010100111000101111000 " -b1111100100101010100111000101111000 g -#2665 -b1111100100101010100111000101111001 " -b1111100100101010100111000101111001 g -#2666 -b1111100100101010100111000101111010 " -b1111100100101010100111000101111010 g -#2667 -b1111100100101010100111000101111011 " -b1111100100101010100111000101111011 g -#2668 -b1111100100101010100111000101111100 " -b1111100100101010100111000101111100 g -#2669 -b1111100100101010100111000101111101 " -b1111100100101010100111000101111101 g -#2670 -b1111100100101010100111000101111110 " -b1111100100101010100111000101111110 g -#2671 -b1111100100101010100111000101111111 " -b1111100100101010100111000101111111 g -#2672 -b1111100100101010100111000110000000 " -b11110 b -b1111100100101010100111000110000000 g -b1111 I" -#2673 -b1111100100101010100111000110000001 " -b11101 b -b1111100100101010100111000110000001 g -b10000 I" -#2674 -b1111100100101010100111000110000010 " -b11100 b -b1111100100101010100111000110000010 g -b0 I" -#2675 -b1111100100101010100111000110000011 " -b1111100100101010100111000110000011 g -#2676 -b1111100100101010100111000110000100 " -b1111100100101010100111000110000100 g -#2677 -b1111100100101010100111000110000101 " -b1111100100101010100111000110000101 g -#2678 -b1111100100101010100111000110000110 " -b1111100100101010100111000110000110 g -#2679 -b1111100100101010100111000110000111 " -b1111100100101010100111000110000111 g -#2680 -b1111100100101010100111000110001000 " -b1111100100101010100111000110001000 g -#2681 -b1111100100101010100111000110001001 " -b1111100100101010100111000110001001 g -#2682 -b1111100100101010100111000110001010 " -b1111100100101010100111000110001010 g -#2683 -b1111100100101010100111000110001011 " -b1111100100101010100111000110001011 g -#2684 -b1111100100101010100111000110001100 " -b1111100100101010100111000110001100 g -#2685 -b1111100100101010100111000110001101 " -b1111100100101010100111000110001101 g -#2686 -b1111100100101010100111000110001110 " -b1111100100101010100111000110001110 g -#2687 -b1111100100101010100111000110001111 " -b1111100100101010100111000110001111 g -#2688 -b1111100100101010100111000110010000 " -b11110 b -b1111100100101010100111000110010000 g -#2689 -b1111100100101010100111000110010001 " -b11101 b -b1111100100101010100111000110010001 g -#2690 -b1111100100101010100111000110010010 " -b11100 b -b1111100100101010100111000110010010 g -#2691 -b1111100100101010100111000110010011 " -b1111100100101010100111000110010011 g -#2692 -b1111100100101010100111000110010100 " -b1111100100101010100111000110010100 g -#2693 -b1111100100101010100111000110010101 " -b1111100100101010100111000110010101 g -#2694 -b1111100100101010100111000110010110 " -b1111100100101010100111000110010110 g -#2695 -b1111100100101010100111000110010111 " -b1111100100101010100111000110010111 g -#2696 -b1111100100101010100111000110011000 " -b1111100100101010100111000110011000 g -#2697 -b1111100100101010100111000110011001 " -b1111100100101010100111000110011001 g -#2698 -b1111100100101010100111000110011010 " -b1111100100101010100111000110011010 g -#2699 -b1111100100101010100111000110011011 " -b1111100100101010100111000110011011 g -#2700 -b1111100100101010100111000110011100 " -b1111100100101010100111000110011100 g -#2701 -b1111100100101010100111000110011101 " -b1111100100101010100111000110011101 g -#2702 -b1111100100101010100111000110011110 " -b1111100100101010100111000110011110 g -#2703 -b1111100100101010100111000110011111 " -b1111100100101010100111000110011111 g -#2704 -b1111100100101010100111000110100000 " -b11110 b -b1111100100101010100111000110100000 g -b1111 I" -#2705 -b1111100100101010100111000110100001 " -b11101 b -b1111100100101010100111000110100001 g -b10000 I" -#2706 -b1111100100101010100111000110100010 " -b11100 b -b1111100100101010100111000110100010 g -b0 I" -#2707 -b1111100100101010100111000110100011 " -b1111100100101010100111000110100011 g -#2708 -b1111100100101010100111000110100100 " -b1111100100101010100111000110100100 g -#2709 -b1111100100101010100111000110100101 " -b1111100100101010100111000110100101 g -#2710 -b1111100100101010100111000110100110 " -b1111100100101010100111000110100110 g -#2711 -b1111100100101010100111000110100111 " -b1111100100101010100111000110100111 g -#2712 -b1111100100101010100111000110101000 " -b1111100100101010100111000110101000 g -#2713 -b1111100100101010100111000110101001 " -b1111100100101010100111000110101001 g -#2714 -b1111100100101010100111000110101010 " -b1111100100101010100111000110101010 g -#2715 -b1111100100101010100111000110101011 " -b1111100100101010100111000110101011 g -#2716 -b1111100100101010100111000110101100 " -b1111100100101010100111000110101100 g -#2717 -b1111100100101010100111000110101101 " -b1111100100101010100111000110101101 g -#2718 -b1111100100101010100111000110101110 " -b1111100100101010100111000110101110 g -#2719 -b1111100100101010100111000110101111 " -b1111100100101010100111000110101111 g -#2720 -b1111100100101010100111000110110000 " -b11110 b -b1111100100101010100111000110110000 g -#2721 -b1111100100101010100111000110110001 " -b11101 b -b1111100100101010100111000110110001 g -#2722 -b1111100100101010100111000110110010 " -b11100 b -b1111100100101010100111000110110010 g -#2723 -b1111100100101010100111000110110011 " -b1111100100101010100111000110110011 g -#2724 -b1111100100101010100111000110110100 " -b1111100100101010100111000110110100 g -#2725 -b1111100100101010100111000110110101 " -b1111100100101010100111000110110101 g -#2726 -b1111100100101010100111000110110110 " -b1111100100101010100111000110110110 g -#2727 -b1111100100101010100111000110110111 " -b1111100100101010100111000110110111 g -#2728 -b1111100100101010100111000110111000 " -b1111100100101010100111000110111000 g -#2729 -b1111100100101010100111000110111001 " -b1111100100101010100111000110111001 g -#2730 -b1111100100101010100111000110111010 " -b1111100100101010100111000110111010 g -#2731 -b1111100100101010100111000110111011 " -b1111100100101010100111000110111011 g -#2732 -b1111100100101010100111000110111100 " -b1111100100101010100111000110111100 g -#2733 -b1111100100101010100111000110111101 " -b1111100100101010100111000110111101 g -#2734 -b1111100100101010100111000110111110 " -b1111100100101010100111000110111110 g -#2735 -b1111100100101010100111000110111111 " -b1111100100101010100111000110111111 g -#2736 -b1111100100101010100111000111000000 " -b11110 b -b1111100100101010100111000111000000 g -b1111 I" -#2737 -b1111100100101010100111000111000001 " -b11101 b -b1111100100101010100111000111000001 g -b10000 I" -#2738 -b1111100100101010100111000111000010 " -b11100 b -b1111100100101010100111000111000010 g -b0 I" -#2739 -b1111100100101010100111000111000011 " -b1111100100101010100111000111000011 g -#2740 -b1111100100101010100111000111000100 " -b1111100100101010100111000111000100 g -#2741 -b1111100100101010100111000111000101 " -b1111100100101010100111000111000101 g -#2742 -b1111100100101010100111000111000110 " -b1111100100101010100111000111000110 g -#2743 -b1111100100101010100111000111000111 " -b1111100100101010100111000111000111 g -#2744 -b1111100100101010100111000111001000 " -b1111100100101010100111000111001000 g -#2745 -b1111100100101010100111000111001001 " -b1111100100101010100111000111001001 g -#2746 -b1111100100101010100111000111001010 " -b1111100100101010100111000111001010 g -#2747 -b1111100100101010100111000111001011 " -b1111100100101010100111000111001011 g -#2748 -b1111100100101010100111000111001100 " -b1111100100101010100111000111001100 g -#2749 -b1111100100101010100111000111001101 " -b1111100100101010100111000111001101 g -#2750 -b1111100100101010100111000111001110 " -b1111100100101010100111000111001110 g -#2751 -b1111100100101010100111000111001111 " -b1111100100101010100111000111001111 g -#2752 -b1111100100101010100111000111010000 " -b11110 b -b1111100100101010100111000111010000 g -#2753 -b1111100100101010100111000111010001 " -b11101 b -b1111100100101010100111000111010001 g -#2754 -b1111100100101010100111000111010010 " -b11100 b -b1111100100101010100111000111010010 g -#2755 -b1111100100101010100111000111010011 " -b1111100100101010100111000111010011 g -#2756 -b1111100100101010100111000111010100 " -b1111100100101010100111000111010100 g -#2757 -b1111100100101010100111000111010101 " -b1111100100101010100111000111010101 g -#2758 -b1111100100101010100111000111010110 " -b1111100100101010100111000111010110 g -#2759 -b1111100100101010100111000111010111 " -b1111100100101010100111000111010111 g -#2760 -b1111100100101010100111000111011000 " -b1111100100101010100111000111011000 g -#2761 -b1111100100101010100111000111011001 " -b1111100100101010100111000111011001 g -#2762 -b1111100100101010100111000111011010 " -b1111100100101010100111000111011010 g -#2763 -b1111100100101010100111000111011011 " -b1111100100101010100111000111011011 g -#2764 -b1111100100101010100111000111011100 " -b1111100100101010100111000111011100 g -#2765 -b1111100100101010100111000111011101 " -b1111100100101010100111000111011101 g -#2766 -b1111100100101010100111000111011110 " -b1111100100101010100111000111011110 g -#2767 -b1111100100101010100111000111011111 " -b1111100100101010100111000111011111 g -#2768 -b1111100100101010100111000111100000 " -b11110 b -b1111100100101010100111000111100000 g -b1111 I" -#2769 -b1111100100101010100111000111100001 " -b11101 b -b1111100100101010100111000111100001 g -b10000 I" -#2770 -b1111100100101010100111000111100010 " -b11100 b -b1111100100101010100111000111100010 g -b0 I" -#2771 -b1111100100101010100111000111100011 " -b1111100100101010100111000111100011 g -#2772 -b1111100100101010100111000111100100 " -b1111100100101010100111000111100100 g -#2773 -b1111100100101010100111000111100101 " -b1111100100101010100111000111100101 g -#2774 -b1111100100101010100111000111100110 " -b1111100100101010100111000111100110 g -#2775 -b1111100100101010100111000111100111 " -b1111100100101010100111000111100111 g -#2776 -b1111100100101010100111000111101000 " -b1111100100101010100111000111101000 g -#2777 -b1111100100101010100111000111101001 " -b1111100100101010100111000111101001 g -#2778 -b1111100100101010100111000111101010 " -b1111100100101010100111000111101010 g -#2779 -b1111100100101010100111000111101011 " -b1111100100101010100111000111101011 g -#2780 -b1111100100101010100111000111101100 " -b1111100100101010100111000111101100 g -#2781 -b1111100100101010100111000111101101 " -b1111100100101010100111000111101101 g -#2782 -b1111100100101010100111000111101110 " -b1111100100101010100111000111101110 g -#2783 -b1111100100101010100111000111101111 " -b1111100100101010100111000111101111 g -#2784 -b1111100100101010100111000111110000 " -b11110 b -b1111100100101010100111000111110000 g -b1111 I" -#2785 -b1111100100101010100111000111110001 " -b11101 b -b1111100100101010100111000111110001 g -b10000 I" -#2786 -b1111100100101010100111000111110010 " -b11100 b -b1111100100101010100111000111110010 g -b0 I" -#2787 -b1111100100101010100111000111110011 " -b1111100100101010100111000111110011 g -#2788 -b1111100100101010100111000111110100 " -b1111100100101010100111000111110100 g -#2789 -b1111100100101010100111000111110101 " -b1111100100101010100111000111110101 g -#2790 -b1111100100101010100111000111110110 " -b1111100100101010100111000111110110 g -#2791 -b1111100100101010100111000111110111 " -b1111100100101010100111000111110111 g -#2792 -b1111100100101010100111000111111000 " -b1111100100101010100111000111111000 g -#2793 -b1111100100101010100111000111111001 " -b1111100100101010100111000111111001 g -#2794 -b1111100100101010100111000111111010 " -b1111100100101010100111000111111010 g -#2795 -b1111100100101010100111000111111011 " -b1111100100101010100111000111111011 g -#2796 -b1111100100101010100111000111111100 " -b1111100100101010100111000111111100 g -#2797 -b1111100100101010100111000111111101 " -b1111100100101010100111000111111101 g -#2798 -b1111100100101010100111000111111110 " -b1111100100101010100111000111111110 g -#2799 -b1111100100101010100111000111111111 " -b1111100100101010100111000111111111 g -#2800 -b1111100100101010100111001000000000 " -b11110 b -b1111100100101010100111001000000000 g -b1111 I" -#2801 -b1111100100101010100111001000000001 " -b11101 b -b1111100100101010100111001000000001 g -b10000 I" -#2802 -b1111100100101010100111001000000010 " -b11100 b -b1111100100101010100111001000000010 g -b0 I" -#2803 -b1111100100101010100111001000000011 " -b1111100100101010100111001000000011 g -#2804 -b1111100100101010100111001000000100 " -b1111100100101010100111001000000100 g -#2805 -b1111100100101010100111001000000101 " -b1111100100101010100111001000000101 g -#2806 -b1111100100101010100111001000000110 " -b1111100100101010100111001000000110 g -#2807 -b1111100100101010100111001000000111 " -b1111100100101010100111001000000111 g -#2808 -b1111100100101010100111001000001000 " -b1111100100101010100111001000001000 g -#2809 -b1111100100101010100111001000001001 " -b1111100100101010100111001000001001 g -#2810 -b1111100100101010100111001000001010 " -b1111100100101010100111001000001010 g -#2811 -b1111100100101010100111001000001011 " -b1111100100101010100111001000001011 g -#2812 -b1111100100101010100111001000001100 " -b1111100100101010100111001000001100 g -#2813 -b1111100100101010100111001000001101 " -b1111100100101010100111001000001101 g -#2814 -b1111100100101010100111001000001110 " -b1111100100101010100111001000001110 g -#2815 -b1111100100101010100111001000001111 " -b1111100100101010100111001000001111 g -#2816 -b1111100100101010100111001000010000 " -b11110 b -b1111100100101010100111001000010000 g -b1111 I" -#2817 -b1111100100101010100111001000010001 " -b11101 b -b1111100100101010100111001000010001 g -b10000 I" -#2818 -b1111100100101010100111001000010010 " -b11100 b -b1111100100101010100111001000010010 g -b0 I" -#2819 -b1111100100101010100111001000010011 " -b1111100100101010100111001000010011 g -#2820 -b1111100100101010100111001000010100 " -b1111100100101010100111001000010100 g -#2821 -b1111100100101010100111001000010101 " -b1111100100101010100111001000010101 g -#2822 -b1111100100101010100111001000010110 " -b1111100100101010100111001000010110 g -#2823 -b1111100100101010100111001000010111 " -b1111100100101010100111001000010111 g -#2824 -b1111100100101010100111001000011000 " -b1111100100101010100111001000011000 g -#2825 -b1111100100101010100111001000011001 " -b1111100100101010100111001000011001 g -#2826 -b1111100100101010100111001000011010 " -b1111100100101010100111001000011010 g -#2827 -b1111100100101010100111001000011011 " -b1111100100101010100111001000011011 g -#2828 -b1111100100101010100111001000011100 " -b1111100100101010100111001000011100 g -#2829 -b1111100100101010100111001000011101 " -b1111100100101010100111001000011101 g -#2830 -b1111100100101010100111001000011110 " -b1111100100101010100111001000011110 g -#2831 -b1111100100101010100111001000011111 " -b1111100100101010100111001000011111 g -#2832 -b1111100100101010100111001000100000 " -b11110 b -b1111100100101010100111001000100000 g -b1111 I" -#2833 -b1111100100101010100111001000100001 " -b11101 b -b1111100100101010100111001000100001 g -b10000 I" -#2834 -b1111100100101010100111001000100010 " -b11100 b -b1111100100101010100111001000100010 g -b0 I" -#2835 -b1111100100101010100111001000100011 " -b1111100100101010100111001000100011 g -#2836 -b1111100100101010100111001000100100 " -b1111100100101010100111001000100100 g -#2837 -b1111100100101010100111001000100101 " -b1111100100101010100111001000100101 g -#2838 -b1111100100101010100111001000100110 " -b1111100100101010100111001000100110 g -#2839 -b1111100100101010100111001000100111 " -b1111100100101010100111001000100111 g -#2840 -b1111100100101010100111001000101000 " -b1111100100101010100111001000101000 g -#2841 -b1111100100101010100111001000101001 " -b1111100100101010100111001000101001 g -#2842 -b1111100100101010100111001000101010 " -b1111100100101010100111001000101010 g -#2843 -b1111100100101010100111001000101011 " -b1111100100101010100111001000101011 g -#2844 -b1111100100101010100111001000101100 " -b1111100100101010100111001000101100 g -#2845 -b1111100100101010100111001000101101 " -b1111100100101010100111001000101101 g -#2846 -b1111100100101010100111001000101110 " -b1111100100101010100111001000101110 g -#2847 -b1111100100101010100111001000101111 " -b1111100100101010100111001000101111 g -#2848 -b1111100100101010100111001000110000 " -b11110 b -b1111100100101010100111001000110000 g -#2849 -b1111100100101010100111001000110001 " -b11101 b -b1111100100101010100111001000110001 g -b10000 I" -#2850 -b1111100100101010100111001000110010 " -b11100 b -b1111100100101010100111001000110010 g -b0 I" -#2851 -b1111100100101010100111001000110011 " -b1111100100101010100111001000110011 g -#2852 -b1111100100101010100111001000110100 " -b1111100100101010100111001000110100 g -#2853 -b1111100100101010100111001000110101 " -b1111100100101010100111001000110101 g -#2854 -b1111100100101010100111001000110110 " -b1111100100101010100111001000110110 g -#2855 -b1111100100101010100111001000110111 " -b1111100100101010100111001000110111 g -#2856 -b1111100100101010100111001000111000 " -b1111100100101010100111001000111000 g -#2857 -b1111100100101010100111001000111001 " -b1111100100101010100111001000111001 g -#2858 -b1111100100101010100111001000111010 " -b1111100100101010100111001000111010 g -#2859 -b1111100100101010100111001000111011 " -b1111100100101010100111001000111011 g -#2860 -b1111100100101010100111001000111100 " -b1111100100101010100111001000111100 g -#2861 -b1111100100101010100111001000111101 " -b1111100100101010100111001000111101 g -#2862 -b1111100100101010100111001000111110 " -b1111100100101010100111001000111110 g -#2863 -b1111100100101010100111001000111111 " -b1111100100101010100111001000111111 g -#2864 -b1111100100101010100111001001000000 " -b11110 b -b1111100100101010100111001001000000 g -b1111 I" -#2865 -b1111100100101010100111001001000001 " -b11101 b -b1111100100101010100111001001000001 g -b10000 I" -#2866 -b1111100100101010100111001001000010 " -b11100 b -b1111100100101010100111001001000010 g -b0 I" -#2867 -b1111100100101010100111001001000011 " -b1111100100101010100111001001000011 g -#2868 -b1111100100101010100111001001000100 " -b1111100100101010100111001001000100 g -#2869 -b1111100100101010100111001001000101 " -b1111100100101010100111001001000101 g -#2870 -b1111100100101010100111001001000110 " -b1111100100101010100111001001000110 g -#2871 -b1111100100101010100111001001000111 " -b1111100100101010100111001001000111 g -#2872 -b1111100100101010100111001001001000 " -b1111100100101010100111001001001000 g -#2873 -b1111100100101010100111001001001001 " -b1111100100101010100111001001001001 g -#2874 -b1111100100101010100111001001001010 " -b1111100100101010100111001001001010 g -#2875 -b1111100100101010100111001001001011 " -b1111100100101010100111001001001011 g -#2876 -b1111100100101010100111001001001100 " -b1111100100101010100111001001001100 g -#2877 -b1111100100101010100111001001001101 " -b1111100100101010100111001001001101 g -#2878 -b1111100100101010100111001001001110 " -b1111100100101010100111001001001110 g -#2879 -b1111100100101010100111001001001111 " -b1111100100101010100111001001001111 g -#2880 -b1111100100101010100111001001010000 " -b11110 b -b1111100100101010100111001001010000 g -b1111 I" -#2881 -b1111100100101010100111001001010001 " -b11101 b -b1111100100101010100111001001010001 g -b10000 I" -#2882 -b1111100100101010100111001001010010 " -b11100 b -b1111100100101010100111001001010010 g -b0 I" -#2883 -b1111100100101010100111001001010011 " -b1111100100101010100111001001010011 g -#2884 -b1111100100101010100111001001010100 " -b1111100100101010100111001001010100 g -#2885 -b1111100100101010100111001001010101 " -b1111100100101010100111001001010101 g -#2886 -b1111100100101010100111001001010110 " -b1111100100101010100111001001010110 g -#2887 -b1111100100101010100111001001010111 " -b1111100100101010100111001001010111 g -#2888 -b1111100100101010100111001001011000 " -b1111100100101010100111001001011000 g -#2889 -b1111100100101010100111001001011001 " -b1111100100101010100111001001011001 g -#2890 -b1111100100101010100111001001011010 " -b1111100100101010100111001001011010 g -#2891 -b1111100100101010100111001001011011 " -b1111100100101010100111001001011011 g -#2892 -b1111100100101010100111001001011100 " -b1111100100101010100111001001011100 g -#2893 -b1111100100101010100111001001011101 " -b1111100100101010100111001001011101 g -#2894 -b1111100100101010100111001001011110 " -b1111100100101010100111001001011110 g -#2895 -b1111100100101010100111001001011111 " -b1111100100101010100111001001011111 g -#2896 -b1111100100101010100111001001100000 " -b11110 b -b1111100100101010100111001001100000 g -b1111 I" -#2897 -b1111100100101010100111001001100001 " -b11101 b -b1111100100101010100111001001100001 g -b10000 I" -#2898 -b1111100100101010100111001001100010 " -b11100 b -b1111100100101010100111001001100010 g -b0 I" -#2899 -b1111100100101010100111001001100011 " -b1111100100101010100111001001100011 g -#2900 -b1111100100101010100111001001100100 " -b1111100100101010100111001001100100 g -#2901 -b1111100100101010100111001001100101 " -b1111100100101010100111001001100101 g -#2902 -b1111100100101010100111001001100110 " -b1111100100101010100111001001100110 g -#2903 -b1111100100101010100111001001100111 " -b1111100100101010100111001001100111 g -#2904 -b1111100100101010100111001001101000 " -b1111100100101010100111001001101000 g -#2905 -b1111100100101010100111001001101001 " -b1111100100101010100111001001101001 g -#2906 -b1111100100101010100111001001101010 " -b1111100100101010100111001001101010 g -#2907 -b1111100100101010100111001001101011 " -b1111100100101010100111001001101011 g -#2908 -b1111100100101010100111001001101100 " -b1111100100101010100111001001101100 g -#2909 -b1111100100101010100111001001101101 " -b1111100100101010100111001001101101 g -#2910 -b1111100100101010100111001001101110 " -b1111100100101010100111001001101110 g -#2911 -b1111100100101010100111001001101111 " -b1111100100101010100111001001101111 g -#2912 -b1111100100101010100111001001110000 " -b11110 b -b1111100100101010100111001001110000 g -b1111 I" -#2913 -b1111100100101010100111001001110001 " -b11101 b -b1111100100101010100111001001110001 g -b10000 I" -#2914 -b1111100100101010100111001001110010 " -b11100 b -b1111100100101010100111001001110010 g -b0 I" -#2915 -b1111100100101010100111001001110011 " -b1111100100101010100111001001110011 g -#2916 -b1111100100101010100111001001110100 " -b1111100100101010100111001001110100 g -#2917 -b1111100100101010100111001001110101 " -b1111100100101010100111001001110101 g -#2918 -b1111100100101010100111001001110110 " -b1111100100101010100111001001110110 g -#2919 -b1111100100101010100111001001110111 " -b1111100100101010100111001001110111 g -#2920 -b1111100100101010100111001001111000 " -b1111100100101010100111001001111000 g -#2921 -b1111100100101010100111001001111001 " -b1111100100101010100111001001111001 g -#2922 -b1111100100101010100111001001111010 " -b1111100100101010100111001001111010 g -#2923 -b1111100100101010100111001001111011 " -b1111100100101010100111001001111011 g -#2924 -b1111100100101010100111001001111100 " -b1111100100101010100111001001111100 g -#2925 -b1111100100101010100111001001111101 " -b1111100100101010100111001001111101 g -#2926 -b1111100100101010100111001001111110 " -b1111100100101010100111001001111110 g -#2927 -b1111100100101010100111001001111111 " -b1111100100101010100111001001111111 g -#2928 -b1111100100101010100111001010000000 " -b11110 b -b1111100100101010100111001010000000 g -b1111 I" -#2929 -b1111100100101010100111001010000001 " -b11101 b -b1111100100101010100111001010000001 g -b10000 I" -#2930 -b1111100100101010100111001010000010 " -b11100 b -b1111100100101010100111001010000010 g -b0 I" -#2931 -b1111100100101010100111001010000011 " -b1111100100101010100111001010000011 g -#2932 -b1111100100101010100111001010000100 " -b1111100100101010100111001010000100 g -#2933 -b1111100100101010100111001010000101 " -b1111100100101010100111001010000101 g -#2934 -b1111100100101010100111001010000110 " -b1111100100101010100111001010000110 g -#2935 -b1111100100101010100111001010000111 " -b1111100100101010100111001010000111 g -#2936 -b1111100100101010100111001010001000 " -b1111100100101010100111001010001000 g -#2937 -b1111100100101010100111001010001001 " -b1111100100101010100111001010001001 g -#2938 -b1111100100101010100111001010001010 " -b1111100100101010100111001010001010 g -#2939 -b1111100100101010100111001010001011 " -b1111100100101010100111001010001011 g -#2940 -b1111100100101010100111001010001100 " -b1111100100101010100111001010001100 g -#2941 -b1111100100101010100111001010001101 " -b1111100100101010100111001010001101 g -#2942 -b1111100100101010100111001010001110 " -b1111100100101010100111001010001110 g -#2943 -b1111100100101010100111001010001111 " -b1111100100101010100111001010001111 g -#2944 -b1111100100101010100111001010010000 " -b11110 b -b1111100100101010100111001010010000 g -b1111 I" -#2945 -b1111100100101010100111001010010001 " -b11101 b -b1111100100101010100111001010010001 g -b10000 I" -#2946 -b1111100100101010100111001010010010 " -b11100 b -b1111100100101010100111001010010010 g -b0 I" -#2947 -b1111100100101010100111001010010011 " -b1111100100101010100111001010010011 g -#2948 -b1111100100101010100111001010010100 " -b1111100100101010100111001010010100 g -#2949 -b1111100100101010100111001010010101 " -b1111100100101010100111001010010101 g -#2950 -b1111100100101010100111001010010110 " -b1111100100101010100111001010010110 g -#2951 -b1111100100101010100111001010010111 " -b1111100100101010100111001010010111 g -#2952 -b1111100100101010100111001010011000 " -b1111100100101010100111001010011000 g -#2953 -b1111100100101010100111001010011001 " -b1111100100101010100111001010011001 g -#2954 -b1111100100101010100111001010011010 " -b1111100100101010100111001010011010 g -#2955 -b1111100100101010100111001010011011 " -b1111100100101010100111001010011011 g -#2956 -b1111100100101010100111001010011100 " -b1111100100101010100111001010011100 g -#2957 -b1111100100101010100111001010011101 " -b1111100100101010100111001010011101 g -#2958 -b1111100100101010100111001010011110 " -b1111100100101010100111001010011110 g -#2959 -b1111100100101010100111001010011111 " -b1111100100101010100111001010011111 g -#2960 -b1111100100101010100111001010100000 " -b11110 b -b1111100100101010100111001010100000 g -b1111 I" -#2961 -b1111100100101010100111001010100001 " -b11101 b -b1111100100101010100111001010100001 g -b10000 I" -#2962 -b1111100100101010100111001010100010 " -b11100 b -b1111100100101010100111001010100010 g -b0 I" -#2963 -b1111100100101010100111001010100011 " -b1111100100101010100111001010100011 g -#2964 -b1111100100101010100111001010100100 " -b1111100100101010100111001010100100 g -#2965 -b1111100100101010100111001010100101 " -b1111100100101010100111001010100101 g -#2966 -b1111100100101010100111001010100110 " -b1111100100101010100111001010100110 g -#2967 -b1111100100101010100111001010100111 " -b1111100100101010100111001010100111 g -#2968 -b1111100100101010100111001010101000 " -b1111100100101010100111001010101000 g -#2969 -b1111100100101010100111001010101001 " -b1111100100101010100111001010101001 g -#2970 -b1111100100101010100111001010101010 " -b1111100100101010100111001010101010 g -#2971 -b1111100100101010100111001010101011 " -b1111100100101010100111001010101011 g -#2972 -b1111100100101010100111001010101100 " -b1111100100101010100111001010101100 g -#2973 -b1111100100101010100111001010101101 " -b1111100100101010100111001010101101 g -#2974 -b1111100100101010100111001010101110 " -b1111100100101010100111001010101110 g -#2975 -b1111100100101010100111001010101111 " -b1111100100101010100111001010101111 g -#2976 -b1111100100101010100111001010110000 " -b11110 b -b1111100100101010100111001010110000 g -b1111 I" -#2977 -b1111100100101010100111001010110001 " -b11101 b -b1111100100101010100111001010110001 g -b10000 I" -#2978 -b1111100100101010100111001010110010 " -b11100 b -b1111100100101010100111001010110010 g -b0 I" -#2979 -b1111100100101010100111001010110011 " -b1111100100101010100111001010110011 g -#2980 -b1111100100101010100111001010110100 " -b1111100100101010100111001010110100 g -#2981 -b1111100100101010100111001010110101 " -b1111100100101010100111001010110101 g -#2982 -b1111100100101010100111001010110110 " -b1111100100101010100111001010110110 g -#2983 -b1111100100101010100111001010110111 " -b1111100100101010100111001010110111 g -#2984 -b1111100100101010100111001010111000 " -b1111100100101010100111001010111000 g -#2985 -b1111100100101010100111001010111001 " -b1111100100101010100111001010111001 g -#2986 -b1111100100101010100111001010111010 " -b1111100100101010100111001010111010 g -#2987 -b1111100100101010100111001010111011 " -b1111100100101010100111001010111011 g -#2988 -b1111100100101010100111001010111100 " -b1111100100101010100111001010111100 g -#2989 -b1111100100101010100111001010111101 " -b1111100100101010100111001010111101 g -#2990 -b1111100100101010100111001010111110 " -b1111100100101010100111001010111110 g -#2991 -b1111100100101010100111001010111111 " -b1111100100101010100111001010111111 g -#2992 -b1111100100101010100111001011000000 " -b11110 b -b1111100100101010100111001011000000 g -b1111 I" -#2993 -b1111100100101010100111001011000001 " -b11101 b -b1111100100101010100111001011000001 g -b10000 I" -#2994 -b1111100100101010100111001011000010 " -b11100 b -b1111100100101010100111001011000010 g -b0 I" -#2995 -b1111100100101010100111001011000011 " -b1111100100101010100111001011000011 g -#2996 -b1111100100101010100111001011000100 " -b1111100100101010100111001011000100 g -#2997 -b1111100100101010100111001011000101 " -b1111100100101010100111001011000101 g -#2998 -b1111100100101010100111001011000110 " -b1111100100101010100111001011000110 g -#2999 -b1111100100101010100111001011000111 " -b1111100100101010100111001011000111 g -#3000 -b1111100100101010100111001011001000 " -b1111100100101010100111001011001000 g -#3001 -b1111100100101010100111001011001001 " -b1111100100101010100111001011001001 g -#3002 -b1111100100101010100111001011001010 " -b1111100100101010100111001011001010 g -#3003 -b1111100100101010100111001011001011 " -b1111100100101010100111001011001011 g -#3004 -b1111100100101010100111001011001100 " -b1111100100101010100111001011001100 g -#3005 -b1111100100101010100111001011001101 " -b1111100100101010100111001011001101 g -#3006 -b1111100100101010100111001011001110 " -b1111100100101010100111001011001110 g -#3007 -b1111100100101010100111001011001111 " -b1111100100101010100111001011001111 g -#3008 -b1111100100101010100111001011010000 " -b11110 b -b1111100100101010100111001011010000 g -b1111 I" -#3009 -b1111100100101010100111001011010001 " -b11101 b -b1111100100101010100111001011010001 g -b10000 I" -#3010 -b1111100100101010100111001011010010 " -b11100 b -b1111100100101010100111001011010010 g -b0 I" -#3011 -b1111100100101010100111001011010011 " -b1111100100101010100111001011010011 g -#3012 -b1111100100101010100111001011010100 " -b1111100100101010100111001011010100 g -#3013 -b1111100100101010100111001011010101 " -b1111100100101010100111001011010101 g -#3014 -b1111100100101010100111001011010110 " -b1111100100101010100111001011010110 g -#3015 -b1111100100101010100111001011010111 " -b1111100100101010100111001011010111 g -#3016 -b1111100100101010100111001011011000 " -b1111100100101010100111001011011000 g -#3017 -b1111100100101010100111001011011001 " -b1111100100101010100111001011011001 g -#3018 -b1111100100101010100111001011011010 " -b1111100100101010100111001011011010 g -#3019 -b1111100100101010100111001011011011 " -b1111100100101010100111001011011011 g -#3020 -b1111100100101010100111001011011100 " -b1111100100101010100111001011011100 g -#3021 -b1111100100101010100111001011011101 " -b1111100100101010100111001011011101 g -#3022 -b1111100100101010100111001011011110 " -b1111100100101010100111001011011110 g -#3023 -b1111100100101010100111001011011111 " -b1111100100101010100111001011011111 g -#3024 -b1111100100101010100111001011100000 " -b11110 b -b1111100100101010100111001011100000 g -#3025 -b1111100100101010100111001011100001 " -b11101 b -b1111100100101010100111001011100001 g -b10000 I" -#3026 -b1111100100101010100111001011100010 " -b11100 b -b1111100100101010100111001011100010 g -b0 I" -#3027 -b1111100100101010100111001011100011 " -b1111100100101010100111001011100011 g -#3028 -b1111100100101010100111001011100100 " -b1111100100101010100111001011100100 g -#3029 -b1111100100101010100111001011100101 " -b1111100100101010100111001011100101 g -#3030 -b1111100100101010100111001011100110 " -b1111100100101010100111001011100110 g -#3031 -b1111100100101010100111001011100111 " -b1111100100101010100111001011100111 g -#3032 -b1111100100101010100111001011101000 " -b1111100100101010100111001011101000 g -#3033 -b1111100100101010100111001011101001 " -b1111100100101010100111001011101001 g -#3034 -b1111100100101010100111001011101010 " -b1111100100101010100111001011101010 g -#3035 -b1111100100101010100111001011101011 " -b1111100100101010100111001011101011 g -#3036 -b1111100100101010100111001011101100 " -b1111100100101010100111001011101100 g -#3037 -b1111100100101010100111001011101101 " -b1111100100101010100111001011101101 g -#3038 -b1111100100101010100111001011101110 " -b1111100100101010100111001011101110 g -#3039 -b1111100100101010100111001011101111 " -b1111100100101010100111001011101111 g -#3040 -b1111100100101010100111001011110000 " -b11110 b -b1111100100101010100111001011110000 g -b1111 I" -#3041 -b1111100100101010100111001011110001 " -b11101 b -b1111100100101010100111001011110001 g -b10000 I" -#3042 -b1111100100101010100111001011110010 " -b11100 b -b1111100100101010100111001011110010 g -b0 I" -#3043 -b1111100100101010100111001011110011 " -b1111100100101010100111001011110011 g -#3044 -b1111100100101010100111001011110100 " -b1111100100101010100111001011110100 g -#3045 -b1111100100101010100111001011110101 " -b1111100100101010100111001011110101 g -#3046 -b1111100100101010100111001011110110 " -b1111100100101010100111001011110110 g -#3047 -b1111100100101010100111001011110111 " -b1111100100101010100111001011110111 g -#3048 -b1111100100101010100111001011111000 " -b1111100100101010100111001011111000 g -#3049 -b1111100100101010100111001011111001 " -b1111100100101010100111001011111001 g -#3050 -b1111100100101010100111001011111010 " -b1111100100101010100111001011111010 g -#3051 -b1111100100101010100111001011111011 " -b1111100100101010100111001011111011 g -#3052 -b1111100100101010100111001011111100 " -b1111100100101010100111001011111100 g -#3053 -b1111100100101010100111001011111101 " -b1111100100101010100111001011111101 g -#3054 -b1111100100101010100111001011111110 " -b1111100100101010100111001011111110 g -#3055 -b1111100100101010100111001011111111 " -b1111100100101010100111001011111111 g -#3056 -b1111100100101010100111001100000000 " -b11110 b -b1111100100101010100111001100000000 g -b1111 I" -#3057 -b1111100100101010100111001100000001 " -b11101 b -b1111100100101010100111001100000001 g -b10000 I" -#3058 -b1111100100101010100111001100000010 " -b11100 b -b1111100100101010100111001100000010 g -b0 I" -#3059 -b1111100100101010100111001100000011 " -b1111100100101010100111001100000011 g -#3060 -b1111100100101010100111001100000100 " -b1111100100101010100111001100000100 g -#3061 -b1111100100101010100111001100000101 " -b1111100100101010100111001100000101 g -#3062 -b1111100100101010100111001100000110 " -b1111100100101010100111001100000110 g -#3063 -b1111100100101010100111001100000111 " -b1111100100101010100111001100000111 g -#3064 -b1111100100101010100111001100001000 " -b1111100100101010100111001100001000 g -#3065 -b1111100100101010100111001100001001 " -b1111100100101010100111001100001001 g -#3066 -b1111100100101010100111001100001010 " -b1111100100101010100111001100001010 g -#3067 -b1111100100101010100111001100001011 " -b1111100100101010100111001100001011 g -#3068 -b1111100100101010100111001100001100 " -b1111100100101010100111001100001100 g -#3069 -b1111100100101010100111001100001101 " -b1111100100101010100111001100001101 g -#3070 -b1111100100101010100111001100001110 " -b1111100100101010100111001100001110 g -#3071 -b1111100100101010100111001100001111 " -b1111100100101010100111001100001111 g -#3072 -b1111100100101010100111001100010000 " -b11110 b -b1111100100101010100111001100010000 g -b1111 I" -#3073 -b1111100100101010100111001100010001 " -b11101 b -b1111100100101010100111001100010001 g -b10000 I" -#3074 -b1111100100101010100111001100010010 " -b11100 b -b1111100100101010100111001100010010 g -b0 I" -#3075 -b1111100100101010100111001100010011 " -b1111100100101010100111001100010011 g -#3076 -b1111100100101010100111001100010100 " -b1111100100101010100111001100010100 g -#3077 -b1111100100101010100111001100010101 " -b1111100100101010100111001100010101 g -#3078 -b1111100100101010100111001100010110 " -b1111100100101010100111001100010110 g -#3079 -b1111100100101010100111001100010111 " -b1111100100101010100111001100010111 g -#3080 -b1111100100101010100111001100011000 " -b1111100100101010100111001100011000 g -#3081 -b1111100100101010100111001100011001 " -b1111100100101010100111001100011001 g -#3082 -b1111100100101010100111001100011010 " -b1111100100101010100111001100011010 g -#3083 -b1111100100101010100111001100011011 " -b1111100100101010100111001100011011 g -#3084 -b1111100100101010100111001100011100 " -b1111100100101010100111001100011100 g -#3085 -b1111100100101010100111001100011101 " -b1111100100101010100111001100011101 g -#3086 -b1111100100101010100111001100011110 " -b1111100100101010100111001100011110 g -#3087 -b1111100100101010100111001100011111 " -b1111100100101010100111001100011111 g -#3088 -b1111100100101010100111001100100000 " -b11110 b -b1111100100101010100111001100100000 g -b1111 I" -#3089 -b1111100100101010100111001100100001 " -b11101 b -b1111100100101010100111001100100001 g -b10000 I" -#3090 -b1111100100101010100111001100100010 " -b11100 b -b1111100100101010100111001100100010 g -b0 I" -#3091 -b1111100100101010100111001100100011 " -b1111100100101010100111001100100011 g -#3092 -b1111100100101010100111001100100100 " -b1111100100101010100111001100100100 g -#3093 -b1111100100101010100111001100100101 " -b1111100100101010100111001100100101 g -#3094 -b1111100100101010100111001100100110 " -b1111100100101010100111001100100110 g -#3095 -b1111100100101010100111001100100111 " -b1111100100101010100111001100100111 g -#3096 -b1111100100101010100111001100101000 " -b1111100100101010100111001100101000 g -#3097 -b1111100100101010100111001100101001 " -b1111100100101010100111001100101001 g -#3098 -b1111100100101010100111001100101010 " -b1111100100101010100111001100101010 g -#3099 -b1111100100101010100111001100101011 " -b1111100100101010100111001100101011 g -#3100 -b1111100100101010100111001100101100 " -b1111100100101010100111001100101100 g -#3101 -b1111100100101010100111001100101101 " -b1111100100101010100111001100101101 g -#3102 -b1111100100101010100111001100101110 " -b1111100100101010100111001100101110 g -#3103 -b1111100100101010100111001100101111 " -b1111100100101010100111001100101111 g -#3104 -b1111100100101010100111001100110000 " -b11110 b -b1111100100101010100111001100110000 g -b1111 I" -#3105 -b1111100100101010100111001100110001 " -b11101 b -b1111100100101010100111001100110001 g -b10000 I" -#3106 -b1111100100101010100111001100110010 " -b11100 b -b1111100100101010100111001100110010 g -b0 I" -#3107 -b1111100100101010100111001100110011 " -b1111100100101010100111001100110011 g -#3108 -b1111100100101010100111001100110100 " -b1111100100101010100111001100110100 g -#3109 -b1111100100101010100111001100110101 " -b1111100100101010100111001100110101 g -#3110 -b1111100100101010100111001100110110 " -b1111100100101010100111001100110110 g -#3111 -b1111100100101010100111001100110111 " -b1111100100101010100111001100110111 g -#3112 -b1111100100101010100111001100111000 " -b1111100100101010100111001100111000 g -#3113 -b1111100100101010100111001100111001 " -b1111100100101010100111001100111001 g -#3114 -b1111100100101010100111001100111010 " -b1111100100101010100111001100111010 g -#3115 -b1111100100101010100111001100111011 " -b1111100100101010100111001100111011 g -#3116 -b1111100100101010100111001100111100 " -b1111100100101010100111001100111100 g -#3117 -b1111100100101010100111001100111101 " -b1111100100101010100111001100111101 g -#3118 -b1111100100101010100111001100111110 " -b1111100100101010100111001100111110 g -#3119 -b1111100100101010100111001100111111 " -b1111100100101010100111001100111111 g -#3120 -b1111100100101010100111001101000000 " -b11110 b -b1111100100101010100111001101000000 g -#3121 -b1111100100101010100111001101000001 " -b11101 b -b1111100100101010100111001101000001 g -b10000 I" -#3122 -b1111100100101010100111001101000010 " -b11100 b -b1111100100101010100111001101000010 g -b0 I" -#3123 -b1111100100101010100111001101000011 " -b1111100100101010100111001101000011 g -#3124 -b1111100100101010100111001101000100 " -b1111100100101010100111001101000100 g -#3125 -b1111100100101010100111001101000101 " -b1111100100101010100111001101000101 g -#3126 -b1111100100101010100111001101000110 " -b1111100100101010100111001101000110 g -#3127 -b1111100100101010100111001101000111 " -b1111100100101010100111001101000111 g -#3128 -b1111100100101010100111001101001000 " -b1111100100101010100111001101001000 g -#3129 -b1111100100101010100111001101001001 " -b1111100100101010100111001101001001 g -#3130 -b1111100100101010100111001101001010 " -b1111100100101010100111001101001010 g -#3131 -b1111100100101010100111001101001011 " -b1111100100101010100111001101001011 g -#3132 -b1111100100101010100111001101001100 " -b1111100100101010100111001101001100 g -#3133 -b1111100100101010100111001101001101 " -b1111100100101010100111001101001101 g -#3134 -b1111100100101010100111001101001110 " -b1111100100101010100111001101001110 g -#3135 -b1111100100101010100111001101001111 " -b1111100100101010100111001101001111 g -#3136 -b1111100100101010100111001101010000 " -b11110 b -b1111100100101010100111001101010000 g -b1111 I" -#3137 -b1111100100101010100111001101010001 " -b11101 b -b1111100100101010100111001101010001 g -b10000 I" -#3138 -b1111100100101010100111001101010010 " -b11100 b -b1111100100101010100111001101010010 g -b0 I" -#3139 -b1111100100101010100111001101010011 " -b1111100100101010100111001101010011 g -#3140 -b1111100100101010100111001101010100 " -b1111100100101010100111001101010100 g -#3141 -b1111100100101010100111001101010101 " -b1111100100101010100111001101010101 g -#3142 -b1111100100101010100111001101010110 " -b1111100100101010100111001101010110 g -#3143 -b1111100100101010100111001101010111 " -b1111100100101010100111001101010111 g -#3144 -b1111100100101010100111001101011000 " -b1111100100101010100111001101011000 g -#3145 -b1111100100101010100111001101011001 " -b1111100100101010100111001101011001 g -#3146 -b1111100100101010100111001101011010 " -b1111100100101010100111001101011010 g -#3147 -b1111100100101010100111001101011011 " -b1111100100101010100111001101011011 g -#3148 -b1111100100101010100111001101011100 " -b1111100100101010100111001101011100 g -#3149 -b1111100100101010100111001101011101 " -b1111100100101010100111001101011101 g -#3150 -b1111100100101010100111001101011110 " -b1111100100101010100111001101011110 g -#3151 -b1111100100101010100111001101011111 " -b1111100100101010100111001101011111 g -#3152 -b1111100100101010100111001101100000 " -b11110 b -b1111100100101010100111001101100000 g -b1111 I" -#3153 -b1111100100101010100111001101100001 " -b11101 b -b1111100100101010100111001101100001 g -b10000 I" -#3154 -b1111100100101010100111001101100010 " -b11100 b -b1111100100101010100111001101100010 g -b0 I" -#3155 -b1111100100101010100111001101100011 " -b1111100100101010100111001101100011 g -#3156 -b1111100100101010100111001101100100 " -b1111100100101010100111001101100100 g -#3157 -b1111100100101010100111001101100101 " -b1111100100101010100111001101100101 g -#3158 -b1111100100101010100111001101100110 " -b1111100100101010100111001101100110 g -#3159 -b1111100100101010100111001101100111 " -b1111100100101010100111001101100111 g -#3160 -b1111100100101010100111001101101000 " -b1111100100101010100111001101101000 g -#3161 -b1111100100101010100111001101101001 " -b1111100100101010100111001101101001 g -#3162 -b1111100100101010100111001101101010 " -b1111100100101010100111001101101010 g -#3163 -b1111100100101010100111001101101011 " -b1111100100101010100111001101101011 g -#3164 -b1111100100101010100111001101101100 " -b1111100100101010100111001101101100 g -#3165 -b1111100100101010100111001101101101 " -b1111100100101010100111001101101101 g -#3166 -b1111100100101010100111001101101110 " -b1111100100101010100111001101101110 g -#3167 -b1111100100101010100111001101101111 " -b1111100100101010100111001101101111 g -#3168 -b1111100100101010100111001101110000 " -b11110 b -b1111100100101010100111001101110000 g -#3169 -b1111100100101010100111001101110001 " -b11101 b -b1111100100101010100111001101110001 g -b10000 I" -#3170 -b1111100100101010100111001101110010 " -b11100 b -b1111100100101010100111001101110010 g -b0 I" -#3171 -b1111100100101010100111001101110011 " -b1111100100101010100111001101110011 g -#3172 -b1111100100101010100111001101110100 " -b1111100100101010100111001101110100 g -#3173 -b1111100100101010100111001101110101 " -b1111100100101010100111001101110101 g -#3174 -b1111100100101010100111001101110110 " -b1111100100101010100111001101110110 g -#3175 -b1111100100101010100111001101110111 " -b1111100100101010100111001101110111 g -#3176 -b1111100100101010100111001101111000 " -b1111100100101010100111001101111000 g -#3177 -b1111100100101010100111001101111001 " -b1111100100101010100111001101111001 g -#3178 -b1111100100101010100111001101111010 " -b1111100100101010100111001101111010 g -#3179 -b1111100100101010100111001101111011 " -b1111100100101010100111001101111011 g -#3180 -b1111100100101010100111001101111100 " -b1111100100101010100111001101111100 g -#3181 -b1111100100101010100111001101111101 " -b1111100100101010100111001101111101 g -#3182 -b1111100100101010100111001101111110 " -b1111100100101010100111001101111110 g -#3183 -b1111100100101010100111001101111111 " -b1111100100101010100111001101111111 g -#3184 -b1111100100101010100111001110000000 " -b11110 b -b1111100100101010100111001110000000 g -b1111 I" -#3185 -b1111100100101010100111001110000001 " -b11101 b -b1111100100101010100111001110000001 g -b10000 I" -#3186 -b1111100100101010100111001110000010 " -b11100 b -b1111100100101010100111001110000010 g -b0 I" -#3187 -b1111100100101010100111001110000011 " -b1111100100101010100111001110000011 g -#3188 -b1111100100101010100111001110000100 " -b1111100100101010100111001110000100 g -#3189 -b1111100100101010100111001110000101 " -b1111100100101010100111001110000101 g -#3190 -b1111100100101010100111001110000110 " -b1111100100101010100111001110000110 g -#3191 -b1111100100101010100111001110000111 " -b1111100100101010100111001110000111 g -#3192 -b1111100100101010100111001110001000 " -b1111100100101010100111001110001000 g -#3193 -b1111100100101010100111001110001001 " -b1111100100101010100111001110001001 g -#3194 -b1111100100101010100111001110001010 " -b1111100100101010100111001110001010 g -#3195 -b1111100100101010100111001110001011 " -b1111100100101010100111001110001011 g -#3196 -b1111100100101010100111001110001100 " -b1111100100101010100111001110001100 g -#3197 -b1111100100101010100111001110001101 " -b1111100100101010100111001110001101 g -#3198 -b1111100100101010100111001110001110 " -b1111100100101010100111001110001110 g -#3199 -b1111100100101010100111001110001111 " -b1111100100101010100111001110001111 g -#3200 -b1111100100101010100111001110010000 " -b11110 b -b1111100100101010100111001110010000 g -#3201 -b1111100100101010100111001110010001 " -b11101 b -b1111100100101010100111001110010001 g -b10000 I" -#3202 -b1111100100101010100111001110010010 " -b11100 b -b1111100100101010100111001110010010 g -b0 I" -#3203 -b1111100100101010100111001110010011 " -b1111100100101010100111001110010011 g -#3204 -b1111100100101010100111001110010100 " -b1111100100101010100111001110010100 g -#3205 -b1111100100101010100111001110010101 " -b1111100100101010100111001110010101 g -#3206 -b1111100100101010100111001110010110 " -b1111100100101010100111001110010110 g -#3207 -b1111100100101010100111001110010111 " -b1111100100101010100111001110010111 g -#3208 -b1111100100101010100111001110011000 " -b1111100100101010100111001110011000 g -#3209 -b1111100100101010100111001110011001 " -b1111100100101010100111001110011001 g -#3210 -b1111100100101010100111001110011010 " -b1111100100101010100111001110011010 g -#3211 -b1111100100101010100111001110011011 " -b1111100100101010100111001110011011 g -#3212 -b1111100100101010100111001110011100 " -b1111100100101010100111001110011100 g -#3213 -b1111100100101010100111001110011101 " -b1111100100101010100111001110011101 g -#3214 -b1111100100101010100111001110011110 " -b1111100100101010100111001110011110 g -#3215 -b1111100100101010100111001110011111 " -b1111100100101010100111001110011111 g -#3216 -b1111100100101010100111001110100000 " -b11110 b -b1111100100101010100111001110100000 g -#3217 -b1111100100101010100111001110100001 " -b11101 b -b1111100100101010100111001110100001 g -b10000 I" -#3218 -b1111100100101010100111001110100010 " -b11100 b -b1111100100101010100111001110100010 g -b0 I" -#3219 -b1111100100101010100111001110100011 " -b1111100100101010100111001110100011 g -#3220 -b1111100100101010100111001110100100 " -b1111100100101010100111001110100100 g -#3221 -b1111100100101010100111001110100101 " -b1111100100101010100111001110100101 g -#3222 -b1111100100101010100111001110100110 " -b1111100100101010100111001110100110 g -#3223 -b1111100100101010100111001110100111 " -b1111100100101010100111001110100111 g -#3224 -b1111100100101010100111001110101000 " -b1111100100101010100111001110101000 g -#3225 -b1111100100101010100111001110101001 " -b1111100100101010100111001110101001 g -#3226 -b1111100100101010100111001110101010 " -b1111100100101010100111001110101010 g -#3227 -b1111100100101010100111001110101011 " -b1111100100101010100111001110101011 g -#3228 -b1111100100101010100111001110101100 " -b1111100100101010100111001110101100 g -#3229 -b1111100100101010100111001110101101 " -b1111100100101010100111001110101101 g -#3230 -b1111100100101010100111001110101110 " -b1111100100101010100111001110101110 g -#3231 -b1111100100101010100111001110101111 " -b1111100100101010100111001110101111 g -#3232 -b1111100100101010100111001110110000 " -b11110 b -b1111100100101010100111001110110000 g -b1111 I" -#3233 -b1111100100101010100111001110110001 " -b11101 b -b1111100100101010100111001110110001 g -b10000 I" -#3234 -b1111100100101010100111001110110010 " -b11100 b -b1111100100101010100111001110110010 g -b0 I" -#3235 -b1111100100101010100111001110110011 " -b1111100100101010100111001110110011 g -#3236 -b1111100100101010100111001110110100 " -b1111100100101010100111001110110100 g -#3237 -b1111100100101010100111001110110101 " -b1111100100101010100111001110110101 g -#3238 -b1111100100101010100111001110110110 " -b1111100100101010100111001110110110 g -#3239 -b1111100100101010100111001110110111 " -b1111100100101010100111001110110111 g -#3240 -b1111100100101010100111001110111000 " -b1111100100101010100111001110111000 g -#3241 -b1111100100101010100111001110111001 " -b1111100100101010100111001110111001 g -#3242 -b1111100100101010100111001110111010 " -b1111100100101010100111001110111010 g -#3243 -b1111100100101010100111001110111011 " -b1111100100101010100111001110111011 g -#3244 -b1111100100101010100111001110111100 " -b1111100100101010100111001110111100 g -#3245 -b1111100100101010100111001110111101 " -b1111100100101010100111001110111101 g -#3246 -b1111100100101010100111001110111110 " -b1111100100101010100111001110111110 g -#3247 -b1111100100101010100111001110111111 " -b1111100100101010100111001110111111 g -#3248 -b1111100100101010100111001111000000 " -b11110 b -b1111100100101010100111001111000000 g -b1111 I" -#3249 -b1111100100101010100111001111000001 " -b11101 b -#3250 -b1111100100101010100111001111000010 " -b11100 b -#3251 -b1111100100101010100111001111000011 " -#3252 -b1111100100101010100111001111000100 " -#3253 -b1111100100101010100111001111000101 " -#3254 -b1111100100101010100111001111000110 " -#3255 -b1111100100101010100111001111000111 " -#3256 -b1111100100101010100111001111001000 " -#3257 -b1111100100101010100111001111001001 " -#3258 -b1111100100101010100111001111001010 " -#3259 -b1111100100101010100111001111001011 " -#3260 -b1111100100101010100111001111001100 " -#3261 -b1111100100101010100111001111001101 " -#3262 -b1111100100101010100111001111001110 " -#3263 -b1111100100101010100111001111001111 " -#3264 -b1111100100101010100111001111010000 " -b11110 b -b1111100100101010100111001111010001 g -b10000 I" -#3265 -b1111100100101010100111001111010001 " -b11101 b -b1111100100101010100111001111010010 g -b0 I" -#3266 -b1111100100101010100111001111010010 " -b11100 b -b1111100100101010100111001111010011 g -#3267 -b1111100100101010100111001111010011 " -b1111100100101010100111001111010100 g -#3268 -b1111100100101010100111001111010100 " -b1111100100101010100111001111010101 g -#3269 -b1111100100101010100111001111010101 " -b1111100100101010100111001111010110 g -#3270 -b1111100100101010100111001111010110 " -b1111100100101010100111001111010111 g -#3271 -b1111100100101010100111001111010111 " -b1111100100101010100111001111011000 g -#3272 -b1111100100101010100111001111011000 " -b1111100100101010100111001111011001 g -#3273 -b1111100100101010100111001111011001 " -b1111100100101010100111001111011010 g -#3274 -b1111100100101010100111001111011010 " -b1111100100101010100111001111011011 g -#3275 -b1111100100101010100111001111011011 " -b1111100100101010100111001111011100 g -#3276 -b1111100100101010100111001111011100 " -b1111100100101010100111001111011101 g -#3277 -b1111100100101010100111001111011101 " -b1111100100101010100111001111011110 g -#3278 -b1111100100101010100111001111011110 " -b1111100100101010100111001111011111 g -#3279 -b1111100100101010100111001111011111 " -b1111100100101010100111001111100000 g -b1111 I" -#3280 -b1111100100101010100111001111100000 " -b11110 b -#3281 -b1111100100101010100111001111100001 " -b11101 b -b1111100100101010100111001111100001 g -b10000 I" -#3282 -b1111100100101010100111001111100010 " -b11100 b -b1111100100101010100111001111100010 g -b0 I" -#3283 -b1111100100101010100111001111100011 " -b1111100100101010100111001111100011 g -#3284 -b1111100100101010100111001111100100 " -b1111100100101010100111001111100100 g -#3285 -b1111100100101010100111001111100101 " -b1111100100101010100111001111100101 g -#3286 -b1111100100101010100111001111100110 " -b1111100100101010100111001111100110 g -#3287 -b1111100100101010100111001111100111 " -b1111100100101010100111001111100111 g -#3288 -b1111100100101010100111001111101000 " -b1111100100101010100111001111101000 g -#3289 -b1111100100101010100111001111101001 " -b1111100100101010100111001111101001 g -#3290 -b1111100100101010100111001111101010 " -b1111100100101010100111001111101010 g -#3291 -b1111100100101010100111001111101011 " -b1111100100101010100111001111101011 g -#3292 -b1111100100101010100111001111101100 " -b1111100100101010100111001111101100 g -#3293 -b1111100100101010100111001111101101 " -b1111100100101010100111001111101101 g -#3294 -b1111100100101010100111001111101110 " -b1111100100101010100111001111101110 g -#3295 -b1111100100101010100111001111101111 " -b1111100100101010100111001111101111 g -#3296 -b1111100100101010100111001111110000 " -b11110 b -b1111100100101010100111001111110000 g -b1111 I" -#3297 -b1111100100101010100111001111110001 " -b11101 b -b1111100100101010100111001111110001 g -b10000 I" -#3298 -b1111100100101010100111001111110010 " -b11100 b -b1111100100101010100111001111110010 g -b0 I" -#3299 -b1111100100101010100111001111110011 " -b1111100100101010100111001111110011 g -#3300 -b1111100100101010100111001111110100 " -b1111100100101010100111001111110100 g -#3301 -b1111100100101010100111001111110101 " -b1111100100101010100111001111110101 g -#3302 -b1111100100101010100111001111110110 " -b1111100100101010100111001111110110 g -#3303 -b1111100100101010100111001111110111 " -b1111100100101010100111001111110111 g -#3304 -b1111100100101010100111001111111000 " -b1111100100101010100111001111111000 g -#3305 -b1111100100101010100111001111111001 " -b1111100100101010100111001111111001 g -#3306 -b1111100100101010100111001111111010 " -b1111100100101010100111001111111010 g -#3307 -b1111100100101010100111001111111011 " -b1111100100101010100111001111111011 g -#3308 -b1111100100101010100111001111111100 " -b1111100100101010100111001111111100 g -#3309 -b1111100100101010100111001111111101 " -b1111100100101010100111001111111101 g -#3310 -b1111100100101010100111001111111110 " -b1111100100101010100111001111111110 g -#3311 -b1111100100101010100111001111111111 " -b1111100100101010100111001111111111 g -#3312 -b1111100100101010100111010000000000 " -b11110 b -b1111100100101010100111010000000000 g -#3313 -b1111100100101010100111010000000001 " -b11101 b -b1111100100101010100111010000000001 g -b10000 I" -#3314 -b1111100100101010100111010000000010 " -b11100 b -b1111100100101010100111010000000010 g -b0 I" -#3315 -b1111100100101010100111010000000011 " -b1111100100101010100111010000000011 g -#3316 -b1111100100101010100111010000000100 " -b1111100100101010100111010000000100 g -#3317 -b1111100100101010100111010000000101 " -b1111100100101010100111010000000101 g -#3318 -b1111100100101010100111010000000110 " -b1111100100101010100111010000000110 g -#3319 -b1111100100101010100111010000000111 " -b1111100100101010100111010000000111 g -#3320 -b1111100100101010100111010000001000 " -b1111100100101010100111010000001000 g -#3321 -b1111100100101010100111010000001001 " -b1111100100101010100111010000001001 g -#3322 -b1111100100101010100111010000001010 " -b1111100100101010100111010000001010 g -#3323 -b1111100100101010100111010000001011 " -b1111100100101010100111010000001011 g -#3324 -b1111100100101010100111010000001100 " -b1111100100101010100111010000001100 g -#3325 -b1111100100101010100111010000001101 " -b1111100100101010100111010000001101 g -#3326 -b1111100100101010100111010000001110 " -b1111100100101010100111010000001110 g -#3327 -b1111100100101010100111010000001111 " -b1111100100101010100111010000001111 g -#3328 -b1111100100101010100111010000010000 " -b11110 b -b1111100100101010100111010000010000 g -#3329 -b1111100100101010100111010000010001 " -b11101 b -b1111100100101010100111010000010001 g -#3330 -b1111100100101010100111010000010010 " -b11100 b -b1111100100101010100111010000010010 g -#3331 -b1111100100101010100111010000010011 " -b1111100100101010100111010000010011 g -#3332 -b1111100100101010100111010000010100 " -b1111100100101010100111010000010100 g -#3333 -b1111100100101010100111010000010101 " -b1111100100101010100111010000010101 g -#3334 -b1111100100101010100111010000010110 " -b1111100100101010100111010000010110 g -#3335 -b1111100100101010100111010000010111 " -b1111100100101010100111010000010111 g -#3336 -b1111100100101010100111010000011000 " -b1111100100101010100111010000011000 g -#3337 -b1111100100101010100111010000011001 " -b1111100100101010100111010000011001 g -#3338 -b1111100100101010100111010000011010 " -b1111100100101010100111010000011010 g -#3339 -b1111100100101010100111010000011011 " -b1111100100101010100111010000011011 g -#3340 -b1111100100101010100111010000011100 " -b1111100100101010100111010000011100 g -#3341 -b1111100100101010100111010000011101 " -b1111100100101010100111010000011101 g -#3342 -b1111100100101010100111010000011110 " -b1111100100101010100111010000011110 g -#3343 -b1111100100101010100111010000011111 " -b1111100100101010100111010000011111 g -#3344 -b1111100100101010100111010000100000 " -b11110 b -b1111100100101010100111010000100000 g -b1111 I" -#3345 -b1111100100101010100111010000100001 " -b11101 b -b1111100100101010100111010000100001 g -b10000 I" -#3346 -b1111100100101010100111010000100010 " -b11100 b -b1111100100101010100111010000100010 g -b0 I" -#3347 -b1111100100101010100111010000100011 " -b1111100100101010100111010000100011 g -#3348 -b1111100100101010100111010000100100 " -b1111100100101010100111010000100100 g -#3349 -b1111100100101010100111010000100101 " -b1111100100101010100111010000100101 g -#3350 -b1111100100101010100111010000100110 " -b1111100100101010100111010000100110 g -#3351 -b1111100100101010100111010000100111 " -b1111100100101010100111010000100111 g -#3352 -b1111100100101010100111010000101000 " -b1111100100101010100111010000101000 g -#3353 -b1111100100101010100111010000101001 " -b1111100100101010100111010000101001 g -#3354 -b1111100100101010100111010000101010 " -b1111100100101010100111010000101010 g -#3355 -b1111100100101010100111010000101011 " -b1111100100101010100111010000101011 g -#3356 -b1111100100101010100111010000101100 " -b1111100100101010100111010000101100 g -#3357 -b1111100100101010100111010000101101 " -b1111100100101010100111010000101101 g -#3358 -b1111100100101010100111010000101110 " -b1111100100101010100111010000101110 g -#3359 -b1111100100101010100111010000101111 " -b1111100100101010100111010000101111 g -#3360 -b1111100100101010100111010000110000 " -b11110 b -b1111100100101010100111010000110000 g -b1111 I" -#3361 -b1111100100101010100111010000110001 " -b11101 b -b1111100100101010100111010000110001 g -b10000 I" -#3362 -b1111100100101010100111010000110010 " -b11100 b -b1111100100101010100111010000110010 g -b0 I" -#3363 -b1111100100101010100111010000110011 " -b1111100100101010100111010000110011 g -#3364 -b1111100100101010100111010000110100 " -b1111100100101010100111010000110100 g -#3365 -b1111100100101010100111010000110101 " -b1111100100101010100111010000110101 g -#3366 -b1111100100101010100111010000110110 " -b1111100100101010100111010000110110 g -#3367 -b1111100100101010100111010000110111 " -b1111100100101010100111010000110111 g -#3368 -b1111100100101010100111010000111000 " -b1111100100101010100111010000111000 g -#3369 -b1111100100101010100111010000111001 " -b1111100100101010100111010000111001 g -#3370 -b1111100100101010100111010000111010 " -b1111100100101010100111010000111010 g -#3371 -b1111100100101010100111010000111011 " -b1111100100101010100111010000111011 g -#3372 -b1111100100101010100111010000111100 " -b1111100100101010100111010000111100 g -#3373 -b1111100100101010100111010000111101 " -b1111100100101010100111010000111101 g -#3374 -b1111100100101010100111010000111110 " -b1111100100101010100111010000111110 g -#3375 -b1111100100101010100111010000111111 " -b1111100100101010100111010000111111 g -#3376 -b1111100100101010100111010001000000 " -b11110 b -b1111100100101010100111010001000000 g -b1111 I" -#3377 -b1111100100101010100111010001000001 " -b11101 b -b1111100100101010100111010001000001 g -b10000 I" -#3378 -b1111100100101010100111010001000010 " -b11100 b -b1111100100101010100111010001000010 g -b0 I" -#3379 -b1111100100101010100111010001000011 " -b1111100100101010100111010001000011 g -#3380 -b1111100100101010100111010001000100 " -b1111100100101010100111010001000100 g -#3381 -b1111100100101010100111010001000101 " -b1111100100101010100111010001000101 g -#3382 -b1111100100101010100111010001000110 " -b1111100100101010100111010001000110 g -#3383 -b1111100100101010100111010001000111 " -b1111100100101010100111010001000111 g -#3384 -b1111100100101010100111010001001000 " -b1111100100101010100111010001001000 g -#3385 -b1111100100101010100111010001001001 " -b1111100100101010100111010001001001 g -#3386 -b1111100100101010100111010001001010 " -b1111100100101010100111010001001010 g -#3387 -b1111100100101010100111010001001011 " -b1111100100101010100111010001001011 g -#3388 -b1111100100101010100111010001001100 " -b1111100100101010100111010001001100 g -#3389 -b1111100100101010100111010001001101 " -b1111100100101010100111010001001101 g -#3390 -b1111100100101010100111010001001110 " -b1111100100101010100111010001001110 g -#3391 -b1111100100101010100111010001001111 " -b1111100100101010100111010001001111 g -#3392 -b1111100100101010100111010001010000 " -b11110 b -b1111100100101010100111010001010000 g -b1111 I" -#3393 -b1111100100101010100111010001010001 " -b11101 b -b1111100100101010100111010001010001 g -b10000 I" -#3394 -b1111100100101010100111010001010010 " -b11100 b -b1111100100101010100111010001010010 g -b0 I" -#3395 -b1111100100101010100111010001010011 " -b1111100100101010100111010001010011 g -#3396 -b1111100100101010100111010001010100 " -b1111100100101010100111010001010100 g -#3397 -b1111100100101010100111010001010101 " -b1111100100101010100111010001010101 g -#3398 -b1111100100101010100111010001010110 " -b1111100100101010100111010001010110 g -#3399 -b1111100100101010100111010001010111 " -b1111100100101010100111010001010111 g -#3400 -b1111100100101010100111010001011000 " -b1111100100101010100111010001011000 g -#3401 -b1111100100101010100111010001011001 " -b1111100100101010100111010001011001 g -#3402 -b1111100100101010100111010001011010 " -b1111100100101010100111010001011010 g -#3403 -b1111100100101010100111010001011011 " -b1111100100101010100111010001011011 g -#3404 -b1111100100101010100111010001011100 " -b1111100100101010100111010001011100 g -#3405 -b1111100100101010100111010001011101 " -b1111100100101010100111010001011101 g -#3406 -b1111100100101010100111010001011110 " -b1111100100101010100111010001011110 g -#3407 -b1111100100101010100111010001011111 " -b1111100100101010100111010001011111 g -#3408 -b1111100100101010100111010001100000 " -b11110 b -b1111100100101010100111010001100000 g -#3409 -b1111100100101010100111010001100001 " -b11101 b -b1111100100101010100111010001100001 g -#3410 -b1111100100101010100111010001100010 " -b11100 b -b1111100100101010100111010001100010 g -#3411 -b1111100100101010100111010001100011 " -b1111100100101010100111010001100011 g -#3412 -b1111100100101010100111010001100100 " -b1111100100101010100111010001100100 g -#3413 -b1111100100101010100111010001100101 " -b1111100100101010100111010001100101 g -#3414 -b1111100100101010100111010001100110 " -b1111100100101010100111010001100110 g -#3415 -b1111100100101010100111010001100111 " -b1111100100101010100111010001100111 g -#3416 -b1111100100101010100111010001101000 " -b1111100100101010100111010001101000 g -#3417 -b1111100100101010100111010001101001 " -b1111100100101010100111010001101001 g -#3418 -b1111100100101010100111010001101010 " -b1111100100101010100111010001101010 g -#3419 -b1111100100101010100111010001101011 " -b1111100100101010100111010001101011 g -#3420 -b1111100100101010100111010001101100 " -b1111100100101010100111010001101100 g -#3421 -b1111100100101010100111010001101101 " -b1111100100101010100111010001101101 g -#3422 -b1111100100101010100111010001101110 " -b1111100100101010100111010001101110 g -#3423 -b1111100100101010100111010001101111 " -b1111100100101010100111010001101111 g -#3424 -b1111100100101010100111010001110000 " -b11110 b -b1111100100101010100111010001110000 g -b1111 I" -#3425 -b1111100100101010100111010001110001 " -b11101 b -b1111100100101010100111010001110001 g -b10000 I" -#3426 -b1111100100101010100111010001110010 " -b11100 b -b1111100100101010100111010001110010 g -b0 I" -#3427 -b1111100100101010100111010001110011 " -b1111100100101010100111010001110011 g -#3428 -b1111100100101010100111010001110100 " -b1111100100101010100111010001110100 g -#3429 -b1111100100101010100111010001110101 " -b1111100100101010100111010001110101 g -#3430 -b1111100100101010100111010001110110 " -b1111100100101010100111010001110110 g -#3431 -b1111100100101010100111010001110111 " -b1111100100101010100111010001110111 g -#3432 -b1111100100101010100111010001111000 " -b1111100100101010100111010001111000 g -#3433 -b1111100100101010100111010001111001 " -b1111100100101010100111010001111001 g -#3434 -b1111100100101010100111010001111010 " -b1111100100101010100111010001111010 g -#3435 -b1111100100101010100111010001111011 " -b1111100100101010100111010001111011 g -#3436 -b1111100100101010100111010001111100 " -b1111100100101010100111010001111100 g -#3437 -b1111100100101010100111010001111101 " -b1111100100101010100111010001111101 g -#3438 -b1111100100101010100111010001111110 " -b1111100100101010100111010001111110 g -#3439 -b1111100100101010100111010001111111 " -b1111100100101010100111010001111111 g -#3440 -b1111100100101010100111010010000000 " -b11110 b -b1111100100101010100111010010000000 g -b1111 I" -#3441 -b1111100100101010100111010010000001 " -b11101 b -b1111100100101010100111010010000001 g -b10000 I" -#3442 -b1111100100101010100111010010000010 " -b11100 b -b1111100100101010100111010010000010 g -b0 I" -#3443 -b1111100100101010100111010010000011 " -b1111100100101010100111010010000011 g -#3444 -b1111100100101010100111010010000100 " -b1111100100101010100111010010000100 g -#3445 -b1111100100101010100111010010000101 " -b1111100100101010100111010010000101 g -#3446 -b1111100100101010100111010010000110 " -b1111100100101010100111010010000110 g -#3447 -b1111100100101010100111010010000111 " -b1111100100101010100111010010000111 g -#3448 -b1111100100101010100111010010001000 " -b1111100100101010100111010010001000 g -#3449 -b1111100100101010100111010010001001 " -b1111100100101010100111010010001001 g -#3450 -b1111100100101010100111010010001010 " -b1111100100101010100111010010001010 g -#3451 -b1111100100101010100111010010001011 " -b1111100100101010100111010010001011 g -#3452 -b1111100100101010100111010010001100 " -b1111100100101010100111010010001100 g -#3453 -b1111100100101010100111010010001101 " -b1111100100101010100111010010001101 g -#3454 -b1111100100101010100111010010001110 " -b1111100100101010100111010010001110 g -#3455 -b1111100100101010100111010010001111 " -b1111100100101010100111010010001111 g -#3456 -b1111100100101010100111010010010000 " -b11110 b -b1111100100101010100111010010010000 g -b1111 I" -#3457 -b1111100100101010100111010010010001 " -b11101 b -b1111100100101010100111010010010001 g -b10000 I" -#3458 -b1111100100101010100111010010010010 " -b11100 b -b1111100100101010100111010010010010 g -b0 I" -#3459 -b1111100100101010100111010010010011 " -b1111100100101010100111010010010011 g -#3460 -b1111100100101010100111010010010100 " -b1111100100101010100111010010010100 g -#3461 -b1111100100101010100111010010010101 " -b1111100100101010100111010010010101 g -#3462 -b1111100100101010100111010010010110 " -b1111100100101010100111010010010110 g -#3463 -b1111100100101010100111010010010111 " -b1111100100101010100111010010010111 g -#3464 -b1111100100101010100111010010011000 " -b1111100100101010100111010010011000 g -#3465 -b1111100100101010100111010010011001 " -b1111100100101010100111010010011001 g -#3466 -b1111100100101010100111010010011010 " -b1111100100101010100111010010011010 g -#3467 -b1111100100101010100111010010011011 " -b1111100100101010100111010010011011 g -#3468 -b1111100100101010100111010010011100 " -b1111100100101010100111010010011100 g -#3469 -b1111100100101010100111010010011101 " -b1111100100101010100111010010011101 g -#3470 -b1111100100101010100111010010011110 " -b1111100100101010100111010010011110 g -#3471 -b1111100100101010100111010010011111 " -b1111100100101010100111010010011111 g -#3472 -b1111100100101010100111010010100000 " -b11110 b -b1111100100101010100111010010100000 g -b1111 I" -#3473 -b1111100100101010100111010010100001 " -b11101 b -b1111100100101010100111010010100001 g -b10000 I" -#3474 -b1111100100101010100111010010100010 " -b11100 b -b1111100100101010100111010010100010 g -b0 I" -#3475 -b1111100100101010100111010010100011 " -b1111100100101010100111010010100011 g -#3476 -b1111100100101010100111010010100100 " -b1111100100101010100111010010100100 g -#3477 -b1111100100101010100111010010100101 " -b1111100100101010100111010010100101 g -#3478 -b1111100100101010100111010010100110 " -b1111100100101010100111010010100110 g -#3479 -b1111100100101010100111010010100111 " -b1111100100101010100111010010100111 g -#3480 -b1111100100101010100111010010101000 " -b1111100100101010100111010010101000 g -#3481 -b1111100100101010100111010010101001 " -b1111100100101010100111010010101001 g -#3482 -b1111100100101010100111010010101010 " -b1111100100101010100111010010101010 g -#3483 -b1111100100101010100111010010101011 " -b1111100100101010100111010010101011 g -#3484 -b1111100100101010100111010010101100 " -b1111100100101010100111010010101100 g -#3485 -b1111100100101010100111010010101101 " -b1111100100101010100111010010101101 g -#3486 -b1111100100101010100111010010101110 " -b1111100100101010100111010010101110 g -#3487 -b1111100100101010100111010010101111 " -b1111100100101010100111010010101111 g -#3488 -b1111100100101010100111010010110000 " -b11110 b -b1111100100101010100111010010110000 g -b1111 I" -#3489 -b1111100100101010100111010010110001 " -b11101 b -b1111100100101010100111010010110001 g -b10000 I" -#3490 -b1111100100101010100111010010110010 " -b11100 b -b1111100100101010100111010010110010 g -b0 I" -#3491 -b1111100100101010100111010010110011 " -b1111100100101010100111010010110011 g -#3492 -b1111100100101010100111010010110100 " -b1111100100101010100111010010110100 g -#3493 -b1111100100101010100111010010110101 " -b1111100100101010100111010010110101 g -#3494 -b1111100100101010100111010010110110 " -b1111100100101010100111010010110110 g -#3495 -b1111100100101010100111010010110111 " -b1111100100101010100111010010110111 g -#3496 -b1111100100101010100111010010111000 " -b1111100100101010100111010010111000 g -#3497 -b1111100100101010100111010010111001 " -b1111100100101010100111010010111001 g -#3498 -b1111100100101010100111010010111010 " -b1111100100101010100111010010111010 g -#3499 -b1111100100101010100111010010111011 " -b1111100100101010100111010010111011 g -#3500 -b1111100100101010100111010010111100 " -b1111100100101010100111010010111100 g -#3501 -b1111100100101010100111010010111101 " -b1111100100101010100111010010111101 g -#3502 -b1111100100101010100111010010111110 " -b1111100100101010100111010010111110 g -#3503 -b1111100100101010100111010010111111 " -b1111100100101010100111010010111111 g -#3504 -b1111100100101010100111010011000000 " -b11110 b -b1111100100101010100111010011000000 g -b1111 I" -#3505 -b1111100100101010100111010011000001 " -b11101 b -b1111100100101010100111010011000001 g -b10000 I" -#3506 -b1111100100101010100111010011000010 " -b11100 b -b1111100100101010100111010011000010 g -b0 I" -#3507 -b1111100100101010100111010011000011 " -b1111100100101010100111010011000011 g -#3508 -b1111100100101010100111010011000100 " -b1111100100101010100111010011000100 g -#3509 -b1111100100101010100111010011000101 " -b1111100100101010100111010011000101 g -#3510 -b1111100100101010100111010011000110 " -b1111100100101010100111010011000110 g -#3511 -b1111100100101010100111010011000111 " -b1111100100101010100111010011000111 g -#3512 -b1111100100101010100111010011001000 " -b1111100100101010100111010011001000 g -#3513 -b1111100100101010100111010011001001 " -b1111100100101010100111010011001001 g -#3514 -b1111100100101010100111010011001010 " -b1111100100101010100111010011001010 g -#3515 -b1111100100101010100111010011001011 " -b1111100100101010100111010011001011 g -#3516 -b1111100100101010100111010011001100 " -b1111100100101010100111010011001100 g -#3517 -b1111100100101010100111010011001101 " -b1111100100101010100111010011001101 g -#3518 -b1111100100101010100111010011001110 " -b1111100100101010100111010011001110 g -#3519 -b1111100100101010100111010011001111 " -b1111100100101010100111010011001111 g -#3520 -b1111100100101010100111010011010000 " -b11110 b -b1111100100101010100111010011010000 g -b1111 I" -#3521 -b1111100100101010100111010011010001 " -b11101 b -b1111100100101010100111010011010001 g -b10000 I" -#3522 -b1111100100101010100111010011010010 " -b11100 b -b1111100100101010100111010011010010 g -b0 I" -#3523 -b1111100100101010100111010011010011 " -b1111100100101010100111010011010011 g -#3524 -b1111100100101010100111010011010100 " -b1111100100101010100111010011010100 g -#3525 -b1111100100101010100111010011010101 " -b1111100100101010100111010011010101 g -#3526 -b1111100100101010100111010011010110 " -b1111100100101010100111010011010110 g -#3527 -b1111100100101010100111010011010111 " -b1111100100101010100111010011010111 g -#3528 -b1111100100101010100111010011011000 " -b1111100100101010100111010011011000 g -#3529 -b1111100100101010100111010011011001 " -b1111100100101010100111010011011001 g -#3530 -b1111100100101010100111010011011010 " -b1111100100101010100111010011011010 g -#3531 -b1111100100101010100111010011011011 " -b1111100100101010100111010011011011 g -#3532 -b1111100100101010100111010011011100 " -b1111100100101010100111010011011100 g -#3533 -b1111100100101010100111010011011101 " -b1111100100101010100111010011011101 g -#3534 -b1111100100101010100111010011011110 " -b1111100100101010100111010011011110 g -#3535 -b1111100100101010100111010011011111 " -b1111100100101010100111010011011111 g -#3536 -b1111100100101010100111010011100000 " -b11110 b -b1111100100101010100111010011100000 g -#3537 -b1111100100101010100111010011100001 " -b11101 b -b1111100100101010100111010011100001 g -b10000 I" -#3538 -b1111100100101010100111010011100010 " -b11100 b -b1111100100101010100111010011100010 g -b0 I" -#3539 -b1111100100101010100111010011100011 " -b1111100100101010100111010011100011 g -#3540 -b1111100100101010100111010011100100 " -b1111100100101010100111010011100100 g -#3541 -b1111100100101010100111010011100101 " -b1111100100101010100111010011100101 g -#3542 -b1111100100101010100111010011100110 " -b1111100100101010100111010011100110 g -#3543 -b1111100100101010100111010011100111 " -b1111100100101010100111010011100111 g -#3544 -b1111100100101010100111010011101000 " -b1111100100101010100111010011101000 g -#3545 -b1111100100101010100111010011101001 " -b1111100100101010100111010011101001 g -#3546 -b1111100100101010100111010011101010 " -b1111100100101010100111010011101010 g -#3547 -b1111100100101010100111010011101011 " -b1111100100101010100111010011101011 g -#3548 -b1111100100101010100111010011101100 " -b1111100100101010100111010011101100 g -#3549 -b1111100100101010100111010011101101 " -b1111100100101010100111010011101101 g -#3550 -b1111100100101010100111010011101110 " -b1111100100101010100111010011101110 g -#3551 -b1111100100101010100111010011101111 " -b1111100100101010100111010011101111 g -#3552 -b1111100100101010100111010011110000 " -b11110 b -b1111100100101010100111010011110000 g -b1111 I" -#3553 -b1111100100101010100111010011110001 " -b11101 b -b1111100100101010100111010011110001 g -b10000 I" -#3554 -b1111100100101010100111010011110010 " -b11100 b -b1111100100101010100111010011110010 g -b0 I" -#3555 -b1111100100101010100111010011110011 " -b1111100100101010100111010011110011 g -#3556 -b1111100100101010100111010011110100 " -b1111100100101010100111010011110100 g -#3557 -b1111100100101010100111010011110101 " -b1111100100101010100111010011110101 g -#3558 -b1111100100101010100111010011110110 " -b1111100100101010100111010011110110 g -#3559 -b1111100100101010100111010011110111 " -b1111100100101010100111010011110111 g -#3560 -b1111100100101010100111010011111000 " -b1111100100101010100111010011111000 g -#3561 -b1111100100101010100111010011111001 " -b1111100100101010100111010011111001 g -#3562 -b1111100100101010100111010011111010 " -b1111100100101010100111010011111010 g -#3563 -b1111100100101010100111010011111011 " -b1111100100101010100111010011111011 g -#3564 -b1111100100101010100111010011111100 " -b1111100100101010100111010011111100 g -#3565 -b1111100100101010100111010011111101 " -b1111100100101010100111010011111101 g -#3566 -b1111100100101010100111010011111110 " -b1111100100101010100111010011111110 g -#3567 -b1111100100101010100111010011111111 " -b1111100100101010100111010011111111 g -#3568 -b1111100100101010100111010100000000 " -b11110 b -b1111100100101010100111010100000000 g -b1111 I" -#3569 -b1111100100101010100111010100000001 " -b11101 b -b1111100100101010100111010100000001 g -b10000 I" -#3570 -b1111100100101010100111010100000010 " -b11100 b -b1111100100101010100111010100000010 g -b0 I" -#3571 -b1111100100101010100111010100000011 " -b1111100100101010100111010100000011 g -#3572 -b1111100100101010100111010100000100 " -b1111100100101010100111010100000100 g -#3573 -b1111100100101010100111010100000101 " -b1111100100101010100111010100000101 g -#3574 -b1111100100101010100111010100000110 " -b1111100100101010100111010100000110 g -#3575 -b1111100100101010100111010100000111 " -b1111100100101010100111010100000111 g -#3576 -b1111100100101010100111010100001000 " -b1111100100101010100111010100001000 g -#3577 -b1111100100101010100111010100001001 " -b1111100100101010100111010100001001 g -#3578 -b1111100100101010100111010100001010 " -b1111100100101010100111010100001010 g -#3579 -b1111100100101010100111010100001011 " -b1111100100101010100111010100001011 g -#3580 -b1111100100101010100111010100001100 " -b1111100100101010100111010100001100 g -#3581 -b1111100100101010100111010100001101 " -b1111100100101010100111010100001101 g -#3582 -b1111100100101010100111010100001110 " -b1111100100101010100111010100001110 g -#3583 -b1111100100101010100111010100001111 " -b1111100100101010100111010100001111 g -#3584 -b1111100100101010100111010100010000 " -b11110 b -b1111100100101010100111010100010000 g -b1111 I" -#3585 -b1111100100101010100111010100010001 " -b11101 b -b1111100100101010100111010100010001 g -b10000 I" -#3586 -b1111100100101010100111010100010010 " -b11100 b -b1111100100101010100111010100010010 g -b0 I" -#3587 -b1111100100101010100111010100010011 " -b1111100100101010100111010100010011 g -#3588 -b1111100100101010100111010100010100 " -b1111100100101010100111010100010100 g -#3589 -b1111100100101010100111010100010101 " -b1111100100101010100111010100010101 g -#3590 -b1111100100101010100111010100010110 " -b1111100100101010100111010100010110 g -#3591 -b1111100100101010100111010100010111 " -b1111100100101010100111010100010111 g -#3592 -b1111100100101010100111010100011000 " -b1111100100101010100111010100011000 g -#3593 -b1111100100101010100111010100011001 " -b1111100100101010100111010100011001 g -#3594 -b1111100100101010100111010100011010 " -b1111100100101010100111010100011010 g -#3595 -b1111100100101010100111010100011011 " -b1111100100101010100111010100011011 g -#3596 -b1111100100101010100111010100011100 " -b1111100100101010100111010100011100 g -#3597 -b1111100100101010100111010100011101 " -b1111100100101010100111010100011101 g -#3598 -b1111100100101010100111010100011110 " -b1111100100101010100111010100011110 g -#3599 -b1111100100101010100111010100011111 " -b1111100100101010100111010100011111 g -#3600 -b1111100100101010100111010100100000 " -b11110 b -b1111100100101010100111010100100000 g -b1111 I" -#3601 -b1111100100101010100111010100100001 " -b11101 b -b1111100100101010100111010100100001 g -b10000 I" -#3602 -b1111100100101010100111010100100010 " -b11100 b -b1111100100101010100111010100100010 g -b0 I" -#3603 -b1111100100101010100111010100100011 " -b1111100100101010100111010100100011 g -#3604 -b1111100100101010100111010100100100 " -b1111100100101010100111010100100100 g -#3605 -b1111100100101010100111010100100101 " -b1111100100101010100111010100100101 g -#3606 -b1111100100101010100111010100100110 " -b1111100100101010100111010100100110 g -#3607 -b1111100100101010100111010100100111 " -b1111100100101010100111010100100111 g -#3608 -b1111100100101010100111010100101000 " -b1111100100101010100111010100101000 g -#3609 -b1111100100101010100111010100101001 " -b1111100100101010100111010100101001 g -#3610 -b1111100100101010100111010100101010 " -b1111100100101010100111010100101010 g -#3611 -b1111100100101010100111010100101011 " -b1111100100101010100111010100101011 g -#3612 -b1111100100101010100111010100101100 " -b1111100100101010100111010100101100 g -#3613 -b1111100100101010100111010100101101 " -b1111100100101010100111010100101101 g -#3614 -b1111100100101010100111010100101110 " -b1111100100101010100111010100101110 g -#3615 -b1111100100101010100111010100101111 " -b1111100100101010100111010100101111 g -#3616 -b1111100100101010100111010100110000 " -b11110 b -b1111100100101010100111010100110000 g -b1111 I" -#3617 -b1111100100101010100111010100110001 " -b11101 b -b1111100100101010100111010100110001 g -b10000 I" -#3618 -b1111100100101010100111010100110010 " -b11100 b -b1111100100101010100111010100110010 g -b0 I" -#3619 -b1111100100101010100111010100110011 " -b1111100100101010100111010100110011 g -#3620 -b1111100100101010100111010100110100 " -b1111100100101010100111010100110100 g -#3621 -b1111100100101010100111010100110101 " -b1111100100101010100111010100110101 g -#3622 -b1111100100101010100111010100110110 " -b1111100100101010100111010100110110 g -#3623 -b1111100100101010100111010100110111 " -b1111100100101010100111010100110111 g -#3624 -b1111100100101010100111010100111000 " -b1111100100101010100111010100111000 g -#3625 -b1111100100101010100111010100111001 " -b1111100100101010100111010100111001 g -#3626 -b1111100100101010100111010100111010 " -b1111100100101010100111010100111010 g -#3627 -b1111100100101010100111010100111011 " -b1111100100101010100111010100111011 g -#3628 -b1111100100101010100111010100111100 " -b1111100100101010100111010100111100 g -#3629 -b1111100100101010100111010100111101 " -b1111100100101010100111010100111101 g -#3630 -b1111100100101010100111010100111110 " -b1111100100101010100111010100111110 g -#3631 -b1111100100101010100111010100111111 " -b1111100100101010100111010100111111 g -#3632 -b1111100100101010100111010101000000 " -b11110 b -b1111100100101010100111010101000000 g -b1111 I" -#3633 -b1111100100101010100111010101000001 " -b11101 b -b1111100100101010100111010101000001 g -b10000 I" -#3634 -b1111100100101010100111010101000010 " -b11100 b -b1111100100101010100111010101000010 g -b0 I" -#3635 -b1111100100101010100111010101000011 " -b1111100100101010100111010101000011 g -#3636 -b1111100100101010100111010101000100 " -b1111100100101010100111010101000100 g -#3637 -b1111100100101010100111010101000101 " -b1111100100101010100111010101000101 g -#3638 -b1111100100101010100111010101000110 " -b1111100100101010100111010101000110 g -#3639 -b1111100100101010100111010101000111 " -b1111100100101010100111010101000111 g -#3640 -b1111100100101010100111010101001000 " -b1111100100101010100111010101001000 g -#3641 -b1111100100101010100111010101001001 " -b1111100100101010100111010101001001 g -#3642 -b1111100100101010100111010101001010 " -b1111100100101010100111010101001010 g -#3643 -b1111100100101010100111010101001011 " -b1111100100101010100111010101001011 g -#3644 -b1111100100101010100111010101001100 " -b1111100100101010100111010101001100 g -#3645 -b1111100100101010100111010101001101 " -b1111100100101010100111010101001101 g -#3646 -b1111100100101010100111010101001110 " -b1111100100101010100111010101001110 g -#3647 -b1111100100101010100111010101001111 " -b1111100100101010100111010101001111 g -#3648 -b1111100100101010100111010101010000 " -b11110 b -b1111100100101010100111010101010000 g -b1111 I" -#3649 -b1111100100101010100111010101010001 " -b11101 b -b1111100100101010100111010101010001 g -b10000 I" -#3650 -b1111100100101010100111010101010010 " -b11100 b -b1111100100101010100111010101010010 g -b0 I" -#3651 -b1111100100101010100111010101010011 " -b1111100100101010100111010101010011 g -#3652 -b1111100100101010100111010101010100 " -b1111100100101010100111010101010100 g -#3653 -b1111100100101010100111010101010101 " -b1111100100101010100111010101010101 g -#3654 -b1111100100101010100111010101010110 " -b1111100100101010100111010101010110 g -#3655 -b1111100100101010100111010101010111 " -b1111100100101010100111010101010111 g -#3656 -b1111100100101010100111010101011000 " -b1111100100101010100111010101011000 g -#3657 -b1111100100101010100111010101011001 " -b1111100100101010100111010101011001 g -#3658 -b1111100100101010100111010101011010 " -b1111100100101010100111010101011010 g -#3659 -b1111100100101010100111010101011011 " -b1111100100101010100111010101011011 g -#3660 -b1111100100101010100111010101011100 " -b1111100100101010100111010101011100 g -#3661 -b1111100100101010100111010101011101 " -b1111100100101010100111010101011101 g -#3662 -b1111100100101010100111010101011110 " -b1111100100101010100111010101011110 g -#3663 -b1111100100101010100111010101011111 " -b1111100100101010100111010101011111 g -#3664 -b1111100100101010100111010101100000 " -b11110 b -b1111100100101010100111010101100000 g -#3665 -b1111100100101010100111010101100001 " -b11101 b -b1111100100101010100111010101100001 g -b10000 I" -#3666 -b1111100100101010100111010101100010 " -b11100 b -b1111100100101010100111010101100010 g -b0 I" -#3667 -b1111100100101010100111010101100011 " -b1111100100101010100111010101100011 g -#3668 -b1111100100101010100111010101100100 " -b1111100100101010100111010101100100 g -#3669 -b1111100100101010100111010101100101 " -b1111100100101010100111010101100101 g -#3670 -b1111100100101010100111010101100110 " -b1111100100101010100111010101100110 g -#3671 -b1111100100101010100111010101100111 " -b1111100100101010100111010101100111 g -#3672 -b1111100100101010100111010101101000 " -b1111100100101010100111010101101000 g -#3673 -b1111100100101010100111010101101001 " -b1111100100101010100111010101101001 g -#3674 -b1111100100101010100111010101101010 " -b1111100100101010100111010101101010 g -#3675 -b1111100100101010100111010101101011 " -b1111100100101010100111010101101011 g -#3676 -b1111100100101010100111010101101100 " -b1111100100101010100111010101101100 g -#3677 -b1111100100101010100111010101101101 " -b1111100100101010100111010101101101 g -#3678 -b1111100100101010100111010101101110 " -b1111100100101010100111010101101110 g -#3679 -b1111100100101010100111010101101111 " -b1111100100101010100111010101101111 g -#3680 -b1111100100101010100111010101110000 " -b11110 b -b1111100100101010100111010101110000 g -b1111 I" -#3681 -b1111100100101010100111010101110001 " -b11101 b -b1111100100101010100111010101110001 g -b10000 I" -#3682 -b1111100100101010100111010101110010 " -b11100 b -b1111100100101010100111010101110010 g -b0 I" -#3683 -b1111100100101010100111010101110011 " -b1111100100101010100111010101110011 g -#3684 -b1111100100101010100111010101110100 " -b1111100100101010100111010101110100 g -#3685 -b1111100100101010100111010101110101 " -b1111100100101010100111010101110101 g -#3686 -b1111100100101010100111010101110110 " -b1111100100101010100111010101110110 g -#3687 -b1111100100101010100111010101110111 " -b1111100100101010100111010101110111 g -#3688 -b1111100100101010100111010101111000 " -b1111100100101010100111010101111000 g -#3689 -b1111100100101010100111010101111001 " -b1111100100101010100111010101111001 g -#3690 -b1111100100101010100111010101111010 " -b1111100100101010100111010101111010 g -#3691 -b1111100100101010100111010101111011 " -b1111100100101010100111010101111011 g -#3692 -b1111100100101010100111010101111100 " -b1111100100101010100111010101111100 g -#3693 -b1111100100101010100111010101111101 " -b1111100100101010100111010101111101 g -#3694 -b1111100100101010100111010101111110 " -b1111100100101010100111010101111110 g -#3695 -b1111100100101010100111010101111111 " -b1111100100101010100111010101111111 g -#3696 -b1111100100101010100111010110000000 " -b11110 b -b1111100100101010100111010110000000 g -b1111 I" -#3697 -b1111100100101010100111010110000001 " -b11101 b -b1111100100101010100111010110000001 g -b10000 I" -#3698 -b1111100100101010100111010110000010 " -b11100 b -b1111100100101010100111010110000010 g -b0 I" -#3699 -b1111100100101010100111010110000011 " -b1111100100101010100111010110000011 g -#3700 -b1111100100101010100111010110000100 " -b1111100100101010100111010110000100 g -#3701 -b1111100100101010100111010110000101 " -b1111100100101010100111010110000101 g -#3702 -b1111100100101010100111010110000110 " -b1111100100101010100111010110000110 g -#3703 -b1111100100101010100111010110000111 " -b1111100100101010100111010110000111 g -#3704 -b1111100100101010100111010110001000 " -b1111100100101010100111010110001000 g -#3705 -b1111100100101010100111010110001001 " -b1111100100101010100111010110001001 g -#3706 -b1111100100101010100111010110001010 " -b1111100100101010100111010110001010 g -#3707 -b1111100100101010100111010110001011 " -b1111100100101010100111010110001011 g -#3708 -b1111100100101010100111010110001100 " -b1111100100101010100111010110001100 g -#3709 -b1111100100101010100111010110001101 " -b1111100100101010100111010110001101 g -#3710 -b1111100100101010100111010110001110 " -b1111100100101010100111010110001110 g -#3711 -b1111100100101010100111010110001111 " -b1111100100101010100111010110001111 g -#3712 -b1111100100101010100111010110010000 " -b11110 b -b1111100100101010100111010110010000 g -#3713 -b1111100100101010100111010110010001 " -b11101 b -b1111100100101010100111010110010001 g -b10000 I" -#3714 -b1111100100101010100111010110010010 " -b11100 b -b1111100100101010100111010110010010 g -b0 I" -#3715 -b1111100100101010100111010110010011 " -b1111100100101010100111010110010011 g -#3716 -b1111100100101010100111010110010100 " -b1111100100101010100111010110010100 g -#3717 -b1111100100101010100111010110010101 " -b1111100100101010100111010110010101 g -#3718 -b1111100100101010100111010110010110 " -b1111100100101010100111010110010110 g -#3719 -b1111100100101010100111010110010111 " -b1111100100101010100111010110010111 g -#3720 -b1111100100101010100111010110011000 " -b1111100100101010100111010110011000 g -#3721 -b1111100100101010100111010110011001 " -b1111100100101010100111010110011001 g -#3722 -b1111100100101010100111010110011010 " -b1111100100101010100111010110011010 g -#3723 -b1111100100101010100111010110011011 " -b1111100100101010100111010110011011 g -#3724 -b1111100100101010100111010110011100 " -b1111100100101010100111010110011100 g -#3725 -b1111100100101010100111010110011101 " -b1111100100101010100111010110011101 g -#3726 -b1111100100101010100111010110011110 " -b1111100100101010100111010110011110 g -#3727 -b1111100100101010100111010110011111 " -b1111100100101010100111010110011111 g -#3728 -b1111100100101010100111010110100000 " -b11110 b -b1111100100101010100111010110100000 g -#3729 -b1111100100101010100111010110100001 " -b11101 b -b1111100100101010100111010110100001 g -b10000 I" -#3730 -b1111100100101010100111010110100010 " -b11100 b -b1111100100101010100111010110100010 g -b0 I" -#3731 -b1111100100101010100111010110100011 " -b1111100100101010100111010110100011 g -#3732 -b1111100100101010100111010110100100 " -b1111100100101010100111010110100100 g -#3733 -b1111100100101010100111010110100101 " -b1111100100101010100111010110100101 g -#3734 -b1111100100101010100111010110100110 " -b1111100100101010100111010110100110 g -#3735 -b1111100100101010100111010110100111 " -b1111100100101010100111010110100111 g -#3736 -b1111100100101010100111010110101000 " -b1111100100101010100111010110101000 g -#3737 -b1111100100101010100111010110101001 " -b1111100100101010100111010110101001 g -#3738 -b1111100100101010100111010110101010 " -b1111100100101010100111010110101010 g -#3739 -b1111100100101010100111010110101011 " -b1111100100101010100111010110101011 g -#3740 -b1111100100101010100111010110101100 " -b1111100100101010100111010110101100 g -#3741 -b1111100100101010100111010110101101 " -b1111100100101010100111010110101101 g -#3742 -b1111100100101010100111010110101110 " -b1111100100101010100111010110101110 g -#3743 -b1111100100101010100111010110101111 " -b1111100100101010100111010110101111 g -#3744 -b1111100100101010100111010110110000 " -b11110 b -b1111100100101010100111010110110000 g -#3745 -b1111100100101010100111010110110001 " -b11101 b -b1111100100101010100111010110110001 g -b10000 I" -#3746 -b1111100100101010100111010110110010 " -b11100 b -b1111100100101010100111010110110010 g -b0 I" -#3747 -b1111100100101010100111010110110011 " -b1111100100101010100111010110110011 g -#3748 -b1111100100101010100111010110110100 " -b1111100100101010100111010110110100 g -#3749 -b1111100100101010100111010110110101 " -b1111100100101010100111010110110101 g -#3750 -b1111100100101010100111010110110110 " -b1111100100101010100111010110110110 g -#3751 -b1111100100101010100111010110110111 " -b1111100100101010100111010110110111 g -#3752 -b1111100100101010100111010110111000 " -b1111100100101010100111010110111000 g -#3753 -b1111100100101010100111010110111001 " -b1111100100101010100111010110111001 g -#3754 -b1111100100101010100111010110111010 " -b1111100100101010100111010110111010 g -#3755 -b1111100100101010100111010110111011 " -b1111100100101010100111010110111011 g -#3756 -b1111100100101010100111010110111100 " -b1111100100101010100111010110111100 g -#3757 -b1111100100101010100111010110111101 " -b1111100100101010100111010110111101 g -#3758 -b1111100100101010100111010110111110 " -b1111100100101010100111010110111110 g -#3759 -b1111100100101010100111010110111111 " -b1111100100101010100111010110111111 g -#3760 -b1111100100101010100111010111000000 " -b11110 b -b1111100100101010100111010111000000 g -b1111 I" -#3761 -b1111100100101010100111010111000001 " -b11101 b -b1111100100101010100111010111000001 g -b10000 I" -#3762 -b1111100100101010100111010111000010 " -b11100 b -b1111100100101010100111010111000010 g -b0 I" -#3763 -b1111100100101010100111010111000011 " -b1111100100101010100111010111000011 g -#3764 -b1111100100101010100111010111000100 " -b1111100100101010100111010111000100 g -#3765 -b1111100100101010100111010111000101 " -b1111100100101010100111010111000101 g -#3766 -b1111100100101010100111010111000110 " -b1111100100101010100111010111000110 g -#3767 -b1111100100101010100111010111000111 " -b1111100100101010100111010111000111 g -#3768 -b1111100100101010100111010111001000 " -b1111100100101010100111010111001000 g -#3769 -b1111100100101010100111010111001001 " -b1111100100101010100111010111001001 g -#3770 -b1111100100101010100111010111001010 " -b1111100100101010100111010111001010 g -#3771 -b1111100100101010100111010111001011 " -b1111100100101010100111010111001011 g -#3772 -b1111100100101010100111010111001100 " -b1111100100101010100111010111001100 g -#3773 -b1111100100101010100111010111001101 " -b1111100100101010100111010111001101 g -#3774 -b1111100100101010100111010111001110 " -b1111100100101010100111010111001110 g -#3775 -b1111100100101010100111010111001111 " -b1111100100101010100111010111001111 g -#3776 -b1111100100101010100111010111010000 " -b11110 b -b1111100100101010100111010111010000 g -b1111 I" -#3777 -b1111100100101010100111010111010001 " -b11101 b -b1111100100101010100111010111010001 g -b10000 I" -#3778 -b1111100100101010100111010111010010 " -b11100 b -b0 I" -#3779 -b1111100100101010100111010111010011 " -b1111100100101010100111010111010010 g -#3780 -b1111100100101010100111010111010100 " -b1111100100101010100111010111010011 g -#3781 -b1111100100101010100111010111010101 " -b1111100100101010100111010111010100 g -#3782 -b1111100100101010100111010111010110 " -b1111100100101010100111010111010101 g -#3783 -b1111100100101010100111010111010111 " -b1111100100101010100111010111010110 g -#3784 -b1111100100101010100111010111011000 " -b1111100100101010100111010111010111 g -#3785 -b1111100100101010100111010111011001 " -b1111100100101010100111010111011000 g -#3786 -b1111100100101010100111010111011010 " -b1111100100101010100111010111011001 g -#3787 -b1111100100101010100111010111011011 " -b1111100100101010100111010111011010 g -#3788 -b1111100100101010100111010111011100 " -b1111100100101010100111010111011011 g -#3789 -b1111100100101010100111010111011101 " -b1111100100101010100111010111011100 g -#3790 -b1111100100101010100111010111011110 " -b1111100100101010100111010111011101 g -#3791 -b1111100100101010100111010111011111 " -b1111100100101010100111010111011110 g -#3792 -b1111100100101010100111010111100000 " -b11110 b -b1111100100101010100111010111011111 g -#3793 -b1111100100101010100111010111100001 " -b11101 b -b1111100100101010100111010111100000 g -b1111 I" -#3794 -b1111100100101010100111010111100010 " -b11100 b -b1111100100101010100111010111100001 g -b10000 I" -#3795 -b1111100100101010100111010111100011 " -b1111100100101010100111010111100010 g -b0 I" -#3796 -b1111100100101010100111010111100100 " -b1111100100101010100111010111100011 g -#3797 -b1111100100101010100111010111100101 " -b1111100100101010100111010111100100 g -#3798 -b1111100100101010100111010111100110 " -b1111100100101010100111010111100101 g -#3799 -b1111100100101010100111010111100111 " -b1111100100101010100111010111100110 g -#3800 -b1111100100101010100111010111101000 " -b1111100100101010100111010111100111 g -#3801 -b1111100100101010100111010111101001 " -b1111100100101010100111010111101000 g -#3802 -b1111100100101010100111010111101010 " -b1111100100101010100111010111101001 g -#3803 -b1111100100101010100111010111101011 " -b1111100100101010100111010111101010 g -#3804 -b1111100100101010100111010111101100 " -b1111100100101010100111010111101011 g -#3805 -b1111100100101010100111010111101101 " -b1111100100101010100111010111101100 g -#3806 -b1111100100101010100111010111101110 " -b1111100100101010100111010111101101 g -#3807 -b1111100100101010100111010111101111 " -b1111100100101010100111010111101110 g -#3808 -b1111100100101010100111010111110000 " -b11110 b -b1111100100101010100111010111101111 g -#3809 -b1111100100101010100111010111110001 " -b11101 b -b1111100100101010100111010111110000 g -b1111 I" -#3810 -b1111100100101010100111010111110010 " -b11100 b -b1111100100101010100111010111110001 g -b10000 I" -#3811 -b1111100100101010100111010111110011 " -b1111100100101010100111010111110010 g -b0 I" -#3812 -b1111100100101010100111010111110100 " -b1111100100101010100111010111110011 g -#3813 -b1111100100101010100111010111110101 " -b1111100100101010100111010111110100 g -#3814 -b1111100100101010100111010111110110 " -b1111100100101010100111010111110101 g -#3815 -b1111100100101010100111010111110111 " -b1111100100101010100111010111110110 g -#3816 -b1111100100101010100111010111111000 " -b1111100100101010100111010111110111 g -#3817 -b1111100100101010100111010111111001 " -b1111100100101010100111010111111000 g -#3818 -b1111100100101010100111010111111010 " -b1111100100101010100111010111111001 g -#3819 -b1111100100101010100111010111111011 " -b1111100100101010100111010111111010 g -#3820 -b1111100100101010100111010111111100 " -b1111100100101010100111010111111011 g -#3821 -b1111100100101010100111010111111101 " -b1111100100101010100111010111111100 g -#3822 -b1111100100101010100111010111111110 " -b1111100100101010100111010111111101 g -#3823 -b1111100100101010100111010111111111 " -b1111100100101010100111010111111110 g -#3824 -b1111100100101010100111011000000000 " -b11110 b -b1111100100101010100111010111111111 g -#3825 -b1111100100101010100111011000000001 " -b11101 b -b1111100100101010100111011000000000 g -b1111 I" -#3826 -b1111100100101010100111011000000010 " -b11100 b -b1111100100101010100111011000000001 g -b10000 I" -#3827 -b1111100100101010100111011000000011 " -b1111100100101010100111011000000010 g -b0 I" -#3828 -b1111100100101010100111011000000100 " -b1111100100101010100111011000000011 g -#3829 -b1111100100101010100111011000000101 " -b1111100100101010100111011000000100 g -#3830 -b1111100100101010100111011000000110 " -b1111100100101010100111011000000101 g -#3831 -b1111100100101010100111011000000111 " -b1111100100101010100111011000000110 g -#3832 -b1111100100101010100111011000001000 " -b1111100100101010100111011000000111 g -#3833 -b1111100100101010100111011000001001 " -b1111100100101010100111011000001000 g -#3834 -b1111100100101010100111011000001010 " -b1111100100101010100111011000001001 g -#3835 -b1111100100101010100111011000001011 " -b1111100100101010100111011000001010 g -#3836 -b1111100100101010100111011000001100 " -b1111100100101010100111011000001011 g -#3837 -b1111100100101010100111011000001101 " -b1111100100101010100111011000001100 g -#3838 -b1111100100101010100111011000001110 " -b1111100100101010100111011000001101 g -#3839 -b1111100100101010100111011000001111 " -b1111100100101010100111011000001110 g -#3840 -b1111100100101010100111011000010000 " -b11110 b -b1111100100101010100111011000001111 g -#3841 -b1111100100101010100111011000010001 " -b11101 b -b1111100100101010100111011000010000 g -b1111 I" -#3842 -b1111100100101010100111011000010010 " -b11100 b -b1111100100101010100111011000010001 g -b10000 I" -#3843 -b1111100100101010100111011000010011 " -b1111100100101010100111011000010010 g -b0 I" -#3844 -b1111100100101010100111011000010100 " -b1111100100101010100111011000010011 g -#3845 -b1111100100101010100111011000010101 " -b1111100100101010100111011000010100 g -#3846 -b1111100100101010100111011000010110 " -b1111100100101010100111011000010101 g -#3847 -b1111100100101010100111011000010111 " -b1111100100101010100111011000010110 g -#3848 -b1111100100101010100111011000011000 " -b1111100100101010100111011000010111 g -#3849 -b1111100100101010100111011000011001 " -b1111100100101010100111011000011000 g -#3850 -b1111100100101010100111011000011010 " -b1111100100101010100111011000011001 g -#3851 -b1111100100101010100111011000011011 " -b1111100100101010100111011000011010 g -#3852 -b1111100100101010100111011000011100 " -b1111100100101010100111011000011011 g -#3853 -b1111100100101010100111011000011101 " -b1111100100101010100111011000011100 g -#3854 -b1111100100101010100111011000011110 " -b1111100100101010100111011000011101 g -#3855 -b1111100100101010100111011000011111 " -b1111100100101010100111011000011110 g -#3856 -b1111100100101010100111011000100000 " -b11110 b -b1111100100101010100111011000011111 g -#3857 -b1111100100101010100111011000100001 " -b11101 b -b1111100100101010100111011000100000 g -b1111 I" -#3858 -b1111100100101010100111011000100010 " -b11100 b -b1111100100101010100111011000100001 g -b10000 I" -#3859 -b1111100100101010100111011000100011 " -b1111100100101010100111011000100010 g -b0 I" -#3860 -b1111100100101010100111011000100100 " -b1111100100101010100111011000100011 g -#3861 -b1111100100101010100111011000100101 " -b1111100100101010100111011000100100 g -#3862 -b1111100100101010100111011000100110 " -b1111100100101010100111011000100101 g -#3863 -b1111100100101010100111011000100111 " -b1111100100101010100111011000100110 g -#3864 -b1111100100101010100111011000101000 " -b1111100100101010100111011000100111 g -#3865 -b1111100100101010100111011000101001 " -b1111100100101010100111011000101000 g -#3866 -b1111100100101010100111011000101010 " -b1111100100101010100111011000101001 g -#3867 -b1111100100101010100111011000101011 " -b1111100100101010100111011000101010 g -#3868 -b1111100100101010100111011000101100 " -b1111100100101010100111011000101011 g -#3869 -b1111100100101010100111011000101101 " -b1111100100101010100111011000101100 g -#3870 -b1111100100101010100111011000101110 " -b1111100100101010100111011000101101 g -#3871 -b1111100100101010100111011000101111 " -b1111100100101010100111011000101110 g -#3872 -b1111100100101010100111011000110000 " -b11110 b -b1111100100101010100111011000101111 g -#3873 -b1111100100101010100111011000110001 " -b11101 b -b1111100100101010100111011000110000 g -b1111 I" -#3874 -b1111100100101010100111011000110010 " -b11100 b -b1111100100101010100111011000110001 g -b10000 I" -#3875 -b1111100100101010100111011000110011 " -b1111100100101010100111011000110010 g -b0 I" -#3876 -b1111100100101010100111011000110100 " -b1111100100101010100111011000110011 g -#3877 -b1111100100101010100111011000110101 " -b1111100100101010100111011000110100 g -#3878 -b1111100100101010100111011000110110 " -b1111100100101010100111011000110101 g -#3879 -b1111100100101010100111011000110111 " -b1111100100101010100111011000110110 g -#3880 -b1111100100101010100111011000111000 " -b1111100100101010100111011000110111 g -#3881 -b1111100100101010100111011000111001 " -b1111100100101010100111011000111000 g -#3882 -b1111100100101010100111011000111010 " -b1111100100101010100111011000111001 g -#3883 -b1111100100101010100111011000111011 " -b1111100100101010100111011000111010 g -#3884 -b1111100100101010100111011000111100 " -b1111100100101010100111011000111011 g -#3885 -b1111100100101010100111011000111101 " -b1111100100101010100111011000111100 g -#3886 -b1111100100101010100111011000111110 " -b1111100100101010100111011000111101 g -#3887 -b1111100100101010100111011000111111 " -b1111100100101010100111011000111110 g -#3888 -b1111100100101010100111011001000000 " -b11110 b -b1111100100101010100111011000111111 g -#3889 -b1111100100101010100111011001000001 " -b11101 b -b1111100100101010100111011001000000 g -b1111 I" -#3890 -b1111100100101010100111011001000010 " -b11100 b -b1111100100101010100111011001000001 g -b10000 I" -#3891 -b1111100100101010100111011001000011 " -b1111100100101010100111011001000010 g -b0 I" -#3892 -b1111100100101010100111011001000100 " -b1111100100101010100111011001000011 g -#3893 -b1111100100101010100111011001000101 " -b1111100100101010100111011001000100 g -#3894 -b1111100100101010100111011001000110 " -b1111100100101010100111011001000101 g -#3895 -b1111100100101010100111011001000111 " -b1111100100101010100111011001000110 g -#3896 -b1111100100101010100111011001001000 " -b1111100100101010100111011001000111 g -#3897 -b1111100100101010100111011001001001 " -b1111100100101010100111011001001000 g -#3898 -b1111100100101010100111011001001010 " -b1111100100101010100111011001001001 g -#3899 -b1111100100101010100111011001001011 " -b1111100100101010100111011001001010 g -#3900 -b1111100100101010100111011001001100 " -b1111100100101010100111011001001011 g -#3901 -b1111100100101010100111011001001101 " -b1111100100101010100111011001001100 g -#3902 -b1111100100101010100111011001001110 " -b1111100100101010100111011001001101 g -#3903 -b1111100100101010100111011001001111 " -b1111100100101010100111011001001110 g -#3904 -b1111100100101010100111011001010000 " -b11110 b -b1111100100101010100111011001001111 g -#3905 -b1111100100101010100111011001010001 " -b11101 b -b1111100100101010100111011001010000 g -b1111 I" -#3906 -b1111100100101010100111011001010010 " -b11100 b -#3907 -b1111100100101010100111011001010011 " -#3908 -b1111100100101010100111011001010100 " -#3909 -b1111100100101010100111011001010101 " -#3910 -b1111100100101010100111011001010110 " -#3911 -b1111100100101010100111011001010111 " -#3912 -b1111100100101010100111011001011000 " -#3913 -b1111100100101010100111011001011001 " -#3914 -b1111100100101010100111011001011010 " -#3915 -b1111100100101010100111011001011011 " -#3916 -b1111100100101010100111011001011100 " -#3917 -b1111100100101010100111011001011101 " -#3918 -b1111100100101010100111011001011110 " -#3919 -b1111100100101010100111011001011111 " -#3920 -b1111100100101010100111011001100000 " -b11110 b -b1111100100101010100111011001100001 g -b10000 I" -#3921 -b1111100100101010100111011001100001 " -b11101 b -b1111100100101010100111011001100010 g -b0 I" -#3922 -b1111100100101010100111011001100010 " -b11100 b -b1111100100101010100111011001100011 g -#3923 -b1111100100101010100111011001100011 " -b1111100100101010100111011001100100 g -#3924 -b1111100100101010100111011001100100 " -b1111100100101010100111011001100101 g -#3925 -b1111100100101010100111011001100101 " -b1111100100101010100111011001100110 g -#3926 -b1111100100101010100111011001100110 " -b1111100100101010100111011001100111 g -#3927 -b1111100100101010100111011001100111 " -b1111100100101010100111011001101000 g -#3928 -b1111100100101010100111011001101000 " -b1111100100101010100111011001101001 g -#3929 -b1111100100101010100111011001101001 " -b1111100100101010100111011001101010 g -#3930 -b1111100100101010100111011001101010 " -b1111100100101010100111011001101011 g -#3931 -b1111100100101010100111011001101011 " -b1111100100101010100111011001101100 g -#3932 -b1111100100101010100111011001101100 " -b1111100100101010100111011001101101 g -#3933 -b1111100100101010100111011001101101 " -b1111100100101010100111011001101110 g -#3934 -b1111100100101010100111011001101110 " -b1111100100101010100111011001101111 g -#3935 -b1111100100101010100111011001101111 " -b1111100100101010100111011001110000 g -b1111 I" -#3936 -b1111100100101010100111011001110000 " -b11110 b -#3937 -b1111100100101010100111011001110001 " -b11101 b -b1111100100101010100111011001110001 g -b10000 I" -#3938 -b1111100100101010100111011001110010 " -b11100 b -b1111100100101010100111011001110010 g -b0 I" -#3939 -b1111100100101010100111011001110011 " -b1111100100101010100111011001110011 g -#3940 -b1111100100101010100111011001110100 " -b1111100100101010100111011001110100 g -#3941 -b1111100100101010100111011001110101 " -b1111100100101010100111011001110101 g -#3942 -b1111100100101010100111011001110110 " -b1111100100101010100111011001110110 g -#3943 -b1111100100101010100111011001110111 " -b1111100100101010100111011001110111 g -#3944 -b1111100100101010100111011001111000 " -b1111100100101010100111011001111000 g -#3945 -b1111100100101010100111011001111001 " -b1111100100101010100111011001111001 g -#3946 -b1111100100101010100111011001111010 " -b1111100100101010100111011001111010 g -#3947 -b1111100100101010100111011001111011 " -b1111100100101010100111011001111011 g -#3948 -b1111100100101010100111011001111100 " -b1111100100101010100111011001111100 g -#3949 -b1111100100101010100111011001111101 " -b1111100100101010100111011001111101 g -#3950 -b1111100100101010100111011001111110 " -b1111100100101010100111011001111110 g -#3951 -b1111100100101010100111011001111111 " -b1111100100101010100111011001111111 g -#3952 -b1111100100101010100111011010000000 " -b11110 b -b1111100100101010100111011010000000 g -b1111 I" -#3953 -b1111100100101010100111011010000001 " -b11101 b -b1111100100101010100111011010000001 g -b10000 I" -#3954 -b1111100100101010100111011010000010 " -b11100 b -b1111100100101010100111011010000010 g -b0 I" -#3955 -b1111100100101010100111011010000011 " -b1111100100101010100111011010000011 g -#3956 -b1111100100101010100111011010000100 " -b1111100100101010100111011010000100 g -#3957 -b1111100100101010100111011010000101 " -b1111100100101010100111011010000101 g -#3958 -b1111100100101010100111011010000110 " -b1111100100101010100111011010000110 g -#3959 -b1111100100101010100111011010000111 " -b1111100100101010100111011010000111 g -#3960 -b1111100100101010100111011010001000 " -b1111100100101010100111011010001000 g -#3961 -b1111100100101010100111011010001001 " -b1111100100101010100111011010001001 g -#3962 -b1111100100101010100111011010001010 " -b1111100100101010100111011010001010 g -#3963 -b1111100100101010100111011010001011 " -b1111100100101010100111011010001011 g -#3964 -b1111100100101010100111011010001100 " -b1111100100101010100111011010001100 g -#3965 -b1111100100101010100111011010001101 " -b1111100100101010100111011010001101 g -#3966 -b1111100100101010100111011010001110 " -b1111100100101010100111011010001110 g -#3967 -b1111100100101010100111011010001111 " -b1111100100101010100111011010001111 g -#3968 -b1111100100101010100111011010010000 " -b11110 b -b1111100100101010100111011010010000 g -#3969 -b1111100100101010100111011010010001 " -b11101 b -b1111100100101010100111011010010001 g -b10000 I" -#3970 -b1111100100101010100111011010010010 " -b11100 b -b1111100100101010100111011010010010 g -b0 I" -#3971 -b1111100100101010100111011010010011 " -b1111100100101010100111011010010011 g -#3972 -b1111100100101010100111011010010100 " -b1111100100101010100111011010010100 g -#3973 -b1111100100101010100111011010010101 " -b1111100100101010100111011010010101 g -#3974 -b1111100100101010100111011010010110 " -b1111100100101010100111011010010110 g -#3975 -b1111100100101010100111011010010111 " -b1111100100101010100111011010010111 g -#3976 -b1111100100101010100111011010011000 " -b1111100100101010100111011010011000 g -#3977 -b1111100100101010100111011010011001 " -b1111100100101010100111011010011001 g -#3978 -b1111100100101010100111011010011010 " -b1111100100101010100111011010011010 g -#3979 -b1111100100101010100111011010011011 " -b1111100100101010100111011010011011 g -#3980 -b1111100100101010100111011010011100 " -b1111100100101010100111011010011100 g -#3981 -b1111100100101010100111011010011101 " -b1111100100101010100111011010011101 g -#3982 -b1111100100101010100111011010011110 " -b1111100100101010100111011010011110 g -#3983 -b1111100100101010100111011010011111 " -b1111100100101010100111011010011111 g -#3984 -b1111100100101010100111011010100000 " -b11110 b -b1111100100101010100111011010100000 g -#3985 -b1111100100101010100111011010100001 " -b11101 b -b1111100100101010100111011010100001 g -#3986 -b1111100100101010100111011010100010 " -b11100 b -b1111100100101010100111011010100010 g -#3987 -b1111100100101010100111011010100011 " -b1111100100101010100111011010100011 g -#3988 -b1111100100101010100111011010100100 " -b1111100100101010100111011010100100 g -#3989 -b1111100100101010100111011010100101 " -b1111100100101010100111011010100101 g -#3990 -b1111100100101010100111011010100110 " -b1111100100101010100111011010100110 g -#3991 -b1111100100101010100111011010100111 " -b1111100100101010100111011010100111 g -#3992 -b1111100100101010100111011010101000 " -b1111100100101010100111011010101000 g -#3993 -b1111100100101010100111011010101001 " -b1111100100101010100111011010101001 g -#3994 -b1111100100101010100111011010101010 " -b1111100100101010100111011010101010 g -#3995 -b1111100100101010100111011010101011 " -b1111100100101010100111011010101011 g -#3996 -b1111100100101010100111011010101100 " -b1111100100101010100111011010101100 g -#3997 -b1111100100101010100111011010101101 " -b1111100100101010100111011010101101 g -#3998 -b1111100100101010100111011010101110 " -b1111100100101010100111011010101110 g -#3999 -b1111100100101010100111011010101111 " -b1111100100101010100111011010101111 g -#4000 -b1111100100101010100111011010110000 " -b11110 b -b1111100100101010100111011010110000 g -b1111 I" -#4001 -b1111100100101010100111011010110001 " -b11101 b -b1111100100101010100111011010110001 g -b10000 I" -#4002 -b1111100100101010100111011010110010 " -b11100 b -b1111100100101010100111011010110010 g -b0 I" -#4003 -b1111100100101010100111011010110011 " -b1111100100101010100111011010110011 g -#4004 -b1111100100101010100111011010110100 " -b1111100100101010100111011010110100 g -#4005 -b1111100100101010100111011010110101 " -b1111100100101010100111011010110101 g -#4006 -b1111100100101010100111011010110110 " -b1111100100101010100111011010110110 g -#4007 -b1111100100101010100111011010110111 " -b1111100100101010100111011010110111 g -#4008 -b1111100100101010100111011010111000 " -b1111100100101010100111011010111000 g -#4009 -b1111100100101010100111011010111001 " -b1111100100101010100111011010111001 g -#4010 -b1111100100101010100111011010111010 " -b1111100100101010100111011010111010 g -#4011 -b1111100100101010100111011010111011 " -b1111100100101010100111011010111011 g -#4012 -b1111100100101010100111011010111100 " -b1111100100101010100111011010111100 g -#4013 -b1111100100101010100111011010111101 " -b1111100100101010100111011010111101 g -#4014 -b1111100100101010100111011010111110 " -b1111100100101010100111011010111110 g -#4015 -b1111100100101010100111011010111111 " -b1111100100101010100111011010111111 g -#4016 -b1111100100101010100111011011000000 " -b11110 b -b1111100100101010100111011011000000 g -b1111 I" -#4017 -b1111100100101010100111011011000001 " -b11101 b -b1111100100101010100111011011000001 g -b10000 I" -#4018 -b1111100100101010100111011011000010 " -b11100 b -b1111100100101010100111011011000010 g -b0 I" -#4019 -b1111100100101010100111011011000011 " -b1111100100101010100111011011000011 g -#4020 -b1111100100101010100111011011000100 " -b1111100100101010100111011011000100 g -#4021 -b1111100100101010100111011011000101 " -b1111100100101010100111011011000101 g -#4022 -b1111100100101010100111011011000110 " -b1111100100101010100111011011000110 g -#4023 -b1111100100101010100111011011000111 " -b1111100100101010100111011011000111 g -#4024 -b1111100100101010100111011011001000 " -b1111100100101010100111011011001000 g -#4025 -b1111100100101010100111011011001001 " -b1111100100101010100111011011001001 g -#4026 -b1111100100101010100111011011001010 " -b1111100100101010100111011011001010 g -#4027 -b1111100100101010100111011011001011 " -b1111100100101010100111011011001011 g -#4028 -b1111100100101010100111011011001100 " -b1111100100101010100111011011001100 g -#4029 -b1111100100101010100111011011001101 " -b1111100100101010100111011011001101 g -#4030 -b1111100100101010100111011011001110 " -b1111100100101010100111011011001110 g -#4031 -b1111100100101010100111011011001111 " -b1111100100101010100111011011001111 g -#4032 -b1111100100101010100111011011010000 " -b11110 b -b1111100100101010100111011011010000 g -b1111 I" -#4033 -b1111100100101010100111011011010001 " -b11101 b -b1111100100101010100111011011010001 g -b10000 I" -#4034 -b1111100100101010100111011011010010 " -b11100 b -b1111100100101010100111011011010010 g -b0 I" -#4035 -b1111100100101010100111011011010011 " -b1111100100101010100111011011010011 g -#4036 -b1111100100101010100111011011010100 " -b1111100100101010100111011011010100 g -#4037 -b1111100100101010100111011011010101 " -b1111100100101010100111011011010101 g -#4038 -b1111100100101010100111011011010110 " -b1111100100101010100111011011010110 g -#4039 -b1111100100101010100111011011010111 " -b1111100100101010100111011011010111 g -#4040 -b1111100100101010100111011011011000 " -b1111100100101010100111011011011000 g -#4041 -b1111100100101010100111011011011001 " -b1111100100101010100111011011011001 g -#4042 -b1111100100101010100111011011011010 " -b1111100100101010100111011011011010 g -#4043 -b1111100100101010100111011011011011 " -b1111100100101010100111011011011011 g -#4044 -b1111100100101010100111011011011100 " -b1111100100101010100111011011011100 g -#4045 -b1111100100101010100111011011011101 " -b1111100100101010100111011011011101 g -#4046 -b1111100100101010100111011011011110 " -b1111100100101010100111011011011110 g -#4047 -b1111100100101010100111011011011111 " -b1111100100101010100111011011011111 g -#4048 -b1111100100101010100111011011100000 " -b11110 b -b1111100100101010100111011011100000 g -#4049 -b1111100100101010100111011011100001 " -b11101 b -b1111100100101010100111011011100001 g -b10000 I" -#4050 -b1111100100101010100111011011100010 " -b11100 b -b1111100100101010100111011011100010 g -b0 I" -#4051 -b1111100100101010100111011011100011 " -b1111100100101010100111011011100011 g -#4052 -b1111100100101010100111011011100100 " -b1111100100101010100111011011100100 g -#4053 -b1111100100101010100111011011100101 " -b1111100100101010100111011011100101 g -#4054 -b1111100100101010100111011011100110 " -b1111100100101010100111011011100110 g -#4055 -b1111100100101010100111011011100111 " -b1111100100101010100111011011100111 g -#4056 -b1111100100101010100111011011101000 " -b1111100100101010100111011011101000 g -#4057 -b1111100100101010100111011011101001 " -b1111100100101010100111011011101001 g -#4058 -b1111100100101010100111011011101010 " -b1111100100101010100111011011101010 g -#4059 -b1111100100101010100111011011101011 " -b1111100100101010100111011011101011 g -#4060 -b1111100100101010100111011011101100 " -b1111100100101010100111011011101100 g -#4061 -b1111100100101010100111011011101101 " -b1111100100101010100111011011101101 g -#4062 -b1111100100101010100111011011101110 " -b1111100100101010100111011011101110 g -#4063 -b1111100100101010100111011011101111 " -b1111100100101010100111011011101111 g -#4064 -b1111100100101010100111011011110000 " -b11110 b -b1111100100101010100111011011110000 g -#4065 -b1111100100101010100111011011110001 " -b11101 b -b1111100100101010100111011011110001 g -#4066 -b1111100100101010100111011011110010 " -b11100 b -b1111100100101010100111011011110010 g -#4067 -b1111100100101010100111011011110011 " -b1111100100101010100111011011110011 g -#4068 -b1111100100101010100111011011110100 " -b1111100100101010100111011011110100 g -#4069 -b1111100100101010100111011011110101 " -b1111100100101010100111011011110101 g -#4070 -b1111100100101010100111011011110110 " -b1111100100101010100111011011110110 g -#4071 -b1111100100101010100111011011110111 " -b1111100100101010100111011011110111 g -#4072 -b1111100100101010100111011011111000 " -b1111100100101010100111011011111000 g -#4073 -b1111100100101010100111011011111001 " -b1111100100101010100111011011111001 g -#4074 -b1111100100101010100111011011111010 " -b1111100100101010100111011011111010 g -#4075 -b1111100100101010100111011011111011 " -b1111100100101010100111011011111011 g -#4076 -b1111100100101010100111011011111100 " -b1111100100101010100111011011111100 g -#4077 -b1111100100101010100111011011111101 " -b1111100100101010100111011011111101 g -#4078 -b1111100100101010100111011011111110 " -b1111100100101010100111011011111110 g -#4079 -b1111100100101010100111011011111111 " -b1111100100101010100111011011111111 g -#4080 -b1111100100101010100111011100000000 " -b11110 b -b1111100100101010100111011100000000 g -b1111 I" -#4081 -b1111100100101010100111011100000001 " -b11101 b -b1111100100101010100111011100000001 g -b10000 I" -#4082 -b1111100100101010100111011100000010 " -b11100 b -b1111100100101010100111011100000010 g -b0 I" -#4083 -b1111100100101010100111011100000011 " -b1111100100101010100111011100000011 g -#4084 -b1111100100101010100111011100000100 " -b1111100100101010100111011100000100 g -#4085 -b1111100100101010100111011100000101 " -b1111100100101010100111011100000101 g -#4086 -b1111100100101010100111011100000110 " -b1111100100101010100111011100000110 g -#4087 -b1111100100101010100111011100000111 " -b1111100100101010100111011100000111 g -#4088 -b1111100100101010100111011100001000 " -b1111100100101010100111011100001000 g -#4089 -b1111100100101010100111011100001001 " -b1111100100101010100111011100001001 g -#4090 -b1111100100101010100111011100001010 " -b1111100100101010100111011100001010 g -#4091 -b1111100100101010100111011100001011 " -b1111100100101010100111011100001011 g -#4092 -b1111100100101010100111011100001100 " -b1111100100101010100111011100001100 g -#4093 -b1111100100101010100111011100001101 " -b1111100100101010100111011100001101 g -#4094 -b1111100100101010100111011100001110 " -b1111100100101010100111011100001110 g -#4095 -b1111100100101010100111011100001111 " -b1111100100101010100111011100001111 g -#4096 -b1111100100101010100111011100010000 " -b11110 b -b1111100100101010100111011100010000 g -b1111 I" -#4097 -b1111100100101010100111011100010001 " -b11101 b -b1111100100101010100111011100010001 g -b10000 I" -#4098 -b1111100100101010100111011100010010 " -b11100 b -b1111100100101010100111011100010010 g -b0 I" -#4099 -b1111100100101010100111011100010011 " -b1111100100101010100111011100010011 g -#4100 -b1111100100101010100111011100010100 " -b1111100100101010100111011100010100 g -#4101 -b1111100100101010100111011100010101 " -b1111100100101010100111011100010101 g -#4102 -b1111100100101010100111011100010110 " -b1111100100101010100111011100010110 g -#4103 -b1111100100101010100111011100010111 " -b1111100100101010100111011100010111 g -#4104 -b1111100100101010100111011100011000 " -b1111100100101010100111011100011000 g -#4105 -b1111100100101010100111011100011001 " -b1111100100101010100111011100011001 g -#4106 -b1111100100101010100111011100011010 " -b1111100100101010100111011100011010 g -#4107 -b1111100100101010100111011100011011 " -b1111100100101010100111011100011011 g -#4108 -b1111100100101010100111011100011100 " -b1111100100101010100111011100011100 g -#4109 -b1111100100101010100111011100011101 " -b1111100100101010100111011100011101 g -#4110 -b1111100100101010100111011100011110 " -b1111100100101010100111011100011110 g -#4111 -b1111100100101010100111011100011111 " -b1111100100101010100111011100011111 g -#4112 -b1111100100101010100111011100100000 " -b11110 b -b1111100100101010100111011100100000 g -b1111 I" -#4113 -b1111100100101010100111011100100001 " -b11101 b -b1111100100101010100111011100100001 g -b10000 I" -#4114 -b1111100100101010100111011100100010 " -b11100 b -b1111100100101010100111011100100010 g -b0 I" -#4115 -b1111100100101010100111011100100011 " -b1111100100101010100111011100100011 g -#4116 -b1111100100101010100111011100100100 " -b1111100100101010100111011100100100 g -#4117 -b1111100100101010100111011100100101 " -b1111100100101010100111011100100101 g -#4118 -b1111100100101010100111011100100110 " -b1111100100101010100111011100100110 g -#4119 -b1111100100101010100111011100100111 " -b1111100100101010100111011100100111 g -#4120 -b1111100100101010100111011100101000 " -b1111100100101010100111011100101000 g -#4121 -b1111100100101010100111011100101001 " -b1111100100101010100111011100101001 g -#4122 -b1111100100101010100111011100101010 " -b1111100100101010100111011100101010 g -#4123 -b1111100100101010100111011100101011 " -b1111100100101010100111011100101011 g -#4124 -b1111100100101010100111011100101100 " -b1111100100101010100111011100101100 g -#4125 -b1111100100101010100111011100101101 " -b1111100100101010100111011100101101 g -#4126 -b1111100100101010100111011100101110 " -b1111100100101010100111011100101110 g -#4127 -b1111100100101010100111011100101111 " -b1111100100101010100111011100101111 g -#4128 -b1111100100101010100111011100110000 " -b11110 b -b1111100100101010100111011100110000 g -b1111 I" -#4129 -b1111100100101010100111011100110001 " -b11101 b -b1111100100101010100111011100110001 g -b10000 I" -#4130 -b1111100100101010100111011100110010 " -b11100 b -b1111100100101010100111011100110010 g -b0 I" -#4131 -b1111100100101010100111011100110011 " -b1111100100101010100111011100110011 g -#4132 -b1111100100101010100111011100110100 " -b1111100100101010100111011100110100 g -#4133 -b1111100100101010100111011100110101 " -b1111100100101010100111011100110101 g -#4134 -b1111100100101010100111011100110110 " -b1111100100101010100111011100110110 g -#4135 -b1111100100101010100111011100110111 " -b1111100100101010100111011100110111 g -#4136 -b1111100100101010100111011100111000 " -b1111100100101010100111011100111000 g -#4137 -b1111100100101010100111011100111001 " -b1111100100101010100111011100111001 g -#4138 -b1111100100101010100111011100111010 " -b1111100100101010100111011100111010 g -#4139 -b1111100100101010100111011100111011 " -b1111100100101010100111011100111011 g -#4140 -b1111100100101010100111011100111100 " -b1111100100101010100111011100111100 g -#4141 -b1111100100101010100111011100111101 " -b1111100100101010100111011100111101 g -#4142 -b1111100100101010100111011100111110 " -b1111100100101010100111011100111110 g -#4143 -b1111100100101010100111011100111111 " -b1111100100101010100111011100111111 g -#4144 -b1111100100101010100111011101000000 " -b11110 b -b1111100100101010100111011101000000 g -b1111 I" -#4145 -b1111100100101010100111011101000001 " -b11101 b -b1111100100101010100111011101000001 g -b10000 I" -#4146 -b1111100100101010100111011101000010 " -b11100 b -b1111100100101010100111011101000010 g -b0 I" -#4147 -b1111100100101010100111011101000011 " -b1111100100101010100111011101000011 g -#4148 -b1111100100101010100111011101000100 " -b1111100100101010100111011101000100 g -#4149 -b1111100100101010100111011101000101 " -b1111100100101010100111011101000101 g -#4150 -b1111100100101010100111011101000110 " -b1111100100101010100111011101000110 g -#4151 -b1111100100101010100111011101000111 " -b1111100100101010100111011101000111 g -#4152 -b1111100100101010100111011101001000 " -b1111100100101010100111011101001000 g -#4153 -b1111100100101010100111011101001001 " -b1111100100101010100111011101001001 g -#4154 -b1111100100101010100111011101001010 " -b1111100100101010100111011101001010 g -#4155 -b1111100100101010100111011101001011 " -b1111100100101010100111011101001011 g -#4156 -b1111100100101010100111011101001100 " -b1111100100101010100111011101001100 g -#4157 -b1111100100101010100111011101001101 " -b1111100100101010100111011101001101 g -#4158 -b1111100100101010100111011101001110 " -b1111100100101010100111011101001110 g -#4159 -b1111100100101010100111011101001111 " -b1111100100101010100111011101001111 g -#4160 -b1111100100101010100111011101010000 " -b11110 b -b1111100100101010100111011101010000 g -b1111 I" -#4161 -b1111100100101010100111011101010001 " -b11101 b -b1111100100101010100111011101010001 g -b10000 I" -#4162 -b1111100100101010100111011101010010 " -b11100 b -b1111100100101010100111011101010010 g -b0 I" -#4163 -b1111100100101010100111011101010011 " -b1111100100101010100111011101010011 g -#4164 -b1111100100101010100111011101010100 " -b1111100100101010100111011101010100 g -#4165 -b1111100100101010100111011101010101 " -b1111100100101010100111011101010101 g -#4166 -b1111100100101010100111011101010110 " -b1111100100101010100111011101010110 g -#4167 -b1111100100101010100111011101010111 " -b1111100100101010100111011101010111 g -#4168 -b1111100100101010100111011101011000 " -b1111100100101010100111011101011000 g -#4169 -b1111100100101010100111011101011001 " -b1111100100101010100111011101011001 g -#4170 -b1111100100101010100111011101011010 " -b1111100100101010100111011101011010 g -#4171 -b1111100100101010100111011101011011 " -b1111100100101010100111011101011011 g -#4172 -b1111100100101010100111011101011100 " -b1111100100101010100111011101011100 g -#4173 -b1111100100101010100111011101011101 " -b1111100100101010100111011101011101 g -#4174 -b1111100100101010100111011101011110 " -b1111100100101010100111011101011110 g -#4175 -b1111100100101010100111011101011111 " -b1111100100101010100111011101011111 g -#4176 -b1111100100101010100111011101100000 " -b11110 b -b1111100100101010100111011101100000 g -b1111 I" -#4177 -b1111100100101010100111011101100001 " -b11101 b -b1111100100101010100111011101100001 g -b10000 I" -#4178 -b1111100100101010100111011101100010 " -b11100 b -b1111100100101010100111011101100010 g -b0 I" -#4179 -b1111100100101010100111011101100011 " -b1111100100101010100111011101100011 g -#4180 -b1111100100101010100111011101100100 " -b1111100100101010100111011101100100 g -#4181 -b1111100100101010100111011101100101 " -b1111100100101010100111011101100101 g -#4182 -b1111100100101010100111011101100110 " -b1111100100101010100111011101100110 g -#4183 -b1111100100101010100111011101100111 " -b1111100100101010100111011101100111 g -#4184 -b1111100100101010100111011101101000 " -b1111100100101010100111011101101000 g -#4185 -b1111100100101010100111011101101001 " -b1111100100101010100111011101101001 g -#4186 -b1111100100101010100111011101101010 " -b1111100100101010100111011101101010 g -#4187 -b1111100100101010100111011101101011 " -b1111100100101010100111011101101011 g -#4188 -b1111100100101010100111011101101100 " -b1111100100101010100111011101101100 g -#4189 -b1111100100101010100111011101101101 " -b1111100100101010100111011101101101 g -#4190 -b1111100100101010100111011101101110 " -b1111100100101010100111011101101110 g -#4191 -b1111100100101010100111011101101111 " -b1111100100101010100111011101101111 g -#4192 -b1111100100101010100111011101110000 " -b11110 b -b1111100100101010100111011101110000 g -b1111 I" -#4193 -b1111100100101010100111011101110001 " -b11101 b -b1111100100101010100111011101110001 g -b10000 I" -#4194 -b1111100100101010100111011101110010 " -b11100 b -b1111100100101010100111011101110010 g -b0 I" -#4195 -b1111100100101010100111011101110011 " -b1111100100101010100111011101110011 g -#4196 -b1111100100101010100111011101110100 " -b1111100100101010100111011101110100 g -#4197 -b1111100100101010100111011101110101 " -b1111100100101010100111011101110101 g -#4198 -b1111100100101010100111011101110110 " -b1111100100101010100111011101110110 g -#4199 -b1111100100101010100111011101110111 " -b1111100100101010100111011101110111 g -#4200 -b1111100100101010100111011101111000 " -b1111100100101010100111011101111000 g -#4201 -b1111100100101010100111011101111001 " -b1111100100101010100111011101111001 g -#4202 -b1111100100101010100111011101111010 " -b1111100100101010100111011101111010 g -#4203 -b1111100100101010100111011101111011 " -b1111100100101010100111011101111011 g -#4204 -b1111100100101010100111011101111100 " -b1111100100101010100111011101111100 g -#4205 -b1111100100101010100111011101111101 " -b1111100100101010100111011101111101 g -#4206 -b1111100100101010100111011101111110 " -b1111100100101010100111011101111110 g -#4207 -b1111100100101010100111011101111111 " -b1111100100101010100111011101111111 g -#4208 -b1111100100101010100111011110000000 " -b11110 b -b1111100100101010100111011110000000 g -#4209 -b1111100100101010100111011110000001 " -b11101 b -b1111100100101010100111011110000001 g -b10000 I" -#4210 -b1111100100101010100111011110000010 " -b11100 b -b1111100100101010100111011110000010 g -b0 I" -#4211 -b1111100100101010100111011110000011 " -b1111100100101010100111011110000011 g -#4212 -b1111100100101010100111011110000100 " -b1111100100101010100111011110000100 g -#4213 -b1111100100101010100111011110000101 " -b1111100100101010100111011110000101 g -#4214 -b1111100100101010100111011110000110 " -b1111100100101010100111011110000110 g -#4215 -b1111100100101010100111011110000111 " -b1111100100101010100111011110000111 g -#4216 -b1111100100101010100111011110001000 " -b1111100100101010100111011110001000 g -#4217 -b1111100100101010100111011110001001 " -b1111100100101010100111011110001001 g -#4218 -b1111100100101010100111011110001010 " -b1111100100101010100111011110001010 g -#4219 -b1111100100101010100111011110001011 " -b1111100100101010100111011110001011 g -#4220 -b1111100100101010100111011110001100 " -b1111100100101010100111011110001100 g -#4221 -b1111100100101010100111011110001101 " -b1111100100101010100111011110001101 g -#4222 -b1111100100101010100111011110001110 " -b1111100100101010100111011110001110 g -#4223 -b1111100100101010100111011110001111 " -b1111100100101010100111011110001111 g -#4224 -b1111100100101010100111011110010000 " -b11110 b -b1111100100101010100111011110010000 g -b1111 I" -#4225 -b1111100100101010100111011110010001 " -b11101 b -b1111100100101010100111011110010001 g -b10000 I" -#4226 -b1111100100101010100111011110010010 " -b11100 b -b1111100100101010100111011110010010 g -b0 I" -#4227 -b1111100100101010100111011110010011 " -b1111100100101010100111011110010011 g -#4228 -b1111100100101010100111011110010100 " -b1111100100101010100111011110010100 g -#4229 -b1111100100101010100111011110010101 " -b1111100100101010100111011110010101 g -#4230 -b1111100100101010100111011110010110 " -b1111100100101010100111011110010110 g -#4231 -b1111100100101010100111011110010111 " -b1111100100101010100111011110010111 g -#4232 -b1111100100101010100111011110011000 " -b1111100100101010100111011110011000 g -#4233 -b1111100100101010100111011110011001 " -b1111100100101010100111011110011001 g -#4234 -b1111100100101010100111011110011010 " -b1111100100101010100111011110011010 g -#4235 -b1111100100101010100111011110011011 " -b1111100100101010100111011110011011 g -#4236 -b1111100100101010100111011110011100 " -b1111100100101010100111011110011100 g -#4237 -b1111100100101010100111011110011101 " -b1111100100101010100111011110011101 g -#4238 -b1111100100101010100111011110011110 " -b1111100100101010100111011110011110 g -#4239 -b1111100100101010100111011110011111 " -b1111100100101010100111011110011111 g -#4240 -b1111100100101010100111011110100000 " -b11110 b -b1111100100101010100111011110100000 g -b1111 I" -#4241 -b1111100100101010100111011110100001 " -b11101 b -b1111100100101010100111011110100001 g -b10000 I" -#4242 -b1111100100101010100111011110100010 " -b11100 b -b1111100100101010100111011110100010 g -b0 I" -#4243 -b1111100100101010100111011110100011 " -b1111100100101010100111011110100011 g -#4244 -b1111100100101010100111011110100100 " -b1111100100101010100111011110100100 g -#4245 -b1111100100101010100111011110100101 " -b1111100100101010100111011110100101 g -#4246 -b1111100100101010100111011110100110 " -b1111100100101010100111011110100110 g -#4247 -b1111100100101010100111011110100111 " -b1111100100101010100111011110100111 g -#4248 -b1111100100101010100111011110101000 " -b1111100100101010100111011110101000 g -#4249 -b1111100100101010100111011110101001 " -b1111100100101010100111011110101001 g -#4250 -b1111100100101010100111011110101010 " -b1111100100101010100111011110101010 g -#4251 -b1111100100101010100111011110101011 " -b1111100100101010100111011110101011 g -#4252 -b1111100100101010100111011110101100 " -b1111100100101010100111011110101100 g -#4253 -b1111100100101010100111011110101101 " -b1111100100101010100111011110101101 g -#4254 -b1111100100101010100111011110101110 " -b1111100100101010100111011110101110 g -#4255 -b1111100100101010100111011110101111 " -b1111100100101010100111011110101111 g -#4256 -b1111100100101010100111011110110000 " -b11110 b -b1111100100101010100111011110110000 g -b1111 I" -#4257 -b1111100100101010100111011110110001 " -b11101 b -b1111100100101010100111011110110001 g -b10000 I" -#4258 -b1111100100101010100111011110110010 " -b11100 b -b1111100100101010100111011110110010 g -b0 I" -#4259 -b1111100100101010100111011110110011 " -b1111100100101010100111011110110011 g -#4260 -b1111100100101010100111011110110100 " -b1111100100101010100111011110110100 g -#4261 -b1111100100101010100111011110110101 " -b1111100100101010100111011110110101 g -#4262 -b1111100100101010100111011110110110 " -b1111100100101010100111011110110110 g -#4263 -b1111100100101010100111011110110111 " -b1111100100101010100111011110110111 g -#4264 -b1111100100101010100111011110111000 " -b1111100100101010100111011110111000 g -#4265 -b1111100100101010100111011110111001 " -b1111100100101010100111011110111001 g -#4266 -b1111100100101010100111011110111010 " -b1111100100101010100111011110111010 g -#4267 -b1111100100101010100111011110111011 " -b1111100100101010100111011110111011 g -#4268 -b1111100100101010100111011110111100 " -b1111100100101010100111011110111100 g -#4269 -b1111100100101010100111011110111101 " -b1111100100101010100111011110111101 g -#4270 -b1111100100101010100111011110111110 " -b1111100100101010100111011110111110 g -#4271 -b1111100100101010100111011110111111 " -b1111100100101010100111011110111111 g -#4272 -b1111100100101010100111011111000000 " -b11110 b -b1111100100101010100111011111000000 g -b1111 I" -#4273 -b1111100100101010100111011111000001 " -b11101 b -b1111100100101010100111011111000001 g -b10000 I" -#4274 -b1111100100101010100111011111000010 " -b11100 b -b1111100100101010100111011111000010 g -b0 I" -#4275 -b1111100100101010100111011111000011 " -b1111100100101010100111011111000011 g -#4276 -b1111100100101010100111011111000100 " -b1111100100101010100111011111000100 g -#4277 -b1111100100101010100111011111000101 " -b1111100100101010100111011111000101 g -#4278 -b1111100100101010100111011111000110 " -b1111100100101010100111011111000110 g -#4279 -b1111100100101010100111011111000111 " -b1111100100101010100111011111000111 g -#4280 -b1111100100101010100111011111001000 " -b1111100100101010100111011111001000 g -#4281 -b1111100100101010100111011111001001 " -b1111100100101010100111011111001001 g -#4282 -b1111100100101010100111011111001010 " -b1111100100101010100111011111001010 g -#4283 -b1111100100101010100111011111001011 " -b1111100100101010100111011111001011 g -#4284 -b1111100100101010100111011111001100 " -b1111100100101010100111011111001100 g -#4285 -b1111100100101010100111011111001101 " -b1111100100101010100111011111001101 g -#4286 -b1111100100101010100111011111001110 " -b1111100100101010100111011111001110 g -#4287 -b1111100100101010100111011111001111 " -b1111100100101010100111011111001111 g -#4288 -b1111100100101010100111011111010000 " -b11110 b -b1111100100101010100111011111010000 g -b1111 I" -#4289 -b1111100100101010100111011111010001 " -b11101 b -b1111100100101010100111011111010001 g -b10000 I" -#4290 -b1111100100101010100111011111010010 " -b11100 b -b1111100100101010100111011111010010 g -b0 I" -#4291 -b1111100100101010100111011111010011 " -b1111100100101010100111011111010011 g -#4292 -b1111100100101010100111011111010100 " -b1111100100101010100111011111010100 g -#4293 -b1111100100101010100111011111010101 " -b1111100100101010100111011111010101 g -#4294 -b1111100100101010100111011111010110 " -b1111100100101010100111011111010110 g -#4295 -b1111100100101010100111011111010111 " -b1111100100101010100111011111010111 g -#4296 -b1111100100101010100111011111011000 " -b1111100100101010100111011111011000 g -#4297 -b1111100100101010100111011111011001 " -b1111100100101010100111011111011001 g -#4298 -b1111100100101010100111011111011010 " -b1111100100101010100111011111011010 g -#4299 -b1111100100101010100111011111011011 " -b1111100100101010100111011111011011 g -#4300 -b1111100100101010100111011111011100 " -b1111100100101010100111011111011100 g -#4301 -b1111100100101010100111011111011101 " -b1111100100101010100111011111011101 g -#4302 -b1111100100101010100111011111011110 " -b1111100100101010100111011111011110 g -#4303 -b1111100100101010100111011111011111 " -b1111100100101010100111011111011111 g -#4304 -b1111100100101010100111011111100000 " -b11110 b -b1111100100101010100111011111100000 g -#4305 -b1111100100101010100111011111100001 " -b11101 b -b1111100100101010100111011111100001 g -b10000 I" -#4306 -b1111100100101010100111011111100010 " -b11100 b -b1111100100101010100111011111100010 g -b0 I" -#4307 -b1111100100101010100111011111100011 " -b1111100100101010100111011111100011 g -#4308 -b1111100100101010100111011111100100 " -b1111100100101010100111011111100100 g -#4309 -b1111100100101010100111011111100101 " -b1111100100101010100111011111100101 g -#4310 -b1111100100101010100111011111100110 " -b1111100100101010100111011111100110 g -#4311 -b1111100100101010100111011111100111 " -b1111100100101010100111011111100111 g -#4312 -b1111100100101010100111011111101000 " -b1111100100101010100111011111101000 g -#4313 -b1111100100101010100111011111101001 " -b1111100100101010100111011111101001 g -#4314 -b1111100100101010100111011111101010 " -b1111100100101010100111011111101010 g -#4315 -b1111100100101010100111011111101011 " -b1111100100101010100111011111101011 g -#4316 -b1111100100101010100111011111101100 " -b1111100100101010100111011111101100 g -#4317 -b1111100100101010100111011111101101 " -b1111100100101010100111011111101101 g -#4318 -b1111100100101010100111011111101110 " -b1111100100101010100111011111101110 g -#4319 -b1111100100101010100111011111101111 " -b1111100100101010100111011111101111 g -#4320 -b1111100100101010100111011111110000 " -b11110 b -b1111100100101010100111011111110000 g -b1111 I" -#4321 -b1111100100101010100111011111110001 " -b11101 b -b1111100100101010100111011111110001 g -b10000 I" -#4322 -b1111100100101010100111011111110010 " -b11100 b -b1111100100101010100111011111110010 g -b0 I" -#4323 -b1111100100101010100111011111110011 " -b1111100100101010100111011111110011 g -#4324 -b1111100100101010100111011111110100 " -b1111100100101010100111011111110100 g -#4325 -b1111100100101010100111011111110101 " -b1111100100101010100111011111110101 g -#4326 -b1111100100101010100111011111110110 " -b1111100100101010100111011111110110 g -#4327 -b1111100100101010100111011111110111 " -b1111100100101010100111011111110111 g -#4328 -b1111100100101010100111011111111000 " -b1111100100101010100111011111111000 g -#4329 -b1111100100101010100111011111111001 " -b1111100100101010100111011111111001 g -#4330 -b1111100100101010100111011111111010 " -b1111100100101010100111011111111010 g -#4331 -b1111100100101010100111011111111011 " -b1111100100101010100111011111111011 g -#4332 -b1111100100101010100111011111111100 " -b1111100100101010100111011111111100 g -#4333 -b1111100100101010100111011111111101 " -b1111100100101010100111011111111101 g -#4334 -b1111100100101010100111011111111110 " -b1111100100101010100111011111111110 g -#4335 -b1111100100101010100111011111111111 " -b1111100100101010100111011111111111 g -#4336 -b1111100100101010100111100000000000 " -b11110 b -b1111100100101010100111100000000000 g -b1111 I" -#4337 -b1111100100101010100111100000000001 " -b11101 b -b1111100100101010100111100000000001 g -b10000 I" -#4338 -b1111100100101010100111100000000010 " -b11100 b -b1111100100101010100111100000000010 g -b0 I" -#4339 -b1111100100101010100111100000000011 " -b1111100100101010100111100000000011 g -#4340 -b1111100100101010100111100000000100 " -b1111100100101010100111100000000100 g -#4341 -b1111100100101010100111100000000101 " -b1111100100101010100111100000000101 g -#4342 -b1111100100101010100111100000000110 " -b1111100100101010100111100000000110 g -#4343 -b1111100100101010100111100000000111 " -b1111100100101010100111100000000111 g -#4344 -b1111100100101010100111100000001000 " -b1111100100101010100111100000001000 g -#4345 -b1111100100101010100111100000001001 " -b1111100100101010100111100000001001 g -#4346 -b1111100100101010100111100000001010 " -b1111100100101010100111100000001010 g -#4347 -b1111100100101010100111100000001011 " -b1111100100101010100111100000001011 g -#4348 -b1111100100101010100111100000001100 " -b1111100100101010100111100000001100 g -#4349 -b1111100100101010100111100000001101 " -b1111100100101010100111100000001101 g -#4350 -b1111100100101010100111100000001110 " -b1111100100101010100111100000001110 g -#4351 -b1111100100101010100111100000001111 " -b1111100100101010100111100000001111 g -#4352 -b1111100100101010100111100000010000 " -b11110 b -b1111100100101010100111100000010000 g -#4353 -b1111100100101010100111100000010001 " -b11101 b -b1111100100101010100111100000010001 g -b10000 I" -#4354 -b1111100100101010100111100000010010 " -b11100 b -b1111100100101010100111100000010010 g -b0 I" -#4355 -b1111100100101010100111100000010011 " -b1111100100101010100111100000010011 g -#4356 -b1111100100101010100111100000010100 " -b1111100100101010100111100000010100 g -#4357 -b1111100100101010100111100000010101 " -b1111100100101010100111100000010101 g -#4358 -b1111100100101010100111100000010110 " -b1111100100101010100111100000010110 g -#4359 -b1111100100101010100111100000010111 " -b1111100100101010100111100000010111 g -#4360 -b1111100100101010100111100000011000 " -b1111100100101010100111100000011000 g -#4361 -b1111100100101010100111100000011001 " -b1111100100101010100111100000011001 g -#4362 -b1111100100101010100111100000011010 " -b1111100100101010100111100000011010 g -#4363 -b1111100100101010100111100000011011 " -b1111100100101010100111100000011011 g -#4364 -b1111100100101010100111100000011100 " -b1111100100101010100111100000011100 g -#4365 -b1111100100101010100111100000011101 " -b1111100100101010100111100000011101 g -#4366 -b1111100100101010100111100000011110 " -b1111100100101010100111100000011110 g -#4367 -b1111100100101010100111100000011111 " -b1111100100101010100111100000011111 g -#4368 -b1111100100101010100111100000100000 " -b11110 b -b1111100100101010100111100000100000 g -b1111 I" -#4369 -b1111100100101010100111100000100001 " -b11101 b -b1111100100101010100111100000100001 g -b10000 I" -#4370 -b1111100100101010100111100000100010 " -b11100 b -b1111100100101010100111100000100010 g -b0 I" -#4371 -b1111100100101010100111100000100011 " -b1111100100101010100111100000100011 g -#4372 -b1111100100101010100111100000100100 " -b1111100100101010100111100000100100 g -#4373 -b1111100100101010100111100000100101 " -b1111100100101010100111100000100101 g -#4374 -b1111100100101010100111100000100110 " -b1111100100101010100111100000100110 g -#4375 -b1111100100101010100111100000100111 " -b1111100100101010100111100000100111 g -#4376 -b1111100100101010100111100000101000 " -b1111100100101010100111100000101000 g -#4377 -b1111100100101010100111100000101001 " -b1111100100101010100111100000101001 g -#4378 -b1111100100101010100111100000101010 " -b1111100100101010100111100000101010 g -#4379 -b1111100100101010100111100000101011 " -b1111100100101010100111100000101011 g -#4380 -b1111100100101010100111100000101100 " -b1111100100101010100111100000101100 g -#4381 -b1111100100101010100111100000101101 " -b1111100100101010100111100000101101 g -#4382 -b1111100100101010100111100000101110 " -b1111100100101010100111100000101110 g -#4383 -b1111100100101010100111100000101111 " -b1111100100101010100111100000101111 g -#4384 -b1111100100101010100111100000110000 " -b11110 b -b1111100100101010100111100000110000 g -b1111 I" -#4385 -b1111100100101010100111100000110001 " -b11101 b -b1111100100101010100111100000110001 g -b10000 I" -#4386 -b1111100100101010100111100000110010 " -b11100 b -b1111100100101010100111100000110010 g -b0 I" -#4387 -b1111100100101010100111100000110011 " -b1111100100101010100111100000110011 g -#4388 -b1111100100101010100111100000110100 " -b1111100100101010100111100000110100 g -#4389 -b1111100100101010100111100000110101 " -b1111100100101010100111100000110101 g -#4390 -b1111100100101010100111100000110110 " -b1111100100101010100111100000110110 g -#4391 -b1111100100101010100111100000110111 " -b1111100100101010100111100000110111 g -#4392 -b1111100100101010100111100000111000 " -b1111100100101010100111100000111000 g -#4393 -b1111100100101010100111100000111001 " -b1111100100101010100111100000111001 g -#4394 -b1111100100101010100111100000111010 " -b1111100100101010100111100000111010 g -#4395 -b1111100100101010100111100000111011 " -b1111100100101010100111100000111011 g -#4396 -b1111100100101010100111100000111100 " -b1111100100101010100111100000111100 g -#4397 -b1111100100101010100111100000111101 " -b1111100100101010100111100000111101 g -#4398 -b1111100100101010100111100000111110 " -b1111100100101010100111100000111110 g -#4399 -b1111100100101010100111100000111111 " -b1111100100101010100111100000111111 g -#4400 -b1111100100101010100111100001000000 " -b11110 b -b1111100100101010100111100001000000 g -#4401 -b1111100100101010100111100001000001 " -b11101 b -b1111100100101010100111100001000001 g -b10000 I" -#4402 -b1111100100101010100111100001000010 " -b11100 b -b1111100100101010100111100001000010 g -b0 I" -#4403 -b1111100100101010100111100001000011 " -b1111100100101010100111100001000011 g -#4404 -b1111100100101010100111100001000100 " -b1111100100101010100111100001000100 g -#4405 -b1111100100101010100111100001000101 " -b1111100100101010100111100001000101 g -#4406 -b1111100100101010100111100001000110 " -b1111100100101010100111100001000110 g -#4407 -b1111100100101010100111100001000111 " -b1111100100101010100111100001000111 g -#4408 -b1111100100101010100111100001001000 " -b1111100100101010100111100001001000 g -#4409 -b1111100100101010100111100001001001 " -b1111100100101010100111100001001001 g -#4410 -b1111100100101010100111100001001010 " -b1111100100101010100111100001001010 g -#4411 -b1111100100101010100111100001001011 " -b1111100100101010100111100001001011 g -#4412 -b1111100100101010100111100001001100 " -b1111100100101010100111100001001100 g -#4413 -b1111100100101010100111100001001101 " -b1111100100101010100111100001001101 g -#4414 -b1111100100101010100111100001001110 " -b1111100100101010100111100001001110 g -#4415 -b1111100100101010100111100001001111 " -b1111100100101010100111100001001111 g -#4416 -b1111100100101010100111100001010000 " -b11110 b -b1111100100101010100111100001010000 g -b1111 I" -#4417 -b1111100100101010100111100001010001 " -b11101 b -b1111100100101010100111100001010001 g -b10000 I" -#4418 -b1111100100101010100111100001010010 " -b11100 b -b1111100100101010100111100001010010 g -b0 I" -#4419 -b1111100100101010100111100001010011 " -b1111100100101010100111100001010011 g -#4420 -b1111100100101010100111100001010100 " -b1111100100101010100111100001010100 g -#4421 -b1111100100101010100111100001010101 " -b1111100100101010100111100001010101 g -#4422 -b1111100100101010100111100001010110 " -b1111100100101010100111100001010110 g -#4423 -b1111100100101010100111100001010111 " -b1111100100101010100111100001010111 g -#4424 -b1111100100101010100111100001011000 " -b1111100100101010100111100001011000 g -#4425 -b1111100100101010100111100001011001 " -b1111100100101010100111100001011001 g -#4426 -b1111100100101010100111100001011010 " -b1111100100101010100111100001011010 g -#4427 -b1111100100101010100111100001011011 " -b1111100100101010100111100001011011 g -#4428 -b1111100100101010100111100001011100 " -b1111100100101010100111100001011100 g -#4429 -b1111100100101010100111100001011101 " -b1111100100101010100111100001011101 g -#4430 -b1111100100101010100111100001011110 " -b1111100100101010100111100001011110 g -#4431 -b1111100100101010100111100001011111 " -b1111100100101010100111100001011111 g -#4432 -b1111100100101010100111100001100000 " -b11110 b -b1111100100101010100111100001100000 g -b1111 I" -#4433 -b1111100100101010100111100001100001 " -b11101 b -b1111100100101010100111100001100001 g -b10000 I" -#4434 -b1111100100101010100111100001100010 " -b11100 b -b1111100100101010100111100001100010 g -b0 I" -#4435 -b1111100100101010100111100001100011 " -b1111100100101010100111100001100011 g -#4436 -b1111100100101010100111100001100100 " -b1111100100101010100111100001100100 g -#4437 -b1111100100101010100111100001100101 " -b1111100100101010100111100001100101 g -#4438 -b1111100100101010100111100001100110 " -b1111100100101010100111100001100110 g -#4439 -b1111100100101010100111100001100111 " -b1111100100101010100111100001100111 g -#4440 -b1111100100101010100111100001101000 " -b1111100100101010100111100001101000 g -#4441 -b1111100100101010100111100001101001 " -b1111100100101010100111100001101001 g -#4442 -b1111100100101010100111100001101010 " -b1111100100101010100111100001101010 g -#4443 -b1111100100101010100111100001101011 " -b1111100100101010100111100001101011 g -#4444 -b1111100100101010100111100001101100 " -b1111100100101010100111100001101100 g -#4445 -b1111100100101010100111100001101101 " -b1111100100101010100111100001101101 g -#4446 -b1111100100101010100111100001101110 " -b1111100100101010100111100001101110 g -#4447 -b1111100100101010100111100001101111 " -b1111100100101010100111100001101111 g -#4448 -b1111100100101010100111100001110000 " -b11110 b -b1111100100101010100111100001110000 g -b1111 I" -#4449 -b1111100100101010100111100001110001 " -b11101 b -b1111100100101010100111100001110001 g -b10000 I" -#4450 -b1111100100101010100111100001110010 " -b11100 b -b1111100100101010100111100001110010 g -b0 I" -#4451 -b1111100100101010100111100001110011 " -b1111100100101010100111100001110011 g -#4452 -b1111100100101010100111100001110100 " -b1111100100101010100111100001110100 g -#4453 -b1111100100101010100111100001110101 " -b1111100100101010100111100001110101 g -#4454 -b1111100100101010100111100001110110 " -b1111100100101010100111100001110110 g -#4455 -b1111100100101010100111100001110111 " -b1111100100101010100111100001110111 g -#4456 -b1111100100101010100111100001111000 " -b1111100100101010100111100001111000 g -#4457 -b1111100100101010100111100001111001 " -b1111100100101010100111100001111001 g -#4458 -b1111100100101010100111100001111010 " -b1111100100101010100111100001111010 g -#4459 -b1111100100101010100111100001111011 " -b1111100100101010100111100001111011 g -#4460 -b1111100100101010100111100001111100 " -b1111100100101010100111100001111100 g -#4461 -b1111100100101010100111100001111101 " -b1111100100101010100111100001111101 g -#4462 -b1111100100101010100111100001111110 " -b1111100100101010100111100001111110 g -#4463 -b1111100100101010100111100001111111 " -b1111100100101010100111100001111111 g -#4464 -b1111100100101010100111100010000000 " -b11110 b -b1111100100101010100111100010000000 g -b1111 I" -#4465 -b1111100100101010100111100010000001 " -b11101 b -b1111100100101010100111100010000001 g -b10000 I" -#4466 -b1111100100101010100111100010000010 " -b11100 b -b1111100100101010100111100010000010 g -b0 I" -#4467 -b1111100100101010100111100010000011 " -b1111100100101010100111100010000011 g -#4468 -b1111100100101010100111100010000100 " -b1111100100101010100111100010000100 g -#4469 -b1111100100101010100111100010000101 " -b1111100100101010100111100010000101 g -#4470 -b1111100100101010100111100010000110 " -b1111100100101010100111100010000110 g -#4471 -b1111100100101010100111100010000111 " -b1111100100101010100111100010000111 g -#4472 -b1111100100101010100111100010001000 " -b1111100100101010100111100010001000 g -#4473 -b1111100100101010100111100010001001 " -b1111100100101010100111100010001001 g -#4474 -b1111100100101010100111100010001010 " -b1111100100101010100111100010001010 g -#4475 -b1111100100101010100111100010001011 " -b1111100100101010100111100010001011 g -#4476 -b1111100100101010100111100010001100 " -b1111100100101010100111100010001100 g -#4477 -b1111100100101010100111100010001101 " -b1111100100101010100111100010001101 g -#4478 -b1111100100101010100111100010001110 " -b1111100100101010100111100010001110 g -#4479 -b1111100100101010100111100010001111 " -b1111100100101010100111100010001111 g -#4480 -b1111100100101010100111100010010000 " -b11110 b -b1111100100101010100111100010010000 g -#4481 -b1111100100101010100111100010010001 " -b11101 b -b1111100100101010100111100010010001 g -b10000 I" -#4482 -b1111100100101010100111100010010010 " -b11100 b -b1111100100101010100111100010010010 g -b0 I" -#4483 -b1111100100101010100111100010010011 " -b1111100100101010100111100010010011 g -#4484 -b1111100100101010100111100010010100 " -b1111100100101010100111100010010100 g -#4485 -b1111100100101010100111100010010101 " -b1111100100101010100111100010010101 g -#4486 -b1111100100101010100111100010010110 " -b1111100100101010100111100010010110 g -#4487 -b1111100100101010100111100010010111 " -b1111100100101010100111100010010111 g -#4488 -b1111100100101010100111100010011000 " -b1111100100101010100111100010011000 g -#4489 -b1111100100101010100111100010011001 " -b1111100100101010100111100010011001 g -#4490 -b1111100100101010100111100010011010 " -b1111100100101010100111100010011010 g -#4491 -b1111100100101010100111100010011011 " -b1111100100101010100111100010011011 g -#4492 -b1111100100101010100111100010011100 " -b1111100100101010100111100010011100 g -#4493 -b1111100100101010100111100010011101 " -b1111100100101010100111100010011101 g -#4494 -b1111100100101010100111100010011110 " -b1111100100101010100111100010011110 g -#4495 -b1111100100101010100111100010011111 " -b1111100100101010100111100010011111 g -#4496 -b1111100100101010100111100010100000 " -b11110 b -b1111100100101010100111100010100000 g -#4497 -b1111100100101010100111100010100001 " -b11101 b -b1111100100101010100111100010100001 g -b10000 I" -#4498 -b1111100100101010100111100010100010 " -b11100 b -b1111100100101010100111100010100010 g -b0 I" -#4499 -b1111100100101010100111100010100011 " -b1111100100101010100111100010100011 g -#4500 -b1111100100101010100111100010100100 " -b1111100100101010100111100010100100 g -#4501 -b1111100100101010100111100010100101 " -b1111100100101010100111100010100101 g -#4502 -b1111100100101010100111100010100110 " -b1111100100101010100111100010100110 g -#4503 -b1111100100101010100111100010100111 " -b1111100100101010100111100010100111 g -#4504 -b1111100100101010100111100010101000 " -b1111100100101010100111100010101000 g -#4505 -b1111100100101010100111100010101001 " -b1111100100101010100111100010101001 g -#4506 -b1111100100101010100111100010101010 " -b1111100100101010100111100010101010 g -#4507 -b1111100100101010100111100010101011 " -b1111100100101010100111100010101011 g -#4508 -b1111100100101010100111100010101100 " -b1111100100101010100111100010101100 g -#4509 -b1111100100101010100111100010101101 " -b1111100100101010100111100010101101 g -#4510 -b1111100100101010100111100010101110 " -b1111100100101010100111100010101110 g -#4511 -b1111100100101010100111100010101111 " -b1111100100101010100111100010101111 g -#4512 -b1111100100101010100111100010110000 " -b11110 b -b1111100100101010100111100010110000 g -#4513 -b1111100100101010100111100010110001 " -b11101 b -b1111100100101010100111100010110001 g -b10000 I" -#4514 -b1111100100101010100111100010110010 " -b11100 b -b1111100100101010100111100010110010 g -b0 I" -#4515 -b1111100100101010100111100010110011 " -b1111100100101010100111100010110011 g -#4516 -b1111100100101010100111100010110100 " -b1111100100101010100111100010110100 g -#4517 -b1111100100101010100111100010110101 " -b1111100100101010100111100010110101 g -#4518 -b1111100100101010100111100010110110 " -b1111100100101010100111100010110110 g -#4519 -b1111100100101010100111100010110111 " -b1111100100101010100111100010110111 g -#4520 -b1111100100101010100111100010111000 " -b1111100100101010100111100010111000 g -#4521 -b1111100100101010100111100010111001 " -b1111100100101010100111100010111001 g -#4522 -b1111100100101010100111100010111010 " -b1111100100101010100111100010111010 g -#4523 -b1111100100101010100111100010111011 " -b1111100100101010100111100010111011 g -#4524 -b1111100100101010100111100010111100 " -b1111100100101010100111100010111100 g -#4525 -b1111100100101010100111100010111101 " -b1111100100101010100111100010111101 g -#4526 -b1111100100101010100111100010111110 " -b1111100100101010100111100010111110 g -#4527 -b1111100100101010100111100010111111 " -b1111100100101010100111100010111111 g -#4528 -b1111100100101010100111100011000000 " -b11110 b -b1111100100101010100111100011000000 g -b1111 I" -#4529 -b1111100100101010100111100011000001 " -b11101 b -#4530 -b1111100100101010100111100011000010 " -b11100 b -#4531 -b1111100100101010100111100011000011 " -#4532 -b1111100100101010100111100011000100 " -#4533 -b1111100100101010100111100011000101 " -#4534 -b1111100100101010100111100011000110 " -#4535 -b1111100100101010100111100011000111 " -#4536 -b1111100100101010100111100011001000 " -#4537 -b1111100100101010100111100011001001 " -#4538 -b1111100100101010100111100011001010 " -#4539 -b1111100100101010100111100011001011 " -#4540 -b1111100100101010100111100011001100 " -#4541 -b1111100100101010100111100011001101 " -#4542 -b1111100100101010100111100011001110 " -#4543 -b1111100100101010100111100011001111 " -#4544 -b1111100100101010100111100011010000 " -b11110 b -b1111100100101010100111100011010001 g -b10000 I" -#4545 -b1111100100101010100111100011010001 " -b11101 b -b1111100100101010100111100011010010 g -b0 I" -#4546 -b1111100100101010100111100011010010 " -b11100 b -b1111100100101010100111100011010011 g -#4547 -b1111100100101010100111100011010011 " -b1111100100101010100111100011010100 g -#4548 -b1111100100101010100111100011010100 " -b1111100100101010100111100011010101 g -#4549 -b1111100100101010100111100011010101 " -b1111100100101010100111100011010110 g -#4550 -b1111100100101010100111100011010110 " -b1111100100101010100111100011010111 g -#4551 -b1111100100101010100111100011010111 " -b1111100100101010100111100011011000 g -#4552 -b1111100100101010100111100011011000 " -b1111100100101010100111100011011001 g -#4553 -b1111100100101010100111100011011001 " -b1111100100101010100111100011011010 g -#4554 -b1111100100101010100111100011011010 " -b1111100100101010100111100011011011 g -#4555 -b1111100100101010100111100011011011 " -b1111100100101010100111100011011100 g -#4556 -b1111100100101010100111100011011100 " -b1111100100101010100111100011011101 g -#4557 -b1111100100101010100111100011011101 " -b1111100100101010100111100011011110 g -#4558 -b1111100100101010100111100011011110 " -b1111100100101010100111100011011111 g -#4559 -b1111100100101010100111100011011111 " -b1111100100101010100111100011100000 g -b1111 I" -#4560 -b1111100100101010100111100011100000 " -b11110 b -#4561 -b1111100100101010100111100011100001 " -b11101 b -b1111100100101010100111100011100001 g -b10000 I" -#4562 -b1111100100101010100111100011100010 " -b11100 b -b1111100100101010100111100011100010 g -b0 I" -#4563 -b1111100100101010100111100011100011 " -b1111100100101010100111100011100011 g -#4564 -b1111100100101010100111100011100100 " -b1111100100101010100111100011100100 g -#4565 -b1111100100101010100111100011100101 " -b1111100100101010100111100011100101 g -#4566 -b1111100100101010100111100011100110 " -b1111100100101010100111100011100110 g -#4567 -b1111100100101010100111100011100111 " -b1111100100101010100111100011100111 g -#4568 -b1111100100101010100111100011101000 " -b1111100100101010100111100011101000 g -#4569 -b1111100100101010100111100011101001 " -b1111100100101010100111100011101001 g -#4570 -b1111100100101010100111100011101010 " -b1111100100101010100111100011101010 g -#4571 -b1111100100101010100111100011101011 " -b1111100100101010100111100011101011 g -#4572 -b1111100100101010100111100011101100 " -b1111100100101010100111100011101100 g -#4573 -b1111100100101010100111100011101101 " -b1111100100101010100111100011101101 g -#4574 -b1111100100101010100111100011101110 " -b1111100100101010100111100011101110 g -#4575 -b1111100100101010100111100011101111 " -b1111100100101010100111100011101111 g -#4576 -b1111100100101010100111100011110000 " -b11110 b -b1111100100101010100111100011110000 g -b1111 I" -#4577 -b1111100100101010100111100011110001 " -b11101 b -b1111100100101010100111100011110001 g -b10000 I" -#4578 -b1111100100101010100111100011110010 " -b11100 b -b1111100100101010100111100011110010 g -b0 I" -#4579 -b1111100100101010100111100011110011 " -b1111100100101010100111100011110011 g -#4580 -b1111100100101010100111100011110100 " -b1111100100101010100111100011110100 g -#4581 -b1111100100101010100111100011110101 " -b1111100100101010100111100011110101 g -#4582 -b1111100100101010100111100011110110 " -b1111100100101010100111100011110110 g -#4583 -b1111100100101010100111100011110111 " -b1111100100101010100111100011110111 g -#4584 -b1111100100101010100111100011111000 " -b1111100100101010100111100011111000 g -#4585 -b1111100100101010100111100011111001 " -b1111100100101010100111100011111001 g -#4586 -b1111100100101010100111100011111010 " -b1111100100101010100111100011111010 g -#4587 -b1111100100101010100111100011111011 " -b1111100100101010100111100011111011 g -#4588 -b1111100100101010100111100011111100 " -b1111100100101010100111100011111100 g -#4589 -b1111100100101010100111100011111101 " -b1111100100101010100111100011111101 g -#4590 -b1111100100101010100111100011111110 " -b1111100100101010100111100011111110 g -#4591 -b1111100100101010100111100011111111 " -b1111100100101010100111100011111111 g -#4592 -b1111100100101010100111100100000000 " -b11110 b -b1111100100101010100111100100000000 g -#4593 -b1111100100101010100111100100000001 " -b11101 b -b1111100100101010100111100100000001 g -b10000 I" -#4594 -b1111100100101010100111100100000010 " -b11100 b -b1111100100101010100111100100000010 g -b0 I" -#4595 -b1111100100101010100111100100000011 " -b1111100100101010100111100100000011 g -#4596 -b1111100100101010100111100100000100 " -b1111100100101010100111100100000100 g -#4597 -b1111100100101010100111100100000101 " -b1111100100101010100111100100000101 g -#4598 -b1111100100101010100111100100000110 " -b1111100100101010100111100100000110 g -#4599 -b1111100100101010100111100100000111 " -b1111100100101010100111100100000111 g -#4600 -b1111100100101010100111100100001000 " -b1111100100101010100111100100001000 g -#4601 -b1111100100101010100111100100001001 " -b1111100100101010100111100100001001 g -#4602 -b1111100100101010100111100100001010 " -b1111100100101010100111100100001010 g -#4603 -b1111100100101010100111100100001011 " -b1111100100101010100111100100001011 g -#4604 -b1111100100101010100111100100001100 " -b1111100100101010100111100100001100 g -#4605 -b1111100100101010100111100100001101 " -b1111100100101010100111100100001101 g -#4606 -b1111100100101010100111100100001110 " -b1111100100101010100111100100001110 g -#4607 -b1111100100101010100111100100001111 " -b1111100100101010100111100100001111 g -#4608 -b1111100100101010100111100100010000 " -b11110 b -b1111100100101010100111100100010000 g -#4609 -b1111100100101010100111100100010001 " -b11101 b -b1111100100101010100111100100010001 g -#4610 -b1111100100101010100111100100010010 " -b11100 b -b1111100100101010100111100100010010 g -#4611 -b1111100100101010100111100100010011 " -b1111100100101010100111100100010011 g -#4612 -b1111100100101010100111100100010100 " -b1111100100101010100111100100010100 g -#4613 -b1111100100101010100111100100010101 " -b1111100100101010100111100100010101 g -#4614 -b1111100100101010100111100100010110 " -b1111100100101010100111100100010110 g -#4615 -b1111100100101010100111100100010111 " -b1111100100101010100111100100010111 g -#4616 -b1111100100101010100111100100011000 " -b1111100100101010100111100100011000 g -#4617 -b1111100100101010100111100100011001 " -b1111100100101010100111100100011001 g -#4618 -b1111100100101010100111100100011010 " -b1111100100101010100111100100011010 g -#4619 -b1111100100101010100111100100011011 " -b1111100100101010100111100100011011 g -#4620 -b1111100100101010100111100100011100 " -b1111100100101010100111100100011100 g -#4621 -b1111100100101010100111100100011101 " -b1111100100101010100111100100011101 g -#4622 -b1111100100101010100111100100011110 " -b1111100100101010100111100100011110 g -#4623 -b1111100100101010100111100100011111 " -b1111100100101010100111100100011111 g -#4624 -b1111100100101010100111100100100000 " -b11110 b -b1111100100101010100111100100100000 g -b1111 I" -#4625 -b1111100100101010100111100100100001 " -b11101 b -b1111100100101010100111100100100001 g -b10000 I" -#4626 -b1111100100101010100111100100100010 " -b11100 b -b1111100100101010100111100100100010 g -b0 I" -#4627 -b1111100100101010100111100100100011 " -b1111100100101010100111100100100011 g -#4628 -b1111100100101010100111100100100100 " -b1111100100101010100111100100100100 g -#4629 -b1111100100101010100111100100100101 " -b1111100100101010100111100100100101 g -#4630 -b1111100100101010100111100100100110 " -b1111100100101010100111100100100110 g -#4631 -b1111100100101010100111100100100111 " -b1111100100101010100111100100100111 g -#4632 -b1111100100101010100111100100101000 " -b1111100100101010100111100100101000 g -#4633 -b1111100100101010100111100100101001 " -b1111100100101010100111100100101001 g -#4634 -b1111100100101010100111100100101010 " -b1111100100101010100111100100101010 g -#4635 -b1111100100101010100111100100101011 " -b1111100100101010100111100100101011 g -#4636 -b1111100100101010100111100100101100 " -b1111100100101010100111100100101100 g -#4637 -b1111100100101010100111100100101101 " -b1111100100101010100111100100101101 g -#4638 -b1111100100101010100111100100101110 " -b1111100100101010100111100100101110 g -#4639 -b1111100100101010100111100100101111 " -b1111100100101010100111100100101111 g -#4640 -b1111100100101010100111100100110000 " -b11110 b -b1111100100101010100111100100110000 g -b1111 I" -#4641 -b1111100100101010100111100100110001 " -b11101 b -b1111100100101010100111100100110001 g -b10000 I" -#4642 -b1111100100101010100111100100110010 " -b11100 b -b1111100100101010100111100100110010 g -b0 I" -#4643 -b1111100100101010100111100100110011 " -b1111100100101010100111100100110011 g -#4644 -b1111100100101010100111100100110100 " -b1111100100101010100111100100110100 g -#4645 -b1111100100101010100111100100110101 " -b1111100100101010100111100100110101 g -#4646 -b1111100100101010100111100100110110 " -b1111100100101010100111100100110110 g -#4647 -b1111100100101010100111100100110111 " -b1111100100101010100111100100110111 g -#4648 -b1111100100101010100111100100111000 " -b1111100100101010100111100100111000 g -#4649 -b1111100100101010100111100100111001 " -b1111100100101010100111100100111001 g -#4650 -b1111100100101010100111100100111010 " -b1111100100101010100111100100111010 g -#4651 -b1111100100101010100111100100111011 " -b1111100100101010100111100100111011 g -#4652 -b1111100100101010100111100100111100 " -b1111100100101010100111100100111100 g -#4653 -b1111100100101010100111100100111101 " -b1111100100101010100111100100111101 g -#4654 -b1111100100101010100111100100111110 " -b1111100100101010100111100100111110 g -#4655 -b1111100100101010100111100100111111 " -b1111100100101010100111100100111111 g -#4656 -b1111100100101010100111100101000000 " -b11110 b -b1111100100101010100111100101000000 g -b1111 I" -#4657 -b1111100100101010100111100101000001 " -b11101 b -b1111100100101010100111100101000001 g -b10000 I" -#4658 -b1111100100101010100111100101000010 " -b11100 b -b1111100100101010100111100101000010 g -b0 I" -#4659 -b1111100100101010100111100101000011 " -b1111100100101010100111100101000011 g -#4660 -b1111100100101010100111100101000100 " -b1111100100101010100111100101000100 g -#4661 -b1111100100101010100111100101000101 " -b1111100100101010100111100101000101 g -#4662 -b1111100100101010100111100101000110 " -b1111100100101010100111100101000110 g -#4663 -b1111100100101010100111100101000111 " -b1111100100101010100111100101000111 g -#4664 -b1111100100101010100111100101001000 " -b1111100100101010100111100101001000 g -#4665 -b1111100100101010100111100101001001 " -b1111100100101010100111100101001001 g -#4666 -b1111100100101010100111100101001010 " -b1111100100101010100111100101001010 g -#4667 -b1111100100101010100111100101001011 " -b1111100100101010100111100101001011 g -#4668 -b1111100100101010100111100101001100 " -b1111100100101010100111100101001100 g -#4669 -b1111100100101010100111100101001101 " -b1111100100101010100111100101001101 g -#4670 -b1111100100101010100111100101001110 " -b1111100100101010100111100101001110 g -#4671 -b1111100100101010100111100101001111 " -b1111100100101010100111100101001111 g -#4672 -b1111100100101010100111100101010000 " -b11110 b -b1111100100101010100111100101010000 g -b1111 I" -#4673 -b1111100100101010100111100101010001 " -b11101 b -b1111100100101010100111100101010001 g -b10000 I" -#4674 -b1111100100101010100111100101010010 " -b11100 b -b1111100100101010100111100101010010 g -b0 I" -#4675 -b1111100100101010100111100101010011 " -b1111100100101010100111100101010011 g -#4676 -b1111100100101010100111100101010100 " -b1111100100101010100111100101010100 g -#4677 -b1111100100101010100111100101010101 " -b1111100100101010100111100101010101 g -#4678 -b1111100100101010100111100101010110 " -b1111100100101010100111100101010110 g -#4679 -b1111100100101010100111100101010111 " -b1111100100101010100111100101010111 g -#4680 -b1111100100101010100111100101011000 " -b1111100100101010100111100101011000 g -#4681 -b1111100100101010100111100101011001 " -b1111100100101010100111100101011001 g -#4682 -b1111100100101010100111100101011010 " -b1111100100101010100111100101011010 g -#4683 -b1111100100101010100111100101011011 " -b1111100100101010100111100101011011 g -#4684 -b1111100100101010100111100101011100 " -b1111100100101010100111100101011100 g -#4685 -b1111100100101010100111100101011101 " -b1111100100101010100111100101011101 g -#4686 -b1111100100101010100111100101011110 " -b1111100100101010100111100101011110 g -#4687 -b1111100100101010100111100101011111 " -b1111100100101010100111100101011111 g -#4688 -b1111100100101010100111100101100000 " -b11110 b -b1111100100101010100111100101100000 g -#4689 -b1111100100101010100111100101100001 " -b11101 b -b1111100100101010100111100101100001 g -#4690 -b1111100100101010100111100101100010 " -b11100 b -b1111100100101010100111100101100010 g -#4691 -b1111100100101010100111100101100011 " -b1111100100101010100111100101100011 g -#4692 -b1111100100101010100111100101100100 " -b1111100100101010100111100101100100 g -#4693 -b1111100100101010100111100101100101 " -b1111100100101010100111100101100101 g -#4694 -b1111100100101010100111100101100110 " -b1111100100101010100111100101100110 g -#4695 -b1111100100101010100111100101100111 " -b1111100100101010100111100101100111 g -#4696 -b1111100100101010100111100101101000 " -b1111100100101010100111100101101000 g -#4697 -b1111100100101010100111100101101001 " -b1111100100101010100111100101101001 g -#4698 -b1111100100101010100111100101101010 " -b1111100100101010100111100101101010 g -#4699 -b1111100100101010100111100101101011 " -b1111100100101010100111100101101011 g -#4700 -b1111100100101010100111100101101100 " -b1111100100101010100111100101101100 g -#4701 -b1111100100101010100111100101101101 " -b1111100100101010100111100101101101 g -#4702 -b1111100100101010100111100101101110 " -b1111100100101010100111100101101110 g -#4703 -b1111100100101010100111100101101111 " -b1111100100101010100111100101101111 g -#4704 -b1111100100101010100111100101110000 " -b11110 b -b1111100100101010100111100101110000 g -b1111 I" -#4705 -b1111100100101010100111100101110001 " -b11101 b -b1111100100101010100111100101110001 g -b10000 I" -#4706 -b1111100100101010100111100101110010 " -b11100 b -b1111100100101010100111100101110010 g -b0 I" -#4707 -b1111100100101010100111100101110011 " -b1111100100101010100111100101110011 g -#4708 -b1111100100101010100111100101110100 " -b1111100100101010100111100101110100 g -#4709 -b1111100100101010100111100101110101 " -b1111100100101010100111100101110101 g -#4710 -b1111100100101010100111100101110110 " -b1111100100101010100111100101110110 g -#4711 -b1111100100101010100111100101110111 " -b1111100100101010100111100101110111 g -#4712 -b1111100100101010100111100101111000 " -b1111100100101010100111100101111000 g -#4713 -b1111100100101010100111100101111001 " -b1111100100101010100111100101111001 g -#4714 -b1111100100101010100111100101111010 " -b1111100100101010100111100101111010 g -#4715 -b1111100100101010100111100101111011 " -b1111100100101010100111100101111011 g -#4716 -b1111100100101010100111100101111100 " -b1111100100101010100111100101111100 g -#4717 -b1111100100101010100111100101111101 " -b1111100100101010100111100101111101 g -#4718 -b1111100100101010100111100101111110 " -b1111100100101010100111100101111110 g -#4719 -b1111100100101010100111100101111111 " -b1111100100101010100111100101111111 g -#4720 -b1111100100101010100111100110000000 " -b11110 b -b1111100100101010100111100110000000 g -b1111 I" -#4721 -b1111100100101010100111100110000001 " -b11101 b -b1111100100101010100111100110000001 g -b10000 I" -#4722 -b1111100100101010100111100110000010 " -b11100 b -b1111100100101010100111100110000010 g -b0 I" -#4723 -b1111100100101010100111100110000011 " -b1111100100101010100111100110000011 g -#4724 -b1111100100101010100111100110000100 " -b1111100100101010100111100110000100 g -#4725 -b1111100100101010100111100110000101 " -b1111100100101010100111100110000101 g -#4726 -b1111100100101010100111100110000110 " -b1111100100101010100111100110000110 g -#4727 -b1111100100101010100111100110000111 " -b1111100100101010100111100110000111 g -#4728 -b1111100100101010100111100110001000 " -b1111100100101010100111100110001000 g -#4729 -b1111100100101010100111100110001001 " -b1111100100101010100111100110001001 g -#4730 -b1111100100101010100111100110001010 " -b1111100100101010100111100110001010 g -#4731 -b1111100100101010100111100110001011 " -b1111100100101010100111100110001011 g -#4732 -b1111100100101010100111100110001100 " -b1111100100101010100111100110001100 g -#4733 -b1111100100101010100111100110001101 " -b1111100100101010100111100110001101 g -#4734 -b1111100100101010100111100110001110 " -b1111100100101010100111100110001110 g -#4735 -b1111100100101010100111100110001111 " -b1111100100101010100111100110001111 g -#4736 -b1111100100101010100111100110010000 " -b11110 b -b1111100100101010100111100110010000 g -b1111 I" -#4737 -b1111100100101010100111100110010001 " -b11101 b -b1111100100101010100111100110010001 g -b10000 I" -#4738 -b1111100100101010100111100110010010 " -b11100 b -b1111100100101010100111100110010010 g -b0 I" -#4739 -b1111100100101010100111100110010011 " -b1111100100101010100111100110010011 g -#4740 -b1111100100101010100111100110010100 " -b1111100100101010100111100110010100 g -#4741 -b1111100100101010100111100110010101 " -b1111100100101010100111100110010101 g -#4742 -b1111100100101010100111100110010110 " -b1111100100101010100111100110010110 g -#4743 -b1111100100101010100111100110010111 " -b1111100100101010100111100110010111 g -#4744 -b1111100100101010100111100110011000 " -b1111100100101010100111100110011000 g -#4745 -b1111100100101010100111100110011001 " -b1111100100101010100111100110011001 g -#4746 -b1111100100101010100111100110011010 " -b1111100100101010100111100110011010 g -#4747 -b1111100100101010100111100110011011 " -b1111100100101010100111100110011011 g -#4748 -b1111100100101010100111100110011100 " -b1111100100101010100111100110011100 g -#4749 -b1111100100101010100111100110011101 " -b1111100100101010100111100110011101 g -#4750 -b1111100100101010100111100110011110 " -b1111100100101010100111100110011110 g -#4751 -b1111100100101010100111100110011111 " -b1111100100101010100111100110011111 g -#4752 -b1111100100101010100111100110100000 " -b11110 b -b1111100100101010100111100110100000 g -b1111 I" -#4753 -b1111100100101010100111100110100001 " -b11101 b -b1111100100101010100111100110100001 g -b10000 I" -#4754 -b1111100100101010100111100110100010 " -b11100 b -b1111100100101010100111100110100010 g -b0 I" -#4755 -b1111100100101010100111100110100011 " -b1111100100101010100111100110100011 g -#4756 -b1111100100101010100111100110100100 " -b1111100100101010100111100110100100 g -#4757 -b1111100100101010100111100110100101 " -b1111100100101010100111100110100101 g -#4758 -b1111100100101010100111100110100110 " -b1111100100101010100111100110100110 g -#4759 -b1111100100101010100111100110100111 " -b1111100100101010100111100110100111 g -#4760 -b1111100100101010100111100110101000 " -b1111100100101010100111100110101000 g -#4761 -b1111100100101010100111100110101001 " -b1111100100101010100111100110101001 g -#4762 -b1111100100101010100111100110101010 " -b1111100100101010100111100110101010 g -#4763 -b1111100100101010100111100110101011 " -b1111100100101010100111100110101011 g -#4764 -b1111100100101010100111100110101100 " -b1111100100101010100111100110101100 g -#4765 -b1111100100101010100111100110101101 " -b1111100100101010100111100110101101 g -#4766 -b1111100100101010100111100110101110 " -b1111100100101010100111100110101110 g -#4767 -b1111100100101010100111100110101111 " -b1111100100101010100111100110101111 g -#4768 -b1111100100101010100111100110110000 " -b11110 b -b1111100100101010100111100110110000 g -b1111 I" -#4769 -b1111100100101010100111100110110001 " -b11101 b -b1111100100101010100111100110110001 g -b10000 I" -#4770 -b1111100100101010100111100110110010 " -b11100 b -b1111100100101010100111100110110010 g -b0 I" -#4771 -b1111100100101010100111100110110011 " -b1111100100101010100111100110110011 g -#4772 -b1111100100101010100111100110110100 " -b1111100100101010100111100110110100 g -#4773 -b1111100100101010100111100110110101 " -b1111100100101010100111100110110101 g -#4774 -b1111100100101010100111100110110110 " -b1111100100101010100111100110110110 g -#4775 -b1111100100101010100111100110110111 " -b1111100100101010100111100110110111 g -#4776 -b1111100100101010100111100110111000 " -b1111100100101010100111100110111000 g -#4777 -b1111100100101010100111100110111001 " -b1111100100101010100111100110111001 g -#4778 -b1111100100101010100111100110111010 " -b1111100100101010100111100110111010 g -#4779 -b1111100100101010100111100110111011 " -b1111100100101010100111100110111011 g -#4780 -b1111100100101010100111100110111100 " -b1111100100101010100111100110111100 g -#4781 -b1111100100101010100111100110111101 " -b1111100100101010100111100110111101 g -#4782 -b1111100100101010100111100110111110 " -b1111100100101010100111100110111110 g -#4783 -b1111100100101010100111100110111111 " -b1111100100101010100111100110111111 g -#4784 -b1111100100101010100111100111000000 " -b11110 b -b1111100100101010100111100111000000 g -b1111 I" -#4785 -b1111100100101010100111100111000001 " -b11101 b -b1111100100101010100111100111000001 g -b10000 I" -#4786 -b1111100100101010100111100111000010 " -b11100 b -b1111100100101010100111100111000010 g -b0 I" -#4787 -b1111100100101010100111100111000011 " -b1111100100101010100111100111000011 g -#4788 -b1111100100101010100111100111000100 " -b1111100100101010100111100111000100 g -#4789 -b1111100100101010100111100111000101 " -b1111100100101010100111100111000101 g -#4790 -b1111100100101010100111100111000110 " -b1111100100101010100111100111000110 g -#4791 -b1111100100101010100111100111000111 " -b1111100100101010100111100111000111 g -#4792 -b1111100100101010100111100111001000 " -b1111100100101010100111100111001000 g -#4793 -b1111100100101010100111100111001001 " -b1111100100101010100111100111001001 g -#4794 -b1111100100101010100111100111001010 " -b1111100100101010100111100111001010 g -#4795 -b1111100100101010100111100111001011 " -b1111100100101010100111100111001011 g -#4796 -b1111100100101010100111100111001100 " -b1111100100101010100111100111001100 g -#4797 -b1111100100101010100111100111001101 " -b1111100100101010100111100111001101 g -#4798 -b1111100100101010100111100111001110 " -b1111100100101010100111100111001110 g -#4799 -b1111100100101010100111100111001111 " -b1111100100101010100111100111001111 g -#4800 -b1111100100101010100111100111010000 " -b11110 b -b1111100100101010100111100111010000 g -b1111 I" -#4801 -b1111100100101010100111100111010001 " -b11101 b -b1111100100101010100111100111010001 g -b10000 I" -#4802 -b1111100100101010100111100111010010 " -b11100 b -b1111100100101010100111100111010010 g -b0 I" -#4803 -b1111100100101010100111100111010011 " -b1111100100101010100111100111010011 g -#4804 -b1111100100101010100111100111010100 " -b1111100100101010100111100111010100 g -#4805 -b1111100100101010100111100111010101 " -b1111100100101010100111100111010101 g -#4806 -b1111100100101010100111100111010110 " -b1111100100101010100111100111010110 g -#4807 -b1111100100101010100111100111010111 " -b1111100100101010100111100111010111 g -#4808 -b1111100100101010100111100111011000 " -b1111100100101010100111100111011000 g -#4809 -b1111100100101010100111100111011001 " -b1111100100101010100111100111011001 g -#4810 -b1111100100101010100111100111011010 " -b1111100100101010100111100111011010 g -#4811 -b1111100100101010100111100111011011 " -b1111100100101010100111100111011011 g -#4812 -b1111100100101010100111100111011100 " -b1111100100101010100111100111011100 g -#4813 -b1111100100101010100111100111011101 " -b1111100100101010100111100111011101 g -#4814 -b1111100100101010100111100111011110 " -b1111100100101010100111100111011110 g -#4815 -b1111100100101010100111100111011111 " -b1111100100101010100111100111011111 g -#4816 -b1111100100101010100111100111100000 " -b11110 b -b1111100100101010100111100111100000 g -#4817 -b1111100100101010100111100111100001 " -b11101 b -b1111100100101010100111100111100001 g -b10000 I" -#4818 -b1111100100101010100111100111100010 " -b11100 b -b1111100100101010100111100111100010 g -b0 I" -#4819 -b1111100100101010100111100111100011 " -b1111100100101010100111100111100011 g -#4820 -b1111100100101010100111100111100100 " -b1111100100101010100111100111100100 g -#4821 -b1111100100101010100111100111100101 " -b1111100100101010100111100111100101 g -#4822 -b1111100100101010100111100111100110 " -b1111100100101010100111100111100110 g -#4823 -b1111100100101010100111100111100111 " -b1111100100101010100111100111100111 g -#4824 -b1111100100101010100111100111101000 " -b1111100100101010100111100111101000 g -#4825 -b1111100100101010100111100111101001 " -b1111100100101010100111100111101001 g -#4826 -b1111100100101010100111100111101010 " -b1111100100101010100111100111101010 g -#4827 -b1111100100101010100111100111101011 " -b1111100100101010100111100111101011 g -#4828 -b1111100100101010100111100111101100 " -b1111100100101010100111100111101100 g -#4829 -b1111100100101010100111100111101101 " -b1111100100101010100111100111101101 g -#4830 -b1111100100101010100111100111101110 " -b1111100100101010100111100111101110 g -#4831 -b1111100100101010100111100111101111 " -b1111100100101010100111100111101111 g -#4832 -b1111100100101010100111100111110000 " -b11110 b -b1111100100101010100111100111110000 g -b1111 I" -#4833 -b1111100100101010100111100111110001 " -b11101 b -b1111100100101010100111100111110001 g -b10000 I" -#4834 -b1111100100101010100111100111110010 " -b11100 b -b1111100100101010100111100111110010 g -b0 I" -#4835 -b1111100100101010100111100111110011 " -b1111100100101010100111100111110011 g -#4836 -b1111100100101010100111100111110100 " -b1111100100101010100111100111110100 g -#4837 -b1111100100101010100111100111110101 " -b1111100100101010100111100111110101 g -#4838 -b1111100100101010100111100111110110 " -b1111100100101010100111100111110110 g -#4839 -b1111100100101010100111100111110111 " -b1111100100101010100111100111110111 g -#4840 -b1111100100101010100111100111111000 " -b1111100100101010100111100111111000 g -#4841 -b1111100100101010100111100111111001 " -b1111100100101010100111100111111001 g -#4842 -b1111100100101010100111100111111010 " -b1111100100101010100111100111111010 g -#4843 -b1111100100101010100111100111111011 " -b1111100100101010100111100111111011 g -#4844 -b1111100100101010100111100111111100 " -b1111100100101010100111100111111100 g -#4845 -b1111100100101010100111100111111101 " -b1111100100101010100111100111111101 g -#4846 -b1111100100101010100111100111111110 " -b1111100100101010100111100111111110 g -#4847 -b1111100100101010100111100111111111 " -b1111100100101010100111100111111111 g -#4848 -b1111100100101010100111101000000000 " -b11110 b -b1111100100101010100111101000000000 g -b1111 I" -#4849 -b1111100100101010100111101000000001 " -b11101 b -b1111100100101010100111101000000001 g -b10000 I" -#4850 -b1111100100101010100111101000000010 " -b11100 b -b1111100100101010100111101000000010 g -b0 I" -#4851 -b1111100100101010100111101000000011 " -b1111100100101010100111101000000011 g -#4852 -b1111100100101010100111101000000100 " -b1111100100101010100111101000000100 g -#4853 -b1111100100101010100111101000000101 " -b1111100100101010100111101000000101 g -#4854 -b1111100100101010100111101000000110 " -b1111100100101010100111101000000110 g -#4855 -b1111100100101010100111101000000111 " -b1111100100101010100111101000000111 g -#4856 -b1111100100101010100111101000001000 " -b1111100100101010100111101000001000 g -#4857 -b1111100100101010100111101000001001 " -b1111100100101010100111101000001001 g -#4858 -b1111100100101010100111101000001010 " -b1111100100101010100111101000001010 g -#4859 -b1111100100101010100111101000001011 " -b1111100100101010100111101000001011 g -#4860 -b1111100100101010100111101000001100 " -b1111100100101010100111101000001100 g -#4861 -b1111100100101010100111101000001101 " -b1111100100101010100111101000001101 g -#4862 -b1111100100101010100111101000001110 " -b1111100100101010100111101000001110 g -#4863 -b1111100100101010100111101000001111 " -b1111100100101010100111101000001111 g -#4864 -b1111100100101010100111101000010000 " -b11110 b -b1111100100101010100111101000010000 g -b1111 I" -#4865 -b1111100100101010100111101000010001 " -b11101 b -b1111100100101010100111101000010001 g -b10000 I" -#4866 -b1111100100101010100111101000010010 " -b11100 b -b1111100100101010100111101000010010 g -b0 I" -#4867 -b1111100100101010100111101000010011 " -b1111100100101010100111101000010011 g -#4868 -b1111100100101010100111101000010100 " -b1111100100101010100111101000010100 g -#4869 -b1111100100101010100111101000010101 " -b1111100100101010100111101000010101 g -#4870 -b1111100100101010100111101000010110 " -b1111100100101010100111101000010110 g -#4871 -b1111100100101010100111101000010111 " -b1111100100101010100111101000010111 g -#4872 -b1111100100101010100111101000011000 " -b1111100100101010100111101000011000 g -#4873 -b1111100100101010100111101000011001 " -b1111100100101010100111101000011001 g -#4874 -b1111100100101010100111101000011010 " -b1111100100101010100111101000011010 g -#4875 -b1111100100101010100111101000011011 " -b1111100100101010100111101000011011 g -#4876 -b1111100100101010100111101000011100 " -b1111100100101010100111101000011100 g -#4877 -b1111100100101010100111101000011101 " -b1111100100101010100111101000011101 g -#4878 -b1111100100101010100111101000011110 " -b1111100100101010100111101000011110 g -#4879 -b1111100100101010100111101000011111 " -b1111100100101010100111101000011111 g -#4880 -b1111100100101010100111101000100000 " -b11110 b -b1111100100101010100111101000100000 g -b1111 I" -#4881 -b1111100100101010100111101000100001 " -b11101 b -b1111100100101010100111101000100001 g -b10000 I" -#4882 -b1111100100101010100111101000100010 " -b11100 b -b1111100100101010100111101000100010 g -b0 I" -#4883 -b1111100100101010100111101000100011 " -b1111100100101010100111101000100011 g -#4884 -b1111100100101010100111101000100100 " -b1111100100101010100111101000100100 g -#4885 -b1111100100101010100111101000100101 " -b1111100100101010100111101000100101 g -#4886 -b1111100100101010100111101000100110 " -b1111100100101010100111101000100110 g -#4887 -b1111100100101010100111101000100111 " -b1111100100101010100111101000100111 g -#4888 -b1111100100101010100111101000101000 " -b1111100100101010100111101000101000 g -#4889 -b1111100100101010100111101000101001 " -b1111100100101010100111101000101001 g -#4890 -b1111100100101010100111101000101010 " -b1111100100101010100111101000101010 g -#4891 -b1111100100101010100111101000101011 " -b1111100100101010100111101000101011 g -#4892 -b1111100100101010100111101000101100 " -b1111100100101010100111101000101100 g -#4893 -b1111100100101010100111101000101101 " -b1111100100101010100111101000101101 g -#4894 -b1111100100101010100111101000101110 " -b1111100100101010100111101000101110 g -#4895 -b1111100100101010100111101000101111 " -b1111100100101010100111101000101111 g -#4896 -b1111100100101010100111101000110000 " -b11110 b -b1111100100101010100111101000110000 g -b1111 I" -#4897 -b1111100100101010100111101000110001 " -b11101 b -b1111100100101010100111101000110001 g -b10000 I" -#4898 -b1111100100101010100111101000110010 " -b11100 b -b1111100100101010100111101000110010 g -b0 I" -#4899 -b1111100100101010100111101000110011 " -b1111100100101010100111101000110011 g -#4900 -b1111100100101010100111101000110100 " -b1111100100101010100111101000110100 g -#4901 -b1111100100101010100111101000110101 " -b1111100100101010100111101000110101 g -#4902 -b1111100100101010100111101000110110 " -b1111100100101010100111101000110110 g -#4903 -b1111100100101010100111101000110111 " -b1111100100101010100111101000110111 g -#4904 -b1111100100101010100111101000111000 " -b1111100100101010100111101000111000 g -#4905 -b1111100100101010100111101000111001 " -b1111100100101010100111101000111001 g -#4906 -b1111100100101010100111101000111010 " -b1111100100101010100111101000111010 g -#4907 -b1111100100101010100111101000111011 " -b1111100100101010100111101000111011 g -#4908 -b1111100100101010100111101000111100 " -b1111100100101010100111101000111100 g -#4909 -b1111100100101010100111101000111101 " -b1111100100101010100111101000111101 g -#4910 -b1111100100101010100111101000111110 " -b1111100100101010100111101000111110 g -#4911 -b1111100100101010100111101000111111 " -b1111100100101010100111101000111111 g -#4912 -b1111100100101010100111101001000000 " -b11110 b -b1111100100101010100111101001000000 g -b1111 I" -#4913 -b1111100100101010100111101001000001 " -b11101 b -b1111100100101010100111101001000001 g -b10000 I" -#4914 -b1111100100101010100111101001000010 " -b11100 b -b1111100100101010100111101001000010 g -b0 I" -#4915 -b1111100100101010100111101001000011 " -b1111100100101010100111101001000011 g -#4916 -b1111100100101010100111101001000100 " -b1111100100101010100111101001000100 g -#4917 -b1111100100101010100111101001000101 " -b1111100100101010100111101001000101 g -#4918 -b1111100100101010100111101001000110 " -b1111100100101010100111101001000110 g -#4919 -b1111100100101010100111101001000111 " -b1111100100101010100111101001000111 g -#4920 -b1111100100101010100111101001001000 " -b1111100100101010100111101001001000 g -#4921 -b1111100100101010100111101001001001 " -b1111100100101010100111101001001001 g -#4922 -b1111100100101010100111101001001010 " -b1111100100101010100111101001001010 g -#4923 -b1111100100101010100111101001001011 " -b1111100100101010100111101001001011 g -#4924 -b1111100100101010100111101001001100 " -b1111100100101010100111101001001100 g -#4925 -b1111100100101010100111101001001101 " -b1111100100101010100111101001001101 g -#4926 -b1111100100101010100111101001001110 " -b1111100100101010100111101001001110 g -#4927 -b1111100100101010100111101001001111 " -b1111100100101010100111101001001111 g -#4928 -b1111100100101010100111101001010000 " -b11110 b -b1111100100101010100111101001010000 g -b1111 I" -#4929 -b1111100100101010100111101001010001 " -b11101 b -b1111100100101010100111101001010001 g -b10000 I" -#4930 -b1111100100101010100111101001010010 " -b11100 b -b1111100100101010100111101001010010 g -b0 I" -#4931 -b1111100100101010100111101001010011 " -b1111100100101010100111101001010011 g -#4932 -b1111100100101010100111101001010100 " -b1111100100101010100111101001010100 g -#4933 -b1111100100101010100111101001010101 " -b1111100100101010100111101001010101 g -#4934 -b1111100100101010100111101001010110 " -b1111100100101010100111101001010110 g -#4935 -b1111100100101010100111101001010111 " -b1111100100101010100111101001010111 g -#4936 -b1111100100101010100111101001011000 " -b1111100100101010100111101001011000 g -#4937 -b1111100100101010100111101001011001 " -b1111100100101010100111101001011001 g -#4938 -b1111100100101010100111101001011010 " -b1111100100101010100111101001011010 g -#4939 -b1111100100101010100111101001011011 " -b1111100100101010100111101001011011 g -#4940 -b1111100100101010100111101001011100 " -b1111100100101010100111101001011100 g -#4941 -b1111100100101010100111101001011101 " -b1111100100101010100111101001011101 g -#4942 -b1111100100101010100111101001011110 " -b1111100100101010100111101001011110 g -#4943 -b1111100100101010100111101001011111 " -b1111100100101010100111101001011111 g -#4944 -b1111100100101010100111101001100000 " -b11110 b -b1111100100101010100111101001100000 g -#4945 -b1111100100101010100111101001100001 " -b11101 b -b1111100100101010100111101001100001 g -b10000 I" -#4946 -b1111100100101010100111101001100010 " -b11100 b -b1111100100101010100111101001100010 g -b0 I" -#4947 -b1111100100101010100111101001100011 " -b1111100100101010100111101001100011 g -#4948 -b1111100100101010100111101001100100 " -b1111100100101010100111101001100100 g -#4949 -b1111100100101010100111101001100101 " -b1111100100101010100111101001100101 g -#4950 -b1111100100101010100111101001100110 " -b1111100100101010100111101001100110 g -#4951 -b1111100100101010100111101001100111 " -b1111100100101010100111101001100111 g -#4952 -b1111100100101010100111101001101000 " -b1111100100101010100111101001101000 g -#4953 -b1111100100101010100111101001101001 " -b1111100100101010100111101001101001 g -#4954 -b1111100100101010100111101001101010 " -b1111100100101010100111101001101010 g -#4955 -b1111100100101010100111101001101011 " -b1111100100101010100111101001101011 g -#4956 -b1111100100101010100111101001101100 " -b1111100100101010100111101001101100 g -#4957 -b1111100100101010100111101001101101 " -b1111100100101010100111101001101101 g -#4958 -b1111100100101010100111101001101110 " -b1111100100101010100111101001101110 g -#4959 -b1111100100101010100111101001101111 " -b1111100100101010100111101001101111 g -#4960 -b1111100100101010100111101001110000 " -b11110 b -b1111100100101010100111101001110000 g -b1111 I" -#4961 -b1111100100101010100111101001110001 " -b11101 b -b1111100100101010100111101001110001 g -b10000 I" -#4962 -b1111100100101010100111101001110010 " -b11100 b -b1111100100101010100111101001110010 g -b0 I" -#4963 -b1111100100101010100111101001110011 " -b1111100100101010100111101001110011 g -#4964 -b1111100100101010100111101001110100 " -b1111100100101010100111101001110100 g -#4965 -b1111100100101010100111101001110101 " -b1111100100101010100111101001110101 g -#4966 -b1111100100101010100111101001110110 " -b1111100100101010100111101001110110 g -#4967 -b1111100100101010100111101001110111 " -b1111100100101010100111101001110111 g -#4968 -b1111100100101010100111101001111000 " -b1111100100101010100111101001111000 g -#4969 -b1111100100101010100111101001111001 " -b1111100100101010100111101001111001 g -#4970 -b1111100100101010100111101001111010 " -b1111100100101010100111101001111010 g -#4971 -b1111100100101010100111101001111011 " -b1111100100101010100111101001111011 g -#4972 -b1111100100101010100111101001111100 " -b1111100100101010100111101001111100 g -#4973 -b1111100100101010100111101001111101 " -b1111100100101010100111101001111101 g -#4974 -b1111100100101010100111101001111110 " -b1111100100101010100111101001111110 g -#4975 -b1111100100101010100111101001111111 " -b1111100100101010100111101001111111 g -#4976 -b1111100100101010100111101010000000 " -b11110 b -b1111100100101010100111101010000000 g -b1111 I" -#4977 -b1111100100101010100111101010000001 " -b11101 b -b1111100100101010100111101010000001 g -b10000 I" -#4978 -b1111100100101010100111101010000010 " -b11100 b -b1111100100101010100111101010000010 g -b0 I" -#4979 -b1111100100101010100111101010000011 " -b1111100100101010100111101010000011 g -#4980 -b1111100100101010100111101010000100 " -b1111100100101010100111101010000100 g -#4981 -b1111100100101010100111101010000101 " -b1111100100101010100111101010000101 g -#4982 -b1111100100101010100111101010000110 " -b1111100100101010100111101010000110 g -#4983 -b1111100100101010100111101010000111 " -b1111100100101010100111101010000111 g -#4984 -b1111100100101010100111101010001000 " -b1111100100101010100111101010001000 g -#4985 -b1111100100101010100111101010001001 " -b1111100100101010100111101010001001 g -#4986 -b1111100100101010100111101010001010 " -b1111100100101010100111101010001010 g -#4987 -b1111100100101010100111101010001011 " -b1111100100101010100111101010001011 g -#4988 -b1111100100101010100111101010001100 " -b1111100100101010100111101010001100 g -#4989 -b1111100100101010100111101010001101 " -b1111100100101010100111101010001101 g -#4990 -b1111100100101010100111101010001110 " -b1111100100101010100111101010001110 g -#4991 -b1111100100101010100111101010001111 " -b1111100100101010100111101010001111 g -#4992 -b1111100100101010100111101010010000 " -b11110 b -b1111100100101010100111101010010000 g -#4993 -b1111100100101010100111101010010001 " -b11101 b -b1111100100101010100111101010010001 g -b10000 I" -#4994 -b1111100100101010100111101010010010 " -b11100 b -b1111100100101010100111101010010010 g -b0 I" -#4995 -b1111100100101010100111101010010011 " -b1111100100101010100111101010010011 g -#4996 -b1111100100101010100111101010010100 " -b1111100100101010100111101010010100 g -#4997 -b1111100100101010100111101010010101 " -b1111100100101010100111101010010101 g -#4998 -b1111100100101010100111101010010110 " -b1111100100101010100111101010010110 g -#4999 -b1111100100101010100111101010010111 " -b1111100100101010100111101010010111 g -#5000 -b1111100100101010100111101010011000 " -b1111100100101010100111101010011000 g -#5001 -b1111100100101010100111101010011001 " -b1111100100101010100111101010011001 g -#5002 -b1111100100101010100111101010011010 " -b1111100100101010100111101010011010 g -#5003 -b1111100100101010100111101010011011 " -b1111100100101010100111101010011011 g -#5004 -b1111100100101010100111101010011100 " -b1111100100101010100111101010011100 g -#5005 -b1111100100101010100111101010011101 " -b1111100100101010100111101010011101 g -#5006 -b1111100100101010100111101010011110 " -b1111100100101010100111101010011110 g -#5007 -b1111100100101010100111101010011111 " -b1111100100101010100111101010011111 g -#5008 -b1111100100101010100111101010100000 " -b11110 b -b1111100100101010100111101010100000 g -#5009 -b1111100100101010100111101010100001 " -b11101 b -b1111100100101010100111101010100001 g -b10000 I" -#5010 -b1111100100101010100111101010100010 " -b11100 b -b1111100100101010100111101010100010 g -b0 I" -#5011 -b1111100100101010100111101010100011 " -b1111100100101010100111101010100011 g -#5012 -b1111100100101010100111101010100100 " -b1111100100101010100111101010100100 g -#5013 -b1111100100101010100111101010100101 " -b1111100100101010100111101010100101 g -#5014 -b1111100100101010100111101010100110 " -b1111100100101010100111101010100110 g -#5015 -b1111100100101010100111101010100111 " -b1111100100101010100111101010100111 g -#5016 -b1111100100101010100111101010101000 " -b1111100100101010100111101010101000 g -#5017 -b1111100100101010100111101010101001 " -b1111100100101010100111101010101001 g -#5018 -b1111100100101010100111101010101010 " -b1111100100101010100111101010101010 g -#5019 -b1111100100101010100111101010101011 " -b1111100100101010100111101010101011 g -#5020 -b1111100100101010100111101010101100 " -b1111100100101010100111101010101100 g -#5021 -b1111100100101010100111101010101101 " -b1111100100101010100111101010101101 g -#5022 -b1111100100101010100111101010101110 " -b1111100100101010100111101010101110 g -#5023 -b1111100100101010100111101010101111 " -b1111100100101010100111101010101111 g -#5024 -b1111100100101010100111101010110000 " -b11110 b -b1111100100101010100111101010110000 g -#5025 -b1111100100101010100111101010110001 " -b11101 b -b1111100100101010100111101010110001 g -b10000 I" -#5026 -b1111100100101010100111101010110010 " -b11100 b -b1111100100101010100111101010110010 g -b0 I" -#5027 -b1111100100101010100111101010110011 " -b1111100100101010100111101010110011 g -#5028 -b1111100100101010100111101010110100 " -b1111100100101010100111101010110100 g -#5029 -b1111100100101010100111101010110101 " -b1111100100101010100111101010110101 g -#5030 -b1111100100101010100111101010110110 " -b1111100100101010100111101010110110 g -#5031 -b1111100100101010100111101010110111 " -b1111100100101010100111101010110111 g -#5032 -b1111100100101010100111101010111000 " -b1111100100101010100111101010111000 g -#5033 -b1111100100101010100111101010111001 " -b1111100100101010100111101010111001 g -#5034 -b1111100100101010100111101010111010 " -b1111100100101010100111101010111010 g -#5035 -b1111100100101010100111101010111011 " -b1111100100101010100111101010111011 g -#5036 -b1111100100101010100111101010111100 " -b1111100100101010100111101010111100 g -#5037 -b1111100100101010100111101010111101 " -b1111100100101010100111101010111101 g -#5038 -b1111100100101010100111101010111110 " -b1111100100101010100111101010111110 g -#5039 -b1111100100101010100111101010111111 " -b1111100100101010100111101010111111 g -#5040 -b1111100100101010100111101011000000 " -b11110 b -b1111100100101010100111101011000000 g -b1111 I" -#5041 -b1111100100101010100111101011000001 " -b11101 b -b1111100100101010100111101011000001 g -b10000 I" -#5042 -b1111100100101010100111101011000010 " -b11100 b -b1111100100101010100111101011000010 g -b0 I" -#5043 -b1111100100101010100111101011000011 " -b1111100100101010100111101011000011 g -#5044 -b1111100100101010100111101011000100 " -b1111100100101010100111101011000100 g -#5045 -b1111100100101010100111101011000101 " -b1111100100101010100111101011000101 g -#5046 -b1111100100101010100111101011000110 " -b1111100100101010100111101011000110 g -#5047 -b1111100100101010100111101011000111 " -b1111100100101010100111101011000111 g -#5048 -b1111100100101010100111101011001000 " -b1111100100101010100111101011001000 g -#5049 -b1111100100101010100111101011001001 " -b1111100100101010100111101011001001 g -#5050 -b1111100100101010100111101011001010 " -b1111100100101010100111101011001010 g -#5051 -b1111100100101010100111101011001011 " -b1111100100101010100111101011001011 g -#5052 -b1111100100101010100111101011001100 " -b1111100100101010100111101011001100 g -#5053 -b1111100100101010100111101011001101 " -b1111100100101010100111101011001101 g -#5054 -b1111100100101010100111101011001110 " -b1111100100101010100111101011001110 g -#5055 -b1111100100101010100111101011001111 " -b1111100100101010100111101011001111 g -#5056 -b1111100100101010100111101011010000 " -b11110 b -b1111100100101010100111101011010000 g -b1111 I" -#5057 -b1111100100101010100111101011010001 " -b11101 b -b1111100100101010100111101011010001 g -b10000 I" -#5058 -b1111100100101010100111101011010010 " -b11100 b -b1111100100101010100111101011010010 g -b0 I" -#5059 -b1111100100101010100111101011010011 " -b1111100100101010100111101011010011 g -#5060 -b1111100100101010100111101011010100 " -b1111100100101010100111101011010100 g -#5061 -b1111100100101010100111101011010101 " -b1111100100101010100111101011010101 g -#5062 -b1111100100101010100111101011010110 " -b1111100100101010100111101011010110 g -#5063 -b1111100100101010100111101011010111 " -b1111100100101010100111101011010111 g -#5064 -b1111100100101010100111101011011000 " -b1111100100101010100111101011011000 g -#5065 -b1111100100101010100111101011011001 " -b1111100100101010100111101011011001 g -#5066 -b1111100100101010100111101011011010 " -b1111100100101010100111101011011010 g -#5067 -b1111100100101010100111101011011011 " -b1111100100101010100111101011011011 g -#5068 -b1111100100101010100111101011011100 " -b1111100100101010100111101011011100 g -#5069 -b1111100100101010100111101011011101 " -b1111100100101010100111101011011101 g -#5070 -b1111100100101010100111101011011110 " -b1111100100101010100111101011011110 g -#5071 -b1111100100101010100111101011011111 " -b1111100100101010100111101011011111 g -#5072 -b1111100100101010100111101011100000 " -b11110 b -b1111100100101010100111101011100000 g -#5073 -b1111100100101010100111101011100001 " -b11101 b -b1111100100101010100111101011100001 g -b10000 I" -#5074 -b1111100100101010100111101011100010 " -b11100 b -b1111100100101010100111101011100010 g -b0 I" -#5075 -b1111100100101010100111101011100011 " -b1111100100101010100111101011100011 g -#5076 -b1111100100101010100111101011100100 " -b1111100100101010100111101011100100 g -#5077 -b1111100100101010100111101011100101 " -b1111100100101010100111101011100101 g -#5078 -b1111100100101010100111101011100110 " -b1111100100101010100111101011100110 g -#5079 -b1111100100101010100111101011100111 " -b1111100100101010100111101011100111 g -#5080 -b1111100100101010100111101011101000 " -b1111100100101010100111101011101000 g -#5081 -b1111100100101010100111101011101001 " -b1111100100101010100111101011101001 g -#5082 -b1111100100101010100111101011101010 " -b1111100100101010100111101011101010 g -#5083 -b1111100100101010100111101011101011 " -b1111100100101010100111101011101011 g -#5084 -b1111100100101010100111101011101100 " -b1111100100101010100111101011101100 g -#5085 -b1111100100101010100111101011101101 " -b1111100100101010100111101011101101 g -#5086 -b1111100100101010100111101011101110 " -b1111100100101010100111101011101110 g -#5087 -b1111100100101010100111101011101111 " -b1111100100101010100111101011101111 g -#5088 -b1111100100101010100111101011110000 " -b11110 b -b1111100100101010100111101011110000 g -b1111 I" -#5089 -b1111100100101010100111101011110001 " -b11101 b -b1111100100101010100111101011110001 g -b10000 I" -#5090 -b1111100100101010100111101011110010 " -b11100 b -b1111100100101010100111101011110010 g -b0 I" -#5091 -b1111100100101010100111101011110011 " -b1111100100101010100111101011110011 g -#5092 -b1111100100101010100111101011110100 " -b1111100100101010100111101011110100 g -#5093 -b1111100100101010100111101011110101 " -b1111100100101010100111101011110101 g -#5094 -b1111100100101010100111101011110110 " -b1111100100101010100111101011110110 g -#5095 -b1111100100101010100111101011110111 " -b1111100100101010100111101011110111 g -#5096 -b1111100100101010100111101011111000 " -b1111100100101010100111101011111000 g -#5097 -b1111100100101010100111101011111001 " -b1111100100101010100111101011111001 g -#5098 -b1111100100101010100111101011111010 " -b1111100100101010100111101011111010 g -#5099 -b1111100100101010100111101011111011 " -b1111100100101010100111101011111011 g -#5100 -b1111100100101010100111101011111100 " -b1111100100101010100111101011111100 g -#5101 -b1111100100101010100111101011111101 " -b1111100100101010100111101011111101 g -#5102 -b1111100100101010100111101011111110 " -b1111100100101010100111101011111110 g -#5103 -b1111100100101010100111101011111111 " -b1111100100101010100111101011111111 g -#5104 -b1111100100101010100111101100000000 " -b11110 b -b1111100100101010100111101100000000 g -b1111 I" -#5105 -b1111100100101010100111101100000001 " -b11101 b -b1111100100101010100111101100000001 g -b10000 I" -#5106 -b1111100100101010100111101100000010 " -b11100 b -b1111100100101010100111101100000010 g -b0 I" -#5107 -b1111100100101010100111101100000011 " -b1111100100101010100111101100000011 g -#5108 -b1111100100101010100111101100000100 " -b1111100100101010100111101100000100 g -#5109 -b1111100100101010100111101100000101 " -b1111100100101010100111101100000101 g -#5110 -b1111100100101010100111101100000110 " -b1111100100101010100111101100000110 g -#5111 -b1111100100101010100111101100000111 " -b1111100100101010100111101100000111 g -#5112 -b1111100100101010100111101100001000 " -b1111100100101010100111101100001000 g -#5113 -b1111100100101010100111101100001001 " -b1111100100101010100111101100001001 g -#5114 -b1111100100101010100111101100001010 " -b1111100100101010100111101100001010 g -#5115 -b1111100100101010100111101100001011 " -b1111100100101010100111101100001011 g -#5116 -b1111100100101010100111101100001100 " -b1111100100101010100111101100001100 g -#5117 -b1111100100101010100111101100001101 " -b1111100100101010100111101100001101 g -#5118 -b1111100100101010100111101100001110 " -b1111100100101010100111101100001110 g -#5119 -b1111100100101010100111101100001111 " -b1111100100101010100111101100001111 g -#5120 -b1111100100101010100111101100010000 " -b11110 b -b1111100100101010100111101100010000 g -b1111 I" -#5121 -b1111100100101010100111101100010001 " -b11101 b -b1111100100101010100111101100010001 g -b10000 I" -#5122 -b1111100100101010100111101100010010 " -b11100 b -b1111100100101010100111101100010010 g -b0 I" -#5123 -b1111100100101010100111101100010011 " -b1111100100101010100111101100010011 g -#5124 -b1111100100101010100111101100010100 " -b1111100100101010100111101100010100 g -#5125 -b1111100100101010100111101100010101 " -b1111100100101010100111101100010101 g -#5126 -b1111100100101010100111101100010110 " -b1111100100101010100111101100010110 g -#5127 -b1111100100101010100111101100010111 " -b1111100100101010100111101100010111 g -#5128 -b1111100100101010100111101100011000 " -b1111100100101010100111101100011000 g -#5129 -b1111100100101010100111101100011001 " -b1111100100101010100111101100011001 g -#5130 -b1111100100101010100111101100011010 " -b1111100100101010100111101100011010 g -#5131 -b1111100100101010100111101100011011 " -b1111100100101010100111101100011011 g -#5132 -b1111100100101010100111101100011100 " -b1111100100101010100111101100011100 g -#5133 -b1111100100101010100111101100011101 " -b1111100100101010100111101100011101 g -#5134 -b1111100100101010100111101100011110 " -b1111100100101010100111101100011110 g -#5135 -b1111100100101010100111101100011111 " -b1111100100101010100111101100011111 g -#5136 -b1111100100101010100111101100100000 " -b11110 b -b1111100100101010100111101100100000 g -b1111 I" -#5137 -b1111100100101010100111101100100001 " -b11101 b -b1111100100101010100111101100100001 g -b10000 I" -#5138 -b1111100100101010100111101100100010 " -b11100 b -b1111100100101010100111101100100010 g -b0 I" -#5139 -b1111100100101010100111101100100011 " -b1111100100101010100111101100100011 g -#5140 -b1111100100101010100111101100100100 " -b1111100100101010100111101100100100 g -#5141 -b1111100100101010100111101100100101 " -b1111100100101010100111101100100101 g -#5142 -b1111100100101010100111101100100110 " -b1111100100101010100111101100100110 g -#5143 -b1111100100101010100111101100100111 " -b1111100100101010100111101100100111 g -#5144 -b1111100100101010100111101100101000 " -b1111100100101010100111101100101000 g -#5145 -b1111100100101010100111101100101001 " -b1111100100101010100111101100101001 g -#5146 -b1111100100101010100111101100101010 " -b1111100100101010100111101100101010 g -#5147 -b1111100100101010100111101100101011 " -b1111100100101010100111101100101011 g -#5148 -b1111100100101010100111101100101100 " -b1111100100101010100111101100101100 g -#5149 -b1111100100101010100111101100101101 " -b1111100100101010100111101100101101 g -#5150 -b1111100100101010100111101100101110 " -b1111100100101010100111101100101110 g -#5151 -b1111100100101010100111101100101111 " -b1111100100101010100111101100101111 g -#5152 -b1111100100101010100111101100110000 " -b11110 b -b1111100100101010100111101100110000 g -b1111 I" -#5153 -b1111100100101010100111101100110001 " -b11101 b -b1111100100101010100111101100110001 g -b10000 I" -#5154 -b1111100100101010100111101100110010 " -b11100 b -b1111100100101010100111101100110010 g -b0 I" -#5155 -b1111100100101010100111101100110011 " -b1111100100101010100111101100110011 g -#5156 -b1111100100101010100111101100110100 " -b1111100100101010100111101100110100 g -#5157 -b1111100100101010100111101100110101 " -b1111100100101010100111101100110101 g -#5158 -b1111100100101010100111101100110110 " -b1111100100101010100111101100110110 g -#5159 -b1111100100101010100111101100110111 " -b1111100100101010100111101100110111 g -#5160 -b1111100100101010100111101100111000 " -b1111100100101010100111101100111000 g -#5161 -b1111100100101010100111101100111001 " -b1111100100101010100111101100111001 g -#5162 -b1111100100101010100111101100111010 " -b1111100100101010100111101100111010 g -#5163 -b1111100100101010100111101100111011 " -b1111100100101010100111101100111011 g -#5164 -b1111100100101010100111101100111100 " -b1111100100101010100111101100111100 g -#5165 -b1111100100101010100111101100111101 " -b1111100100101010100111101100111101 g -#5166 -b1111100100101010100111101100111110 " -b1111100100101010100111101100111110 g -#5167 -b1111100100101010100111101100111111 " -b1111100100101010100111101100111111 g -#5168 -b1111100100101010100111101101000000 " -b11110 b -b1111100100101010100111101101000000 g -b1111 I" -#5169 -b1111100100101010100111101101000001 " -b11101 b -b1111100100101010100111101101000001 g -b10000 I" -#5170 -b1111100100101010100111101101000010 " -b11100 b -b1111100100101010100111101101000010 g -b0 I" -#5171 -b1111100100101010100111101101000011 " -b1111100100101010100111101101000011 g -#5172 -b1111100100101010100111101101000100 " -b1111100100101010100111101101000100 g -#5173 -b1111100100101010100111101101000101 " -b1111100100101010100111101101000101 g -#5174 -b1111100100101010100111101101000110 " -b1111100100101010100111101101000110 g -#5175 -b1111100100101010100111101101000111 " -b1111100100101010100111101101000111 g -#5176 -b1111100100101010100111101101001000 " -b1111100100101010100111101101001000 g -#5177 -b1111100100101010100111101101001001 " -b1111100100101010100111101101001001 g -#5178 -b1111100100101010100111101101001010 " -b1111100100101010100111101101001010 g -#5179 -b1111100100101010100111101101001011 " -b1111100100101010100111101101001011 g -#5180 -b1111100100101010100111101101001100 " -b1111100100101010100111101101001100 g -#5181 -b1111100100101010100111101101001101 " -b1111100100101010100111101101001101 g -#5182 -b1111100100101010100111101101001110 " -b1111100100101010100111101101001110 g -#5183 -b1111100100101010100111101101001111 " -b1111100100101010100111101101001111 g -#5184 -b1111100100101010100111101101010000 " -b11110 b -b1111100100101010100111101101010000 g -b1111 I" -#5185 -b1111100100101010100111101101010001 " -b11101 b -#5186 -b1111100100101010100111101101010010 " -b11100 b -#5187 -b1111100100101010100111101101010011 " -#5188 -b1111100100101010100111101101010100 " -#5189 -b1111100100101010100111101101010101 " -#5190 -b1111100100101010100111101101010110 " -#5191 -b1111100100101010100111101101010111 " -#5192 -b1111100100101010100111101101011000 " -#5193 -b1111100100101010100111101101011001 " -#5194 -b1111100100101010100111101101011010 " -#5195 -b1111100100101010100111101101011011 " -#5196 -b1111100100101010100111101101011100 " -#5197 -b1111100100101010100111101101011101 " -#5198 -b1111100100101010100111101101011110 " -#5199 -b1111100100101010100111101101011111 " -#5200 -b1111100100101010100111101101100000 " -b11110 b -b1111100100101010100111101101100001 g -b10000 I" -#5201 -b1111100100101010100111101101100001 " -b11101 b -b1111100100101010100111101101100010 g -b0 I" -#5202 -b1111100100101010100111101101100010 " -b11100 b -b1111100100101010100111101101100011 g -#5203 -b1111100100101010100111101101100011 " -b1111100100101010100111101101100100 g -#5204 -b1111100100101010100111101101100100 " -b1111100100101010100111101101100101 g -#5205 -b1111100100101010100111101101100101 " -b1111100100101010100111101101100110 g -#5206 -b1111100100101010100111101101100110 " -b1111100100101010100111101101100111 g -#5207 -b1111100100101010100111101101100111 " -b1111100100101010100111101101101000 g -#5208 -b1111100100101010100111101101101000 " -b1111100100101010100111101101101001 g -#5209 -b1111100100101010100111101101101001 " -b1111100100101010100111101101101010 g -#5210 -b1111100100101010100111101101101010 " -b1111100100101010100111101101101011 g -#5211 -b1111100100101010100111101101101011 " -b1111100100101010100111101101101100 g -#5212 -b1111100100101010100111101101101100 " -b1111100100101010100111101101101101 g -#5213 -b1111100100101010100111101101101101 " -b1111100100101010100111101101101110 g -#5214 -b1111100100101010100111101101101110 " -b1111100100101010100111101101101111 g -#5215 -b1111100100101010100111101101101111 " -b1111100100101010100111101101110000 g -b1111 I" -#5216 -b1111100100101010100111101101110000 " -b11110 b -#5217 -b1111100100101010100111101101110001 " -b11101 b -b1111100100101010100111101101110001 g -b10000 I" -#5218 -b1111100100101010100111101101110010 " -b11100 b -b1111100100101010100111101101110010 g -b0 I" -#5219 -b1111100100101010100111101101110011 " -b1111100100101010100111101101110011 g -#5220 -b1111100100101010100111101101110100 " -b1111100100101010100111101101110100 g -#5221 -b1111100100101010100111101101110101 " -b1111100100101010100111101101110101 g -#5222 -b1111100100101010100111101101110110 " -b1111100100101010100111101101110110 g -#5223 -b1111100100101010100111101101110111 " -b1111100100101010100111101101110111 g -#5224 -b1111100100101010100111101101111000 " -b1111100100101010100111101101111000 g -#5225 -b1111100100101010100111101101111001 " -b1111100100101010100111101101111001 g -#5226 -b1111100100101010100111101101111010 " -b1111100100101010100111101101111010 g -#5227 -b1111100100101010100111101101111011 " -b1111100100101010100111101101111011 g -#5228 -b1111100100101010100111101101111100 " -b1111100100101010100111101101111100 g -#5229 -b1111100100101010100111101101111101 " -b1111100100101010100111101101111101 g -#5230 -b1111100100101010100111101101111110 " -b1111100100101010100111101101111110 g -#5231 -b1111100100101010100111101101111111 " -b1111100100101010100111101101111111 g -#5232 -b1111100100101010100111101110000000 " -b11110 b -b1111100100101010100111101110000000 g -b1111 I" -#5233 -b1111100100101010100111101110000001 " -b11101 b -b1111100100101010100111101110000001 g -b10000 I" -#5234 -b1111100100101010100111101110000010 " -b11100 b -b1111100100101010100111101110000010 g -b0 I" -#5235 -b1111100100101010100111101110000011 " -b1111100100101010100111101110000011 g -#5236 -b1111100100101010100111101110000100 " -b1111100100101010100111101110000100 g -#5237 -b1111100100101010100111101110000101 " -b1111100100101010100111101110000101 g -#5238 -b1111100100101010100111101110000110 " -b1111100100101010100111101110000110 g -#5239 -b1111100100101010100111101110000111 " -b1111100100101010100111101110000111 g -#5240 -b1111100100101010100111101110001000 " -b1111100100101010100111101110001000 g -#5241 -b1111100100101010100111101110001001 " -b1111100100101010100111101110001001 g -#5242 -b1111100100101010100111101110001010 " -b1111100100101010100111101110001010 g -#5243 -b1111100100101010100111101110001011 " -b1111100100101010100111101110001011 g -#5244 -b1111100100101010100111101110001100 " -b1111100100101010100111101110001100 g -#5245 -b1111100100101010100111101110001101 " -b1111100100101010100111101110001101 g -#5246 -b1111100100101010100111101110001110 " -b1111100100101010100111101110001110 g -#5247 -b1111100100101010100111101110001111 " -b1111100100101010100111101110001111 g -#5248 -b1111100100101010100111101110010000 " -b11110 b -b1111100100101010100111101110010000 g -#5249 -b1111100100101010100111101110010001 " -b11101 b -b1111100100101010100111101110010001 g -b10000 I" -#5250 -b1111100100101010100111101110010010 " -b11100 b -b1111100100101010100111101110010010 g -b0 I" -#5251 -b1111100100101010100111101110010011 " -b1111100100101010100111101110010011 g -#5252 -b1111100100101010100111101110010100 " -b1111100100101010100111101110010100 g -#5253 -b1111100100101010100111101110010101 " -b1111100100101010100111101110010101 g -#5254 -b1111100100101010100111101110010110 " -b1111100100101010100111101110010110 g -#5255 -b1111100100101010100111101110010111 " -b1111100100101010100111101110010111 g -#5256 -b1111100100101010100111101110011000 " -b1111100100101010100111101110011000 g -#5257 -b1111100100101010100111101110011001 " -b1111100100101010100111101110011001 g -#5258 -b1111100100101010100111101110011010 " -b1111100100101010100111101110011010 g -#5259 -b1111100100101010100111101110011011 " -b1111100100101010100111101110011011 g -#5260 -b1111100100101010100111101110011100 " -b1111100100101010100111101110011100 g -#5261 -b1111100100101010100111101110011101 " -b1111100100101010100111101110011101 g -#5262 -b1111100100101010100111101110011110 " -b1111100100101010100111101110011110 g -#5263 -b1111100100101010100111101110011111 " -b1111100100101010100111101110011111 g -#5264 -b1111100100101010100111101110100000 " -b11110 b -b1111100100101010100111101110100000 g -#5265 -b1111100100101010100111101110100001 " -b11101 b -b1111100100101010100111101110100001 g -#5266 -b1111100100101010100111101110100010 " -b11100 b -b1111100100101010100111101110100010 g -#5267 -b1111100100101010100111101110100011 " -b1111100100101010100111101110100011 g -#5268 -b1111100100101010100111101110100100 " -b1111100100101010100111101110100100 g -#5269 -b1111100100101010100111101110100101 " -b1111100100101010100111101110100101 g -#5270 -b1111100100101010100111101110100110 " -b1111100100101010100111101110100110 g -#5271 -b1111100100101010100111101110100111 " -b1111100100101010100111101110100111 g -#5272 -b1111100100101010100111101110101000 " -b1111100100101010100111101110101000 g -#5273 -b1111100100101010100111101110101001 " -b1111100100101010100111101110101001 g -#5274 -b1111100100101010100111101110101010 " -b1111100100101010100111101110101010 g -#5275 -b1111100100101010100111101110101011 " -b1111100100101010100111101110101011 g -#5276 -b1111100100101010100111101110101100 " -b1111100100101010100111101110101100 g -#5277 -b1111100100101010100111101110101101 " -b1111100100101010100111101110101101 g -#5278 -b1111100100101010100111101110101110 " -b1111100100101010100111101110101110 g -#5279 -b1111100100101010100111101110101111 " -b1111100100101010100111101110101111 g -#5280 -b1111100100101010100111101110110000 " -b11110 b -b1111100100101010100111101110110000 g -b1111 I" -#5281 -b1111100100101010100111101110110001 " -b11101 b -b1111100100101010100111101110110001 g -b10000 I" -#5282 -b1111100100101010100111101110110010 " -b11100 b -b1111100100101010100111101110110010 g -b0 I" -#5283 -b1111100100101010100111101110110011 " -b1111100100101010100111101110110011 g -#5284 -b1111100100101010100111101110110100 " -b1111100100101010100111101110110100 g -#5285 -b1111100100101010100111101110110101 " -b1111100100101010100111101110110101 g -#5286 -b1111100100101010100111101110110110 " -b1111100100101010100111101110110110 g -#5287 -b1111100100101010100111101110110111 " -b1111100100101010100111101110110111 g -#5288 -b1111100100101010100111101110111000 " -b1111100100101010100111101110111000 g -#5289 -b1111100100101010100111101110111001 " -b1111100100101010100111101110111001 g -#5290 -b1111100100101010100111101110111010 " -b1111100100101010100111101110111010 g -#5291 -b1111100100101010100111101110111011 " -b1111100100101010100111101110111011 g -#5292 -b1111100100101010100111101110111100 " -b1111100100101010100111101110111100 g -#5293 -b1111100100101010100111101110111101 " -b1111100100101010100111101110111101 g -#5294 -b1111100100101010100111101110111110 " -b1111100100101010100111101110111110 g -#5295 -b1111100100101010100111101110111111 " -b1111100100101010100111101110111111 g -#5296 -b1111100100101010100111101111000000 " -b11110 b -b1111100100101010100111101111000000 g -b1111 I" -#5297 -b1111100100101010100111101111000001 " -b11101 b -b1111100100101010100111101111000001 g -b10000 I" -#5298 -b1111100100101010100111101111000010 " -b11100 b -b1111100100101010100111101111000010 g -b0 I" -#5299 -b1111100100101010100111101111000011 " -b1111100100101010100111101111000011 g -#5300 -b1111100100101010100111101111000100 " -b1111100100101010100111101111000100 g -#5301 -b1111100100101010100111101111000101 " -b1111100100101010100111101111000101 g -#5302 -b1111100100101010100111101111000110 " -b1111100100101010100111101111000110 g -#5303 -b1111100100101010100111101111000111 " -b1111100100101010100111101111000111 g -#5304 -b1111100100101010100111101111001000 " -b1111100100101010100111101111001000 g -#5305 -b1111100100101010100111101111001001 " -b1111100100101010100111101111001001 g -#5306 -b1111100100101010100111101111001010 " -b1111100100101010100111101111001010 g -#5307 -b1111100100101010100111101111001011 " -b1111100100101010100111101111001011 g -#5308 -b1111100100101010100111101111001100 " -b1111100100101010100111101111001100 g -#5309 -b1111100100101010100111101111001101 " -b1111100100101010100111101111001101 g -#5310 -b1111100100101010100111101111001110 " -b1111100100101010100111101111001110 g -#5311 -b1111100100101010100111101111001111 " -b1111100100101010100111101111001111 g -#5312 -b1111100100101010100111101111010000 " -b11110 b -b1111100100101010100111101111010000 g -b1111 I" -#5313 -b1111100100101010100111101111010001 " -b11101 b -b1111100100101010100111101111010001 g -b10000 I" -#5314 -b1111100100101010100111101111010010 " -b11100 b -b1111100100101010100111101111010010 g -b0 I" -#5315 -b1111100100101010100111101111010011 " -b1111100100101010100111101111010011 g -#5316 -b1111100100101010100111101111010100 " -b1111100100101010100111101111010100 g -#5317 -b1111100100101010100111101111010101 " -b1111100100101010100111101111010101 g -#5318 -b1111100100101010100111101111010110 " -b1111100100101010100111101111010110 g -#5319 -b1111100100101010100111101111010111 " -b1111100100101010100111101111010111 g -#5320 -b1111100100101010100111101111011000 " -b1111100100101010100111101111011000 g -#5321 -b1111100100101010100111101111011001 " -b1111100100101010100111101111011001 g -#5322 -b1111100100101010100111101111011010 " -b1111100100101010100111101111011010 g -#5323 -b1111100100101010100111101111011011 " -b1111100100101010100111101111011011 g -#5324 -b1111100100101010100111101111011100 " -b1111100100101010100111101111011100 g -#5325 -b1111100100101010100111101111011101 " -b1111100100101010100111101111011101 g -#5326 -b1111100100101010100111101111011110 " -b1111100100101010100111101111011110 g -#5327 -b1111100100101010100111101111011111 " -b1111100100101010100111101111011111 g -#5328 -b1111100100101010100111101111100000 " -b11110 b -b1111100100101010100111101111100000 g -b1111 I" -#5329 -b1111100100101010100111101111100001 " -b11101 b -b1111100100101010100111101111100001 g -b10000 I" -#5330 -b1111100100101010100111101111100010 " -b11100 b -b1111100100101010100111101111100010 g -b0 I" -#5331 -b1111100100101010100111101111100011 " -b1111100100101010100111101111100011 g -#5332 -b1111100100101010100111101111100100 " -b1111100100101010100111101111100100 g -#5333 -b1111100100101010100111101111100101 " -b1111100100101010100111101111100101 g -#5334 -b1111100100101010100111101111100110 " -b1111100100101010100111101111100110 g -#5335 -b1111100100101010100111101111100111 " -b1111100100101010100111101111100111 g -#5336 -b1111100100101010100111101111101000 " -b1111100100101010100111101111101000 g -#5337 -b1111100100101010100111101111101001 " -b1111100100101010100111101111101001 g -#5338 -b1111100100101010100111101111101010 " -b1111100100101010100111101111101010 g -#5339 -b1111100100101010100111101111101011 " -b1111100100101010100111101111101011 g -#5340 -b1111100100101010100111101111101100 " -b1111100100101010100111101111101100 g -#5341 -b1111100100101010100111101111101101 " -b1111100100101010100111101111101101 g -#5342 -b1111100100101010100111101111101110 " -b1111100100101010100111101111101110 g -#5343 -b1111100100101010100111101111101111 " -b1111100100101010100111101111101111 g -#5344 -b1111100100101010100111101111110000 " -b11110 b -b1111100100101010100111101111110000 g -b1111 I" -#5345 -b1111100100101010100111101111110001 " -b11101 b -#5346 -b1111100100101010100111101111110010 " -b11100 b -#5347 -b1111100100101010100111101111110011 " -#5348 -b1111100100101010100111101111110100 " -#5349 -b1111100100101010100111101111110101 " -#5350 -b1111100100101010100111101111110110 " -#5351 -b1111100100101010100111101111110111 " -#5352 -b1111100100101010100111101111111000 " -#5353 -b1111100100101010100111101111111001 " -#5354 -b1111100100101010100111101111111010 " -#5355 -b1111100100101010100111101111111011 " -#5356 -b1111100100101010100111101111111100 " -#5357 -b1111100100101010100111101111111101 " -#5358 -b1111100100101010100111101111111110 " -#5359 -b1111100100101010100111101111111111 " -#5360 -b1111100100101010100111110000000000 " -b11110 b -#5361 -b1111100100101010100111110000000001 " -b11101 b -b1111100100101010100111110000000001 g -b10000 I" -#5362 -b1111100100101010100111110000000010 " -b11100 b -b1111100100101010100111110000000010 g -b0 I" -#5363 -b1111100100101010100111110000000011 " -b1111100100101010100111110000000011 g -#5364 -b1111100100101010100111110000000100 " -b1111100100101010100111110000000100 g -#5365 -b1111100100101010100111110000000101 " -b1111100100101010100111110000000101 g -#5366 -b1111100100101010100111110000000110 " -b1111100100101010100111110000000110 g -#5367 -b1111100100101010100111110000000111 " -b1111100100101010100111110000000111 g -#5368 -b1111100100101010100111110000001000 " -b1111100100101010100111110000001000 g -#5369 -b1111100100101010100111110000001001 " -b1111100100101010100111110000001001 g -#5370 -b1111100100101010100111110000001010 " -b1111100100101010100111110000001010 g -#5371 -b1111100100101010100111110000001011 " -b1111100100101010100111110000001011 g -#5372 -b1111100100101010100111110000001100 " -b1111100100101010100111110000001100 g -#5373 -b1111100100101010100111110000001101 " -b1111100100101010100111110000001101 g -#5374 -b1111100100101010100111110000001110 " -b1111100100101010100111110000001110 g -#5375 -b1111100100101010100111110000001111 " -b1111100100101010100111110000001111 g -#5376 -b1111100100101010100111110000010000 " -b11110 b -b1111100100101010100111110000010000 g -b1111 I" -#5377 -b1111100100101010100111110000010001 " -b11101 b -b1111100100101010100111110000010001 g -b10000 I" -#5378 -b1111100100101010100111110000010010 " -b11100 b -b1111100100101010100111110000010010 g -b0 I" -#5379 -b1111100100101010100111110000010011 " -b1111100100101010100111110000010011 g -#5380 -b1111100100101010100111110000010100 " -b1111100100101010100111110000010100 g -#5381 -b1111100100101010100111110000010101 " -b1111100100101010100111110000010101 g -#5382 -b1111100100101010100111110000010110 " -b1111100100101010100111110000010110 g -#5383 -b1111100100101010100111110000010111 " -b1111100100101010100111110000010111 g -#5384 -b1111100100101010100111110000011000 " -b1111100100101010100111110000011000 g -#5385 -b1111100100101010100111110000011001 " -b1111100100101010100111110000011001 g -#5386 -b1111100100101010100111110000011010 " -b1111100100101010100111110000011010 g -#5387 -b1111100100101010100111110000011011 " -b1111100100101010100111110000011011 g -#5388 -b1111100100101010100111110000011100 " -b1111100100101010100111110000011100 g -#5389 -b1111100100101010100111110000011101 " -b1111100100101010100111110000011101 g -#5390 -b1111100100101010100111110000011110 " -b1111100100101010100111110000011110 g -#5391 -b1111100100101010100111110000011111 " -b1111100100101010100111110000011111 g -#5392 -b1111100100101010100111110000100000 " -b11110 b -b1111100100101010100111110000100000 g -b1111 I" -#5393 -b1111100100101010100111110000100001 " -b11101 b -b1111100100101010100111110000100001 g -b10000 I" -#5394 -b1111100100101010100111110000100010 " -b11100 b -b1111100100101010100111110000100010 g -b0 I" -#5395 -b1111100100101010100111110000100011 " -b1111100100101010100111110000100011 g -#5396 -b1111100100101010100111110000100100 " -b1111100100101010100111110000100100 g -#5397 -b1111100100101010100111110000100101 " -b1111100100101010100111110000100101 g -#5398 -b1111100100101010100111110000100110 " -b1111100100101010100111110000100110 g -#5399 -b1111100100101010100111110000100111 " -b1111100100101010100111110000100111 g -#5400 -b1111100100101010100111110000101000 " -b1111100100101010100111110000101000 g -#5401 -b1111100100101010100111110000101001 " -b1111100100101010100111110000101001 g -#5402 -b1111100100101010100111110000101010 " -b1111100100101010100111110000101010 g -#5403 -b1111100100101010100111110000101011 " -b1111100100101010100111110000101011 g -#5404 -b1111100100101010100111110000101100 " -b1111100100101010100111110000101100 g -#5405 -b1111100100101010100111110000101101 " -b1111100100101010100111110000101101 g -#5406 -b1111100100101010100111110000101110 " -b1111100100101010100111110000101110 g -#5407 -b1111100100101010100111110000101111 " -b1111100100101010100111110000101111 g -#5408 -b1111100100101010100111110000110000 " -b11110 b -b1111100100101010100111110000110000 g -b1111 I" -#5409 -b1111100100101010100111110000110001 " -b11101 b -b1111100100101010100111110000110001 g -b10000 I" -#5410 -b1111100100101010100111110000110010 " -b11100 b -b1111100100101010100111110000110010 g -b0 I" -#5411 -b1111100100101010100111110000110011 " -b1111100100101010100111110000110011 g -#5412 -b1111100100101010100111110000110100 " -b1111100100101010100111110000110100 g -#5413 -b1111100100101010100111110000110101 " -b1111100100101010100111110000110101 g -#5414 -b1111100100101010100111110000110110 " -b1111100100101010100111110000110110 g -#5415 -b1111100100101010100111110000110111 " -b1111100100101010100111110000110111 g -#5416 -b1111100100101010100111110000111000 " -b1111100100101010100111110000111000 g -#5417 -b1111100100101010100111110000111001 " -b1111100100101010100111110000111001 g -#5418 -b1111100100101010100111110000111010 " -b1111100100101010100111110000111010 g -#5419 -b1111100100101010100111110000111011 " -b1111100100101010100111110000111011 g -#5420 -b1111100100101010100111110000111100 " -b1111100100101010100111110000111100 g -#5421 -b1111100100101010100111110000111101 " -b1111100100101010100111110000111101 g -#5422 -b1111100100101010100111110000111110 " -b1111100100101010100111110000111110 g -#5423 -b1111100100101010100111110000111111 " -b1111100100101010100111110000111111 g -#5424 -b1111100100101010100111110001000000 " -b11110 b -b1111100100101010100111110001000000 g -b1111 I" -#5425 -b1111100100101010100111110001000001 " -b11101 b -b1111100100101010100111110001000001 g -b10000 I" -#5426 -b1111100100101010100111110001000010 " -b11100 b -b1111100100101010100111110001000010 g -b0 I" -#5427 -b1111100100101010100111110001000011 " -b1111100100101010100111110001000011 g -#5428 -b1111100100101010100111110001000100 " -b1111100100101010100111110001000100 g -#5429 -b1111100100101010100111110001000101 " -b1111100100101010100111110001000101 g -#5430 -b1111100100101010100111110001000110 " -b1111100100101010100111110001000110 g -#5431 -b1111100100101010100111110001000111 " -b1111100100101010100111110001000111 g -#5432 -b1111100100101010100111110001001000 " -b1111100100101010100111110001001000 g -#5433 -b1111100100101010100111110001001001 " -b1111100100101010100111110001001001 g -#5434 -b1111100100101010100111110001001010 " -b1111100100101010100111110001001010 g -#5435 -b1111100100101010100111110001001011 " -b1111100100101010100111110001001011 g -#5436 -b1111100100101010100111110001001100 " -b1111100100101010100111110001001100 g -#5437 -b1111100100101010100111110001001101 " -b1111100100101010100111110001001101 g -#5438 -b1111100100101010100111110001001110 " -b1111100100101010100111110001001110 g -#5439 -b1111100100101010100111110001001111 " -b1111100100101010100111110001001111 g -#5440 -b1111100100101010100111110001010000 " -b11110 b -b1111100100101010100111110001010000 g -#5441 -b1111100100101010100111110001010001 " -b11101 b -b1111100100101010100111110001010001 g -b10000 I" -#5442 -b1111100100101010100111110001010010 " -b11100 b -b1111100100101010100111110001010010 g -b0 I" -#5443 -b1111100100101010100111110001010011 " -b1111100100101010100111110001010011 g -#5444 -b1111100100101010100111110001010100 " -b1111100100101010100111110001010100 g -#5445 -b1111100100101010100111110001010101 " -b1111100100101010100111110001010101 g -#5446 -b1111100100101010100111110001010110 " -b1111100100101010100111110001010110 g -#5447 -b1111100100101010100111110001010111 " -b1111100100101010100111110001010111 g -#5448 -b1111100100101010100111110001011000 " -b1111100100101010100111110001011000 g -#5449 -b1111100100101010100111110001011001 " -b1111100100101010100111110001011001 g -#5450 -b1111100100101010100111110001011010 " -b1111100100101010100111110001011010 g -#5451 -b1111100100101010100111110001011011 " -b1111100100101010100111110001011011 g -#5452 -b1111100100101010100111110001011100 " -b1111100100101010100111110001011100 g -#5453 -b1111100100101010100111110001011101 " -b1111100100101010100111110001011101 g -#5454 -b1111100100101010100111110001011110 " -b1111100100101010100111110001011110 g -#5455 -b1111100100101010100111110001011111 " -b1111100100101010100111110001011111 g -#5456 -b1111100100101010100111110001100000 " -b11110 b -b1111100100101010100111110001100000 g -#5457 -b1111100100101010100111110001100001 " -b11101 b -b1111100100101010100111110001100001 g -b10000 I" -#5458 -b1111100100101010100111110001100010 " -b11100 b -b1111100100101010100111110001100010 g -b0 I" -#5459 -b1111100100101010100111110001100011 " -b1111100100101010100111110001100011 g -#5460 -b1111100100101010100111110001100100 " -b1111100100101010100111110001100100 g -#5461 -b1111100100101010100111110001100101 " -b1111100100101010100111110001100101 g -#5462 -b1111100100101010100111110001100110 " -b1111100100101010100111110001100110 g -#5463 -b1111100100101010100111110001100111 " -b1111100100101010100111110001100111 g -#5464 -b1111100100101010100111110001101000 " -b1111100100101010100111110001101000 g -#5465 -b1111100100101010100111110001101001 " -b1111100100101010100111110001101001 g -#5466 -b1111100100101010100111110001101010 " -b1111100100101010100111110001101010 g -#5467 -b1111100100101010100111110001101011 " -b1111100100101010100111110001101011 g -#5468 -b1111100100101010100111110001101100 " -b1111100100101010100111110001101100 g -#5469 -b1111100100101010100111110001101101 " -b1111100100101010100111110001101101 g -#5470 -b1111100100101010100111110001101110 " -b1111100100101010100111110001101110 g -#5471 -b1111100100101010100111110001101111 " -b1111100100101010100111110001101111 g -#5472 -b1111100100101010100111110001110000 " -b11110 b -b1111100100101010100111110001110000 g -b1111 I" -#5473 -b1111100100101010100111110001110001 " -b11101 b -b1111100100101010100111110001110001 g -b10000 I" -#5474 -b1111100100101010100111110001110010 " -b11100 b -b1111100100101010100111110001110010 g -b0 I" -#5475 -b1111100100101010100111110001110011 " -b1111100100101010100111110001110011 g -#5476 -b1111100100101010100111110001110100 " -b1111100100101010100111110001110100 g -#5477 -b1111100100101010100111110001110101 " -b1111100100101010100111110001110101 g -#5478 -b1111100100101010100111110001110110 " -b1111100100101010100111110001110110 g -#5479 -b1111100100101010100111110001110111 " -b1111100100101010100111110001110111 g -#5480 -b1111100100101010100111110001111000 " -b1111100100101010100111110001111000 g -#5481 -b1111100100101010100111110001111001 " -b1111100100101010100111110001111001 g -#5482 -b1111100100101010100111110001111010 " -b1111100100101010100111110001111010 g -#5483 -b1111100100101010100111110001111011 " -b1111100100101010100111110001111011 g -#5484 -b1111100100101010100111110001111100 " -b1111100100101010100111110001111100 g -#5485 -b1111100100101010100111110001111101 " -b1111100100101010100111110001111101 g -#5486 -b1111100100101010100111110001111110 " -b1111100100101010100111110001111110 g -#5487 -b1111100100101010100111110001111111 " -b1111100100101010100111110001111111 g -#5488 -b1111100100101010100111110010000000 " -b11110 b -b1111100100101010100111110010000000 g -b1111 I" -#5489 -b1111100100101010100111110010000001 " -b11101 b -b1111100100101010100111110010000001 g -b10000 I" -#5490 -b1111100100101010100111110010000010 " -b11100 b -b1111100100101010100111110010000010 g -b0 I" -#5491 -b1111100100101010100111110010000011 " -b1111100100101010100111110010000011 g -#5492 -b1111100100101010100111110010000100 " -b1111100100101010100111110010000100 g -#5493 -b1111100100101010100111110010000101 " -b1111100100101010100111110010000101 g -#5494 -b1111100100101010100111110010000110 " -b1111100100101010100111110010000110 g -#5495 -b1111100100101010100111110010000111 " -b1111100100101010100111110010000111 g -#5496 -b1111100100101010100111110010001000 " -b1111100100101010100111110010001000 g -#5497 -b1111100100101010100111110010001001 " -b1111100100101010100111110010001001 g -#5498 -b1111100100101010100111110010001010 " -b1111100100101010100111110010001010 g -#5499 -b1111100100101010100111110010001011 " -b1111100100101010100111110010001011 g -#5500 -b1111100100101010100111110010001100 " -b1111100100101010100111110010001100 g -#5501 -b1111100100101010100111110010001101 " -b1111100100101010100111110010001101 g -#5502 -b1111100100101010100111110010001110 " -b1111100100101010100111110010001110 g -#5503 -b1111100100101010100111110010001111 " -b1111100100101010100111110010001111 g -#5504 -b1111100100101010100111110010010000 " -b11110 b -b1111100100101010100111110010010000 g -b1111 I" -#5505 -b1111100100101010100111110010010001 " -b11101 b -b1111100100101010100111110010010001 g -b10000 I" -#5506 -b1111100100101010100111110010010010 " -b11100 b -b1111100100101010100111110010010010 g -b0 I" -#5507 -b1111100100101010100111110010010011 " -b1111100100101010100111110010010011 g -#5508 -b1111100100101010100111110010010100 " -b1111100100101010100111110010010100 g -#5509 -b1111100100101010100111110010010101 " -b1111100100101010100111110010010101 g -#5510 -b1111100100101010100111110010010110 " -b1111100100101010100111110010010110 g -#5511 -b1111100100101010100111110010010111 " -b1111100100101010100111110010010111 g -#5512 -b1111100100101010100111110010011000 " -b1111100100101010100111110010011000 g -#5513 -b1111100100101010100111110010011001 " -b1111100100101010100111110010011001 g -#5514 -b1111100100101010100111110010011010 " -b1111100100101010100111110010011010 g -#5515 -b1111100100101010100111110010011011 " -b1111100100101010100111110010011011 g -#5516 -b1111100100101010100111110010011100 " -b1111100100101010100111110010011100 g -#5517 -b1111100100101010100111110010011101 " -b1111100100101010100111110010011101 g -#5518 -b1111100100101010100111110010011110 " -b1111100100101010100111110010011110 g -#5519 -b1111100100101010100111110010011111 " -b1111100100101010100111110010011111 g -#5520 -b1111100100101010100111110010100000 " -b11110 b -b1111100100101010100111110010100000 g -b1111 I" -#5521 -b1111100100101010100111110010100001 " -b11101 b -b1111100100101010100111110010100001 g -b10000 I" -#5522 -b1111100100101010100111110010100010 " -b11100 b -b1111100100101010100111110010100010 g -b0 I" -#5523 -b1111100100101010100111110010100011 " -b1111100100101010100111110010100011 g -#5524 -b1111100100101010100111110010100100 " -b1111100100101010100111110010100100 g -#5525 -b1111100100101010100111110010100101 " -b1111100100101010100111110010100101 g -#5526 -b1111100100101010100111110010100110 " -b1111100100101010100111110010100110 g -#5527 -b1111100100101010100111110010100111 " -b1111100100101010100111110010100111 g -#5528 -b1111100100101010100111110010101000 " -b1111100100101010100111110010101000 g -#5529 -b1111100100101010100111110010101001 " -b1111100100101010100111110010101001 g -#5530 -b1111100100101010100111110010101010 " -b1111100100101010100111110010101010 g -#5531 -b1111100100101010100111110010101011 " -b1111100100101010100111110010101011 g -#5532 -b1111100100101010100111110010101100 " -b1111100100101010100111110010101100 g -#5533 -b1111100100101010100111110010101101 " -b1111100100101010100111110010101101 g -#5534 -b1111100100101010100111110010101110 " -b1111100100101010100111110010101110 g -#5535 -b1111100100101010100111110010101111 " -b1111100100101010100111110010101111 g -#5536 -b1111100100101010100111110010110000 " -b11110 b -b1111100100101010100111110010110000 g -b1111 I" -#5537 -b1111100100101010100111110010110001 " -b11101 b -b1111100100101010100111110010110001 g -b10000 I" -#5538 -b1111100100101010100111110010110010 " -b11100 b -b1111100100101010100111110010110010 g -b0 I" -#5539 -b1111100100101010100111110010110011 " -b1111100100101010100111110010110011 g -#5540 -b1111100100101010100111110010110100 " -b1111100100101010100111110010110100 g -#5541 -b1111100100101010100111110010110101 " -b1111100100101010100111110010110101 g -#5542 -b1111100100101010100111110010110110 " -b1111100100101010100111110010110110 g -#5543 -b1111100100101010100111110010110111 " -b1111100100101010100111110010110111 g -#5544 -b1111100100101010100111110010111000 " -b1111100100101010100111110010111000 g -#5545 -b1111100100101010100111110010111001 " -b1111100100101010100111110010111001 g -#5546 -b1111100100101010100111110010111010 " -b1111100100101010100111110010111010 g -#5547 -b1111100100101010100111110010111011 " -b1111100100101010100111110010111011 g -#5548 -b1111100100101010100111110010111100 " -b1111100100101010100111110010111100 g -#5549 -b1111100100101010100111110010111101 " -b1111100100101010100111110010111101 g -#5550 -b1111100100101010100111110010111110 " -b1111100100101010100111110010111110 g -#5551 -b1111100100101010100111110010111111 " -b1111100100101010100111110010111111 g -#5552 -b1111100100101010100111110011000000 " -b11110 b -b1111100100101010100111110011000000 g -b1111 I" -#5553 -b1111100100101010100111110011000001 " -b11101 b -b1111100100101010100111110011000001 g -b10000 I" -#5554 -b1111100100101010100111110011000010 " -b11100 b -b1111100100101010100111110011000010 g -b0 I" -#5555 -b1111100100101010100111110011000011 " -b1111100100101010100111110011000011 g -#5556 -b1111100100101010100111110011000100 " -b1111100100101010100111110011000100 g -#5557 -b1111100100101010100111110011000101 " -b1111100100101010100111110011000101 g -#5558 -b1111100100101010100111110011000110 " -b1111100100101010100111110011000110 g -#5559 -b1111100100101010100111110011000111 " -b1111100100101010100111110011000111 g -#5560 -b1111100100101010100111110011001000 " -b1111100100101010100111110011001000 g -#5561 -b1111100100101010100111110011001001 " -b1111100100101010100111110011001001 g -#5562 -b1111100100101010100111110011001010 " -b1111100100101010100111110011001010 g -#5563 -b1111100100101010100111110011001011 " -b1111100100101010100111110011001011 g -#5564 -b1111100100101010100111110011001100 " -b1111100100101010100111110011001100 g -#5565 -b1111100100101010100111110011001101 " -b1111100100101010100111110011001101 g -#5566 -b1111100100101010100111110011001110 " -b1111100100101010100111110011001110 g -#5567 -b1111100100101010100111110011001111 " -b1111100100101010100111110011001111 g -#5568 -b1111100100101010100111110011010000 " -b11110 b -b1111100100101010100111110011010000 g -b1111 I" -#5569 -b1111100100101010100111110011010001 " -b11101 b -b1111100100101010100111110011010001 g -b10000 I" -#5570 -b1111100100101010100111110011010010 " -b11100 b -b1111100100101010100111110011010010 g -b0 I" -#5571 -b1111100100101010100111110011010011 " -b1111100100101010100111110011010011 g -#5572 -b1111100100101010100111110011010100 " -b1111100100101010100111110011010100 g -#5573 -b1111100100101010100111110011010101 " -b1111100100101010100111110011010101 g -#5574 -b1111100100101010100111110011010110 " -b1111100100101010100111110011010110 g -#5575 -b1111100100101010100111110011010111 " -b1111100100101010100111110011010111 g -#5576 -b1111100100101010100111110011011000 " -b1111100100101010100111110011011000 g -#5577 -b1111100100101010100111110011011001 " -b1111100100101010100111110011011001 g -#5578 -b1111100100101010100111110011011010 " -b1111100100101010100111110011011010 g -#5579 -b1111100100101010100111110011011011 " -b1111100100101010100111110011011011 g -#5580 -b1111100100101010100111110011011100 " -b1111100100101010100111110011011100 g -#5581 -b1111100100101010100111110011011101 " -b1111100100101010100111110011011101 g -#5582 -b1111100100101010100111110011011110 " -b1111100100101010100111110011011110 g -#5583 -b1111100100101010100111110011011111 " -b1111100100101010100111110011011111 g -#5584 -b1111100100101010100111110011100000 " -b11110 b -b1111100100101010100111110011100000 g -b1111 I" -#5585 -b1111100100101010100111110011100001 " -b11101 b -b1111100100101010100111110011100001 g -b10000 I" -#5586 -b1111100100101010100111110011100010 " -b11100 b -b1111100100101010100111110011100010 g -b0 I" -#5587 -b1111100100101010100111110011100011 " -b1111100100101010100111110011100011 g -#5588 -b1111100100101010100111110011100100 " -b1111100100101010100111110011100100 g -#5589 -b1111100100101010100111110011100101 " -b1111100100101010100111110011100101 g -#5590 -b1111100100101010100111110011100110 " -b1111100100101010100111110011100110 g -#5591 -b1111100100101010100111110011100111 " -b1111100100101010100111110011100111 g -#5592 -b1111100100101010100111110011101000 " -b1111100100101010100111110011101000 g -#5593 -b1111100100101010100111110011101001 " -b1111100100101010100111110011101001 g -#5594 -b1111100100101010100111110011101010 " -b1111100100101010100111110011101010 g -#5595 -b1111100100101010100111110011101011 " -b1111100100101010100111110011101011 g -#5596 -b1111100100101010100111110011101100 " -b1111100100101010100111110011101100 g -#5597 -b1111100100101010100111110011101101 " -b1111100100101010100111110011101101 g -#5598 -b1111100100101010100111110011101110 " -b1111100100101010100111110011101110 g -#5599 -b1111100100101010100111110011101111 " -b1111100100101010100111110011101111 g -#5600 -b1111100100101010100111110011110000 " -b11110 b -b1111100100101010100111110011110000 g -b1111 I" -#5601 -b1111100100101010100111110011110001 " -b11101 b -b1111100100101010100111110011110001 g -b10000 I" -#5602 -b1111100100101010100111110011110010 " -b11100 b -b0 I" -#5603 -b1111100100101010100111110011110011 " -b1111100100101010100111110011110010 g -#5604 -b1111100100101010100111110011110100 " -b1111100100101010100111110011110011 g -#5605 -b1111100100101010100111110011110101 " -b1111100100101010100111110011110100 g -#5606 -b1111100100101010100111110011110110 " -b1111100100101010100111110011110101 g -#5607 -b1111100100101010100111110011110111 " -b1111100100101010100111110011110110 g -#5608 -b1111100100101010100111110011111000 " -b1111100100101010100111110011110111 g -#5609 -b1111100100101010100111110011111001 " -b1111100100101010100111110011111000 g -#5610 -b1111100100101010100111110011111010 " -b1111100100101010100111110011111001 g -#5611 -b1111100100101010100111110011111011 " -b1111100100101010100111110011111010 g -#5612 -b1111100100101010100111110011111100 " -b1111100100101010100111110011111011 g -#5613 -b1111100100101010100111110011111101 " -b1111100100101010100111110011111100 g -#5614 -b1111100100101010100111110011111110 " -b1111100100101010100111110011111101 g -#5615 -b1111100100101010100111110011111111 " -b1111100100101010100111110011111110 g -#5616 -b1111100100101010100111110100000000 " -b11110 b -b1111100100101010100111110011111111 g -#5617 -b1111100100101010100111110100000001 " -b11101 b -b1111100100101010100111110100000000 g -#5618 -b1111100100101010100111110100000010 " -b11100 b -b1111100100101010100111110100000001 g -b10000 I" -#5619 -b1111100100101010100111110100000011 " -b1111100100101010100111110100000010 g -b0 I" -#5620 -b1111100100101010100111110100000100 " -b1111100100101010100111110100000011 g -#5621 -b1111100100101010100111110100000101 " -b1111100100101010100111110100000100 g -#5622 -b1111100100101010100111110100000110 " -b1111100100101010100111110100000101 g -#5623 -b1111100100101010100111110100000111 " -b1111100100101010100111110100000110 g -#5624 -b1111100100101010100111110100001000 " -b1111100100101010100111110100000111 g -#5625 -b1111100100101010100111110100001001 " -b1111100100101010100111110100001000 g -#5626 -b1111100100101010100111110100001010 " -b1111100100101010100111110100001001 g -#5627 -b1111100100101010100111110100001011 " -b1111100100101010100111110100001010 g -#5628 -b1111100100101010100111110100001100 " -b1111100100101010100111110100001011 g -#5629 -b1111100100101010100111110100001101 " -b1111100100101010100111110100001100 g -#5630 -b1111100100101010100111110100001110 " -b1111100100101010100111110100001101 g -#5631 -b1111100100101010100111110100001111 " -b1111100100101010100111110100001110 g -#5632 -b1111100100101010100111110100010000 " -b11110 b -b1111100100101010100111110100001111 g -#5633 -b1111100100101010100111110100010001 " -b11101 b -b1111100100101010100111110100010000 g -b1111 I" -#5634 -b1111100100101010100111110100010010 " -b11100 b -b1111100100101010100111110100010001 g -b10000 I" -#5635 -b1111100100101010100111110100010011 " -b1111100100101010100111110100010010 g -b0 I" -#5636 -b1111100100101010100111110100010100 " -b1111100100101010100111110100010011 g -#5637 -b1111100100101010100111110100010101 " -b1111100100101010100111110100010100 g -#5638 -b1111100100101010100111110100010110 " -b1111100100101010100111110100010101 g -#5639 -b1111100100101010100111110100010111 " -b1111100100101010100111110100010110 g -#5640 -b1111100100101010100111110100011000 " -b1111100100101010100111110100010111 g -#5641 -b1111100100101010100111110100011001 " -b1111100100101010100111110100011000 g -#5642 -b1111100100101010100111110100011010 " -b1111100100101010100111110100011001 g -#5643 -b1111100100101010100111110100011011 " -b1111100100101010100111110100011010 g -#5644 -b1111100100101010100111110100011100 " -b1111100100101010100111110100011011 g -#5645 -b1111100100101010100111110100011101 " -b1111100100101010100111110100011100 g -#5646 -b1111100100101010100111110100011110 " -b1111100100101010100111110100011101 g -#5647 -b1111100100101010100111110100011111 " -b1111100100101010100111110100011110 g -#5648 -b1111100100101010100111110100100000 " -b11110 b -b1111100100101010100111110100011111 g -#5649 -b1111100100101010100111110100100001 " -b11101 b -b1111100100101010100111110100100000 g -#5650 -b1111100100101010100111110100100010 " -b11100 b -b1111100100101010100111110100100001 g -b10000 I" -#5651 -b1111100100101010100111110100100011 " -b1111100100101010100111110100100010 g -b0 I" -#5652 -b1111100100101010100111110100100100 " -b1111100100101010100111110100100011 g -#5653 -b1111100100101010100111110100100101 " -b1111100100101010100111110100100100 g -#5654 -b1111100100101010100111110100100110 " -b1111100100101010100111110100100101 g -#5655 -b1111100100101010100111110100100111 " -b1111100100101010100111110100100110 g -#5656 -b1111100100101010100111110100101000 " -b1111100100101010100111110100100111 g -#5657 -b1111100100101010100111110100101001 " -b1111100100101010100111110100101000 g -#5658 -b1111100100101010100111110100101010 " -b1111100100101010100111110100101001 g -#5659 -b1111100100101010100111110100101011 " -b1111100100101010100111110100101010 g -#5660 -b1111100100101010100111110100101100 " -b1111100100101010100111110100101011 g -#5661 -b1111100100101010100111110100101101 " -b1111100100101010100111110100101100 g -#5662 -b1111100100101010100111110100101110 " -b1111100100101010100111110100101101 g -#5663 -b1111100100101010100111110100101111 " -b1111100100101010100111110100101110 g -#5664 -b1111100100101010100111110100110000 " -b11110 b -b1111100100101010100111110100101111 g -#5665 -b1111100100101010100111110100110001 " -b11101 b -b1111100100101010100111110100110000 g -b1111 I" -#5666 -b1111100100101010100111110100110010 " -b11100 b -b1111100100101010100111110100110001 g -b10000 I" -#5667 -b1111100100101010100111110100110011 " -b1111100100101010100111110100110010 g -b0 I" -#5668 -b1111100100101010100111110100110100 " -b1111100100101010100111110100110011 g -#5669 -b1111100100101010100111110100110101 " -b1111100100101010100111110100110100 g -#5670 -b1111100100101010100111110100110110 " -b1111100100101010100111110100110101 g -#5671 -b1111100100101010100111110100110111 " -b1111100100101010100111110100110110 g -#5672 -b1111100100101010100111110100111000 " -b1111100100101010100111110100110111 g -#5673 -b1111100100101010100111110100111001 " -b1111100100101010100111110100111000 g -#5674 -b1111100100101010100111110100111010 " -b1111100100101010100111110100111001 g -#5675 -b1111100100101010100111110100111011 " -b1111100100101010100111110100111010 g -#5676 -b1111100100101010100111110100111100 " -b1111100100101010100111110100111011 g -#5677 -b1111100100101010100111110100111101 " -b1111100100101010100111110100111100 g -#5678 -b1111100100101010100111110100111110 " -b1111100100101010100111110100111101 g -#5679 -b1111100100101010100111110100111111 " -b1111100100101010100111110100111110 g -#5680 -b1111100100101010100111110101000000 " -b11110 b -b1111100100101010100111110100111111 g -#5681 -b1111100100101010100111110101000001 " -b11101 b -b1111100100101010100111110101000000 g -b1111 I" -#5682 -b1111100100101010100111110101000010 " -b11100 b -b1111100100101010100111110101000001 g -b10000 I" -#5683 -b1111100100101010100111110101000011 " -b0 I" -#5684 -b1111100100101010100111110101000100 " -b1111100100101010100111110101000010 g -#5685 -b1111100100101010100111110101000101 " -b1111100100101010100111110101000011 g -#5686 -b1111100100101010100111110101000110 " -b1111100100101010100111110101000100 g -#5687 -b1111100100101010100111110101000111 " -b1111100100101010100111110101000101 g -#5688 -b1111100100101010100111110101001000 " -b1111100100101010100111110101000110 g -#5689 -b1111100100101010100111110101001001 " -b1111100100101010100111110101000111 g -#5690 -b1111100100101010100111110101001010 " -b1111100100101010100111110101001000 g -#5691 -b1111100100101010100111110101001011 " -b1111100100101010100111110101001001 g -#5692 -b1111100100101010100111110101001100 " -b1111100100101010100111110101001010 g -#5693 -b1111100100101010100111110101001101 " -b1111100100101010100111110101001011 g -#5694 -b1111100100101010100111110101001110 " -b1111100100101010100111110101001100 g -#5695 -b1111100100101010100111110101001111 " -b1111100100101010100111110101001101 g -#5696 -b1111100100101010100111110101010000 " -b11110 b -b1111100100101010100111110101001110 g -#5697 -b1111100100101010100111110101010001 " -b11101 b -b1111100100101010100111110101001111 g -#5698 -b1111100100101010100111110101010010 " -b11100 b -b1111100100101010100111110101010000 g -b1111 I" -#5699 -b1111100100101010100111110101010011 " -b1111100100101010100111110101010001 g -b10000 I" -#5700 -b1111100100101010100111110101010100 " -b1111100100101010100111110101010010 g -b0 I" -#5701 -b1111100100101010100111110101010101 " -b1111100100101010100111110101010011 g -#5702 -b1111100100101010100111110101010110 " -b1111100100101010100111110101010100 g -#5703 -b1111100100101010100111110101010111 " -b1111100100101010100111110101010101 g -#5704 -b1111100100101010100111110101011000 " -b1111100100101010100111110101010110 g -#5705 -b1111100100101010100111110101011001 " -b1111100100101010100111110101010111 g -#5706 -b1111100100101010100111110101011010 " -b1111100100101010100111110101011000 g -#5707 -b1111100100101010100111110101011011 " -b1111100100101010100111110101011001 g -#5708 -b1111100100101010100111110101011100 " -b1111100100101010100111110101011010 g -#5709 -b1111100100101010100111110101011101 " -b1111100100101010100111110101011011 g -#5710 -b1111100100101010100111110101011110 " -b1111100100101010100111110101011100 g -#5711 -b1111100100101010100111110101011111 " -b1111100100101010100111110101011101 g -#5712 -b1111100100101010100111110101100000 " -b11110 b -b1111100100101010100111110101011110 g -#5713 -b1111100100101010100111110101100001 " -b11101 b -b1111100100101010100111110101011111 g -#5714 -b1111100100101010100111110101100010 " -b11100 b -b1111100100101010100111110101100000 g -b1111 I" -#5715 -b1111100100101010100111110101100011 " -b1111100100101010100111110101100001 g -b10000 I" -#5716 -b1111100100101010100111110101100100 " -b1111100100101010100111110101100010 g -b0 I" -#5717 -b1111100100101010100111110101100101 " -b1111100100101010100111110101100011 g -#5718 -b1111100100101010100111110101100110 " -b1111100100101010100111110101100100 g -#5719 -b1111100100101010100111110101100111 " -b1111100100101010100111110101100101 g -#5720 -b1111100100101010100111110101101000 " -b1111100100101010100111110101100110 g -#5721 -b1111100100101010100111110101101001 " -b1111100100101010100111110101100111 g -#5722 -b1111100100101010100111110101101010 " -b1111100100101010100111110101101000 g -#5723 -b1111100100101010100111110101101011 " -b1111100100101010100111110101101001 g -#5724 -b1111100100101010100111110101101100 " -b1111100100101010100111110101101010 g -#5725 -b1111100100101010100111110101101101 " -b1111100100101010100111110101101011 g -#5726 -b1111100100101010100111110101101110 " -b1111100100101010100111110101101100 g -#5727 -b1111100100101010100111110101101111 " -b1111100100101010100111110101101101 g -#5728 -b1111100100101010100111110101110000 " -b11110 b -b1111100100101010100111110101101110 g -#5729 -b1111100100101010100111110101110001 " -b11101 b -b1111100100101010100111110101101111 g -#5730 -b1111100100101010100111110101110010 " -b11100 b -b1111100100101010100111110101110000 g -b1111 I" -#5731 -b1111100100101010100111110101110011 " -b1111100100101010100111110101110001 g -b10000 I" -#5732 -b1111100100101010100111110101110100 " -b1111100100101010100111110101110010 g -b0 I" -#5733 -b1111100100101010100111110101110101 " -b1111100100101010100111110101110011 g -#5734 -b1111100100101010100111110101110110 " -b1111100100101010100111110101110100 g -#5735 -b1111100100101010100111110101110111 " -b1111100100101010100111110101110101 g -#5736 -b1111100100101010100111110101111000 " -b1111100100101010100111110101110110 g -#5737 -b1111100100101010100111110101111001 " -b1111100100101010100111110101110111 g -#5738 -b1111100100101010100111110101111010 " -b1111100100101010100111110101111000 g -#5739 -b1111100100101010100111110101111011 " -b1111100100101010100111110101111001 g -#5740 -b1111100100101010100111110101111100 " -b1111100100101010100111110101111010 g -#5741 -b1111100100101010100111110101111101 " -b1111100100101010100111110101111011 g -#5742 -b1111100100101010100111110101111110 " -b1111100100101010100111110101111100 g -#5743 -b1111100100101010100111110101111111 " -b1111100100101010100111110101111101 g -#5744 -b1111100100101010100111110110000000 " -b11110 b -b1111100100101010100111110101111110 g -#5745 -b1111100100101010100111110110000001 " -b11101 b -b1111100100101010100111110101111111 g -#5746 -b1111100100101010100111110110000010 " -b11100 b -b1111100100101010100111110110000000 g -b1111 I" -#5747 -b1111100100101010100111110110000011 " -b1111100100101010100111110110000001 g -b10000 I" -#5748 -b1111100100101010100111110110000100 " -b1111100100101010100111110110000010 g -b0 I" -#5749 -b1111100100101010100111110110000101 " -b1111100100101010100111110110000011 g -#5750 -b1111100100101010100111110110000110 " -b1111100100101010100111110110000100 g -#5751 -b1111100100101010100111110110000111 " -b1111100100101010100111110110000101 g -#5752 -b1111100100101010100111110110001000 " -b1111100100101010100111110110000110 g -#5753 -b1111100100101010100111110110001001 " -b1111100100101010100111110110000111 g -#5754 -b1111100100101010100111110110001010 " -b1111100100101010100111110110001000 g -#5755 -b1111100100101010100111110110001011 " -b1111100100101010100111110110001001 g -#5756 -b1111100100101010100111110110001100 " -b1111100100101010100111110110001010 g -#5757 -b1111100100101010100111110110001101 " -b1111100100101010100111110110001011 g -#5758 -b1111100100101010100111110110001110 " -b1111100100101010100111110110001100 g -#5759 -b1111100100101010100111110110001111 " -b1111100100101010100111110110001101 g -#5760 -b1111100100101010100111110110010000 " -b11110 b -b1111100100101010100111110110001110 g -#5761 -b1111100100101010100111110110010001 " -b11101 b -b1111100100101010100111110110001111 g -#5762 -b1111100100101010100111110110010010 " -b11100 b -b1111100100101010100111110110010000 g -b1111 I" -#5763 -b1111100100101010100111110110010011 " -b1111100100101010100111110110010001 g -b10000 I" -#5764 -b1111100100101010100111110110010100 " -b1111100100101010100111110110010010 g -b0 I" -#5765 -b1111100100101010100111110110010101 " -b1111100100101010100111110110010011 g -#5766 -b1111100100101010100111110110010110 " -b1111100100101010100111110110010100 g -#5767 -b1111100100101010100111110110010111 " -b1111100100101010100111110110010101 g -#5768 -b1111100100101010100111110110011000 " -b1111100100101010100111110110010110 g -#5769 -b1111100100101010100111110110011001 " -b1111100100101010100111110110010111 g -#5770 -b1111100100101010100111110110011010 " -b1111100100101010100111110110011000 g -#5771 -b1111100100101010100111110110011011 " -b1111100100101010100111110110011001 g -#5772 -b1111100100101010100111110110011100 " -b1111100100101010100111110110011010 g -#5773 -b1111100100101010100111110110011101 " -b1111100100101010100111110110011011 g -#5774 -b1111100100101010100111110110011110 " -b1111100100101010100111110110011100 g -#5775 -b1111100100101010100111110110011111 " -b1111100100101010100111110110011101 g -#5776 -b1111100100101010100111110110100000 " -b11110 b -b1111100100101010100111110110011110 g -#5777 -b1111100100101010100111110110100001 " -b11101 b -b1111100100101010100111110110011111 g -#5778 -b1111100100101010100111110110100010 " -b11100 b -b1111100100101010100111110110100000 g -b1111 I" -#5779 -b1111100100101010100111110110100011 " -b1111100100101010100111110110100001 g -b10000 I" -#5780 -b1111100100101010100111110110100100 " -b1111100100101010100111110110100010 g -b0 I" -#5781 -b1111100100101010100111110110100101 " -b1111100100101010100111110110100011 g -#5782 -b1111100100101010100111110110100110 " -b1111100100101010100111110110100100 g -#5783 -b1111100100101010100111110110100111 " -b1111100100101010100111110110100101 g -#5784 -b1111100100101010100111110110101000 " -b1111100100101010100111110110100110 g -#5785 -b1111100100101010100111110110101001 " -b1111100100101010100111110110100111 g -#5786 -b1111100100101010100111110110101010 " -b1111100100101010100111110110101000 g -#5787 -b1111100100101010100111110110101011 " -b1111100100101010100111110110101001 g -#5788 -b1111100100101010100111110110101100 " -b1111100100101010100111110110101010 g -#5789 -b1111100100101010100111110110101101 " -b1111100100101010100111110110101011 g -#5790 -b1111100100101010100111110110101110 " -b1111100100101010100111110110101100 g -#5791 -b1111100100101010100111110110101111 " -b1111100100101010100111110110101101 g -#5792 -b1111100100101010100111110110110000 " -b11110 b -b1111100100101010100111110110101110 g -#5793 -b1111100100101010100111110110110001 " -b11101 b -b1111100100101010100111110110101111 g -#5794 -b1111100100101010100111110110110010 " -b11100 b -b1111100100101010100111110110110000 g -b1111 I" -#5795 -b1111100100101010100111110110110011 " -b1111100100101010100111110110110001 g -b10000 I" -#5796 -b1111100100101010100111110110110100 " -b1111100100101010100111110110110010 g -b0 I" -#5797 -b1111100100101010100111110110110101 " -b1111100100101010100111110110110011 g -#5798 -b1111100100101010100111110110110110 " -b1111100100101010100111110110110100 g -#5799 -b1111100100101010100111110110110111 " -b1111100100101010100111110110110101 g -#5800 -b1111100100101010100111110110111000 " -b1111100100101010100111110110110110 g -#5801 -b1111100100101010100111110110111001 " -b1111100100101010100111110110110111 g -#5802 -b1111100100101010100111110110111010 " -b1111100100101010100111110110111000 g -#5803 -b1111100100101010100111110110111011 " -b1111100100101010100111110110111001 g -#5804 -b1111100100101010100111110110111100 " -b1111100100101010100111110110111010 g -#5805 -b1111100100101010100111110110111101 " -b1111100100101010100111110110111011 g -#5806 -b1111100100101010100111110110111110 " -b1111100100101010100111110110111100 g -#5807 -b1111100100101010100111110110111111 " -b1111100100101010100111110110111101 g -#5808 -b1111100100101010100111110111000000 " -b11110 b -b1111100100101010100111110110111110 g -#5809 -b1111100100101010100111110111000001 " -b11101 b -b1111100100101010100111110110111111 g -#5810 -b1111100100101010100111110111000010 " -b11100 b -b1111100100101010100111110111000000 g -b1111 I" -#5811 -b1111100100101010100111110111000011 " -#5812 -b1111100100101010100111110111000100 " -#5813 -b1111100100101010100111110111000101 " -#5814 -b1111100100101010100111110111000110 " -#5815 -b1111100100101010100111110111000111 " -#5816 -b1111100100101010100111110111001000 " -#5817 -b1111100100101010100111110111001001 " -#5818 -b1111100100101010100111110111001010 " -#5819 -b1111100100101010100111110111001011 " -#5820 -b1111100100101010100111110111001100 " -#5821 -b1111100100101010100111110111001101 " -#5822 -b1111100100101010100111110111001110 " -#5823 -b1111100100101010100111110111001111 " -#5824 -b1111100100101010100111110111010000 " -b11110 b -b1111100100101010100111110111010001 g -b10000 I" -#5825 -b1111100100101010100111110111010001 " -b11101 b -b1111100100101010100111110111010010 g -b0 I" -#5826 -b1111100100101010100111110111010010 " -b11100 b -b1111100100101010100111110111010011 g -#5827 -b1111100100101010100111110111010011 " -b1111100100101010100111110111010100 g -#5828 -b1111100100101010100111110111010100 " -b1111100100101010100111110111010101 g -#5829 -b1111100100101010100111110111010101 " -b1111100100101010100111110111010110 g -#5830 -b1111100100101010100111110111010110 " -b1111100100101010100111110111010111 g -#5831 -b1111100100101010100111110111010111 " -b1111100100101010100111110111011000 g -#5832 -b1111100100101010100111110111011000 " -b1111100100101010100111110111011001 g -#5833 -b1111100100101010100111110111011001 " -b1111100100101010100111110111011010 g -#5834 -b1111100100101010100111110111011010 " -b1111100100101010100111110111011011 g -#5835 -b1111100100101010100111110111011011 " -b1111100100101010100111110111011100 g -#5836 -b1111100100101010100111110111011100 " -b1111100100101010100111110111011101 g -#5837 -b1111100100101010100111110111011101 " -b1111100100101010100111110111011110 g -#5838 -b1111100100101010100111110111011110 " -b1111100100101010100111110111011111 g -#5839 -b1111100100101010100111110111011111 " -b1111100100101010100111110111100000 g -b1111 I" -#5840 -b1111100100101010100111110111100000 " -b11110 b -#5841 -b1111100100101010100111110111100001 " -b11101 b -b1111100100101010100111110111100001 g -b10000 I" -#5842 -b1111100100101010100111110111100010 " -b11100 b -b1111100100101010100111110111100010 g -b0 I" -#5843 -b1111100100101010100111110111100011 " -b1111100100101010100111110111100011 g -#5844 -b1111100100101010100111110111100100 " -b1111100100101010100111110111100100 g -#5845 -b1111100100101010100111110111100101 " -b1111100100101010100111110111100101 g -#5846 -b1111100100101010100111110111100110 " -b1111100100101010100111110111100110 g -#5847 -b1111100100101010100111110111100111 " -b1111100100101010100111110111100111 g -#5848 -b1111100100101010100111110111101000 " -b1111100100101010100111110111101000 g -#5849 -b1111100100101010100111110111101001 " -b1111100100101010100111110111101001 g -#5850 -b1111100100101010100111110111101010 " -b1111100100101010100111110111101010 g -#5851 -b1111100100101010100111110111101011 " -b1111100100101010100111110111101011 g -#5852 -b1111100100101010100111110111101100 " -b1111100100101010100111110111101100 g -#5853 -b1111100100101010100111110111101101 " -b1111100100101010100111110111101101 g -#5854 -b1111100100101010100111110111101110 " -b1111100100101010100111110111101110 g -#5855 -b1111100100101010100111110111101111 " -b1111100100101010100111110111101111 g -#5856 -b1111100100101010100111110111110000 " -b11110 b -b1111100100101010100111110111110000 g -b1111 I" -#5857 -b1111100100101010100111110111110001 " -b11101 b -b1111100100101010100111110111110001 g -b10000 I" -#5858 -b1111100100101010100111110111110010 " -b11100 b -b1111100100101010100111110111110010 g -b0 I" -#5859 -b1111100100101010100111110111110011 " -b1111100100101010100111110111110011 g -#5860 -b1111100100101010100111110111110100 " -b1111100100101010100111110111110100 g -#5861 -b1111100100101010100111110111110101 " -b1111100100101010100111110111110101 g -#5862 -b1111100100101010100111110111110110 " -b1111100100101010100111110111110110 g -#5863 -b1111100100101010100111110111110111 " -b1111100100101010100111110111110111 g -#5864 -b1111100100101010100111110111111000 " -b1111100100101010100111110111111000 g -#5865 -b1111100100101010100111110111111001 " -b1111100100101010100111110111111001 g -#5866 -b1111100100101010100111110111111010 " -b1111100100101010100111110111111010 g -#5867 -b1111100100101010100111110111111011 " -b1111100100101010100111110111111011 g -#5868 -b1111100100101010100111110111111100 " -b1111100100101010100111110111111100 g -#5869 -b1111100100101010100111110111111101 " -b1111100100101010100111110111111101 g -#5870 -b1111100100101010100111110111111110 " -b1111100100101010100111110111111110 g -#5871 -b1111100100101010100111110111111111 " -b1111100100101010100111110111111111 g -#5872 -b1111100100101010100111111000000000 " -b11110 b -b1111100100101010100111111000000000 g -#5873 -b1111100100101010100111111000000001 " -b11101 b -b1111100100101010100111111000000001 g -b10000 I" -#5874 -b1111100100101010100111111000000010 " -b11100 b -b1111100100101010100111111000000010 g -b0 I" -#5875 -b1111100100101010100111111000000011 " -b1111100100101010100111111000000011 g -#5876 -b1111100100101010100111111000000100 " -b1111100100101010100111111000000100 g -#5877 -b1111100100101010100111111000000101 " -b1111100100101010100111111000000101 g -#5878 -b1111100100101010100111111000000110 " -b1111100100101010100111111000000110 g -#5879 -b1111100100101010100111111000000111 " -b1111100100101010100111111000000111 g -#5880 -b1111100100101010100111111000001000 " -b1111100100101010100111111000001000 g -#5881 -b1111100100101010100111111000001001 " -b1111100100101010100111111000001001 g -#5882 -b1111100100101010100111111000001010 " -b1111100100101010100111111000001010 g -#5883 -b1111100100101010100111111000001011 " -b1111100100101010100111111000001011 g -#5884 -b1111100100101010100111111000001100 " -b1111100100101010100111111000001100 g -#5885 -b1111100100101010100111111000001101 " -b1111100100101010100111111000001101 g -#5886 -b1111100100101010100111111000001110 " -b1111100100101010100111111000001110 g -#5887 -b1111100100101010100111111000001111 " -b1111100100101010100111111000001111 g -#5888 -b1111100100101010100111111000010000 " -b11110 b -b1111100100101010100111111000010000 g -#5889 -b1111100100101010100111111000010001 " -b11101 b -b1111100100101010100111111000010001 g -#5890 -b1111100100101010100111111000010010 " -b11100 b -b1111100100101010100111111000010010 g -#5891 -b1111100100101010100111111000010011 " -b1111100100101010100111111000010011 g -#5892 -b1111100100101010100111111000010100 " -b1111100100101010100111111000010100 g -#5893 -b1111100100101010100111111000010101 " -b1111100100101010100111111000010101 g -#5894 -b1111100100101010100111111000010110 " -b1111100100101010100111111000010110 g -#5895 -b1111100100101010100111111000010111 " -b1111100100101010100111111000010111 g -#5896 -b1111100100101010100111111000011000 " -b1111100100101010100111111000011000 g -#5897 -b1111100100101010100111111000011001 " -b1111100100101010100111111000011001 g -#5898 -b1111100100101010100111111000011010 " -b1111100100101010100111111000011010 g -#5899 -b1111100100101010100111111000011011 " -b1111100100101010100111111000011011 g -#5900 -b1111100100101010100111111000011100 " -b1111100100101010100111111000011100 g -#5901 -b1111100100101010100111111000011101 " -b1111100100101010100111111000011101 g -#5902 -b1111100100101010100111111000011110 " -b1111100100101010100111111000011110 g -#5903 -b1111100100101010100111111000011111 " -b1111100100101010100111111000011111 g -#5904 -b1111100100101010100111111000100000 " -b11110 b -b1111100100101010100111111000100000 g -b1111 I" -#5905 -b1111100100101010100111111000100001 " -b11101 b -b1111100100101010100111111000100001 g -b10000 I" -#5906 -b1111100100101010100111111000100010 " -b11100 b -b1111100100101010100111111000100010 g -b0 I" -#5907 -b1111100100101010100111111000100011 " -b1111100100101010100111111000100011 g -#5908 -b1111100100101010100111111000100100 " -b1111100100101010100111111000100100 g -#5909 -b1111100100101010100111111000100101 " -b1111100100101010100111111000100101 g -#5910 -b1111100100101010100111111000100110 " -b1111100100101010100111111000100110 g -#5911 -b1111100100101010100111111000100111 " -b1111100100101010100111111000100111 g -#5912 -b1111100100101010100111111000101000 " -b1111100100101010100111111000101000 g -#5913 -b1111100100101010100111111000101001 " -b1111100100101010100111111000101001 g -#5914 -b1111100100101010100111111000101010 " -b1111100100101010100111111000101010 g -#5915 -b1111100100101010100111111000101011 " -b1111100100101010100111111000101011 g -#5916 -b1111100100101010100111111000101100 " -b1111100100101010100111111000101100 g -#5917 -b1111100100101010100111111000101101 " -b1111100100101010100111111000101101 g -#5918 -b1111100100101010100111111000101110 " -b1111100100101010100111111000101110 g -#5919 -b1111100100101010100111111000101111 " -b1111100100101010100111111000101111 g -#5920 -b1111100100101010100111111000110000 " -b11110 b -b1111100100101010100111111000110000 g -b1111 I" -#5921 -b1111100100101010100111111000110001 " -b11101 b -b1111100100101010100111111000110001 g -b10000 I" -#5922 -b1111100100101010100111111000110010 " -b11100 b -b1111100100101010100111111000110010 g -b0 I" -#5923 -b1111100100101010100111111000110011 " -b1111100100101010100111111000110011 g -#5924 -b1111100100101010100111111000110100 " -b1111100100101010100111111000110100 g -#5925 -b1111100100101010100111111000110101 " -b1111100100101010100111111000110101 g -#5926 -b1111100100101010100111111000110110 " -b1111100100101010100111111000110110 g -#5927 -b1111100100101010100111111000110111 " -b1111100100101010100111111000110111 g -#5928 -b1111100100101010100111111000111000 " -b1111100100101010100111111000111000 g -#5929 -b1111100100101010100111111000111001 " -b1111100100101010100111111000111001 g -#5930 -b1111100100101010100111111000111010 " -b1111100100101010100111111000111010 g -#5931 -b1111100100101010100111111000111011 " -b1111100100101010100111111000111011 g -#5932 -b1111100100101010100111111000111100 " -b1111100100101010100111111000111100 g -#5933 -b1111100100101010100111111000111101 " -b1111100100101010100111111000111101 g -#5934 -b1111100100101010100111111000111110 " -b1111100100101010100111111000111110 g -#5935 -b1111100100101010100111111000111111 " -b1111100100101010100111111000111111 g -#5936 -b1111100100101010100111111001000000 " -b11110 b -b1111100100101010100111111001000000 g -b1111 I" -#5937 -b1111100100101010100111111001000001 " -b11101 b -b1111100100101010100111111001000001 g -b10000 I" -#5938 -b1111100100101010100111111001000010 " -b11100 b -b1111100100101010100111111001000010 g -b0 I" -#5939 -b1111100100101010100111111001000011 " -b1111100100101010100111111001000011 g -#5940 -b1111100100101010100111111001000100 " -b1111100100101010100111111001000100 g -#5941 -b1111100100101010100111111001000101 " -b1111100100101010100111111001000101 g -#5942 -b1111100100101010100111111001000110 " -b1111100100101010100111111001000110 g -#5943 -b1111100100101010100111111001000111 " -b1111100100101010100111111001000111 g -#5944 -b1111100100101010100111111001001000 " -b1111100100101010100111111001001000 g -#5945 -b1111100100101010100111111001001001 " -b1111100100101010100111111001001001 g -#5946 -b1111100100101010100111111001001010 " -b1111100100101010100111111001001010 g -#5947 -b1111100100101010100111111001001011 " -b1111100100101010100111111001001011 g -#5948 -b1111100100101010100111111001001100 " -b1111100100101010100111111001001100 g -#5949 -b1111100100101010100111111001001101 " -b1111100100101010100111111001001101 g -#5950 -b1111100100101010100111111001001110 " -b1111100100101010100111111001001110 g -#5951 -b1111100100101010100111111001001111 " -b1111100100101010100111111001001111 g -#5952 -b1111100100101010100111111001010000 " -b11110 b -b1111100100101010100111111001010000 g -#5953 -b1111100100101010100111111001010001 " -b11101 b -b1111100100101010100111111001010001 g -b10000 I" -#5954 -b1111100100101010100111111001010010 " -b11100 b -b1111100100101010100111111001010010 g -b0 I" -#5955 -b1111100100101010100111111001010011 " -b1111100100101010100111111001010011 g -#5956 -b1111100100101010100111111001010100 " -b1111100100101010100111111001010100 g -#5957 -b1111100100101010100111111001010101 " -b1111100100101010100111111001010101 g -#5958 -b1111100100101010100111111001010110 " -b1111100100101010100111111001010110 g -#5959 -b1111100100101010100111111001010111 " -b1111100100101010100111111001010111 g -#5960 -b1111100100101010100111111001011000 " -b1111100100101010100111111001011000 g -#5961 -b1111100100101010100111111001011001 " -b1111100100101010100111111001011001 g -#5962 -b1111100100101010100111111001011010 " -b1111100100101010100111111001011010 g -#5963 -b1111100100101010100111111001011011 " -b1111100100101010100111111001011011 g -#5964 -b1111100100101010100111111001011100 " -b1111100100101010100111111001011100 g -#5965 -b1111100100101010100111111001011101 " -b1111100100101010100111111001011101 g -#5966 -b1111100100101010100111111001011110 " -b1111100100101010100111111001011110 g -#5967 -b1111100100101010100111111001011111 " -b1111100100101010100111111001011111 g -#5968 -b1111100100101010100111111001100000 " -b11110 b -b1111100100101010100111111001100000 g -#5969 -b1111100100101010100111111001100001 " -b11101 b -b1111100100101010100111111001100001 g -#5970 -b1111100100101010100111111001100010 " -b11100 b -b1111100100101010100111111001100010 g -#5971 -b1111100100101010100111111001100011 " -b1111100100101010100111111001100011 g -#5972 -b1111100100101010100111111001100100 " -b1111100100101010100111111001100100 g -#5973 -b1111100100101010100111111001100101 " -b1111100100101010100111111001100101 g -#5974 -b1111100100101010100111111001100110 " -b1111100100101010100111111001100110 g -#5975 -b1111100100101010100111111001100111 " -b1111100100101010100111111001100111 g -#5976 -b1111100100101010100111111001101000 " -b1111100100101010100111111001101000 g -#5977 -b1111100100101010100111111001101001 " -b1111100100101010100111111001101001 g -#5978 -b1111100100101010100111111001101010 " -b1111100100101010100111111001101010 g -#5979 -b1111100100101010100111111001101011 " -b1111100100101010100111111001101011 g -#5980 -b1111100100101010100111111001101100 " -b1111100100101010100111111001101100 g -#5981 -b1111100100101010100111111001101101 " -b1111100100101010100111111001101101 g -#5982 -b1111100100101010100111111001101110 " -b1111100100101010100111111001101110 g -#5983 -b1111100100101010100111111001101111 " -b1111100100101010100111111001101111 g -#5984 -b1111100100101010100111111001110000 " -b11110 b -b1111100100101010100111111001110000 g -b1111 I" -#5985 -b1111100100101010100111111001110001 " -b11101 b -b1111100100101010100111111001110001 g -b10000 I" -#5986 -b1111100100101010100111111001110010 " -b11100 b -b1111100100101010100111111001110010 g -b0 I" -#5987 -b1111100100101010100111111001110011 " -b1111100100101010100111111001110011 g -#5988 -b1111100100101010100111111001110100 " -b1111100100101010100111111001110100 g -#5989 -b1111100100101010100111111001110101 " -b1111100100101010100111111001110101 g -#5990 -b1111100100101010100111111001110110 " -b1111100100101010100111111001110110 g -#5991 -b1111100100101010100111111001110111 " -b1111100100101010100111111001110111 g -#5992 -b1111100100101010100111111001111000 " -b1111100100101010100111111001111000 g -#5993 -b1111100100101010100111111001111001 " -b1111100100101010100111111001111001 g -#5994 -b1111100100101010100111111001111010 " -b1111100100101010100111111001111010 g -#5995 -b1111100100101010100111111001111011 " -b1111100100101010100111111001111011 g -#5996 -b1111100100101010100111111001111100 " -b1111100100101010100111111001111100 g -#5997 -b1111100100101010100111111001111101 " -b1111100100101010100111111001111101 g -#5998 -b1111100100101010100111111001111110 " -b1111100100101010100111111001111110 g -#5999 -b1111100100101010100111111001111111 " -b1111100100101010100111111001111111 g -#6000 -b1111100100101010100111111010000000 " -b11110 b -b1111100100101010100111111010000000 g -b1111 I" -#6001 -b1111100100101010100111111010000001 " -b11101 b -b1111100100101010100111111010000001 g -b10000 I" -#6002 -b1111100100101010100111111010000010 " -b11100 b -b1111100100101010100111111010000010 g -b0 I" -#6003 -b1111100100101010100111111010000011 " -b1111100100101010100111111010000011 g -#6004 -b1111100100101010100111111010000100 " -b1111100100101010100111111010000100 g -#6005 -b1111100100101010100111111010000101 " -b1111100100101010100111111010000101 g -#6006 -b1111100100101010100111111010000110 " -b1111100100101010100111111010000110 g -#6007 -b1111100100101010100111111010000111 " -b1111100100101010100111111010000111 g -#6008 -b1111100100101010100111111010001000 " -b1111100100101010100111111010001000 g -#6009 -b1111100100101010100111111010001001 " -b1111100100101010100111111010001001 g -#6010 -b1111100100101010100111111010001010 " -b1111100100101010100111111010001010 g -#6011 -b1111100100101010100111111010001011 " -b1111100100101010100111111010001011 g -#6012 -b1111100100101010100111111010001100 " -b1111100100101010100111111010001100 g -#6013 -b1111100100101010100111111010001101 " -b1111100100101010100111111010001101 g -#6014 -b1111100100101010100111111010001110 " -b1111100100101010100111111010001110 g -#6015 -b1111100100101010100111111010001111 " -b1111100100101010100111111010001111 g -#6016 -b1111100100101010100111111010010000 " -b11110 b -b1111100100101010100111111010010000 g -b1111 I" -#6017 -b1111100100101010100111111010010001 " -b11101 b -b1111100100101010100111111010010001 g -b10000 I" -#6018 -b1111100100101010100111111010010010 " -b11100 b -b1111100100101010100111111010010010 g -b0 I" -#6019 -b1111100100101010100111111010010011 " -b1111100100101010100111111010010011 g -#6020 -b1111100100101010100111111010010100 " -b1111100100101010100111111010010100 g -#6021 -b1111100100101010100111111010010101 " -b1111100100101010100111111010010101 g -#6022 -b1111100100101010100111111010010110 " -b1111100100101010100111111010010110 g -#6023 -b1111100100101010100111111010010111 " -b1111100100101010100111111010010111 g -#6024 -b1111100100101010100111111010011000 " -b1111100100101010100111111010011000 g -#6025 -b1111100100101010100111111010011001 " -b1111100100101010100111111010011001 g -#6026 -b1111100100101010100111111010011010 " -b1111100100101010100111111010011010 g -#6027 -b1111100100101010100111111010011011 " -b1111100100101010100111111010011011 g -#6028 -b1111100100101010100111111010011100 " -b1111100100101010100111111010011100 g -#6029 -b1111100100101010100111111010011101 " -b1111100100101010100111111010011101 g -#6030 -b1111100100101010100111111010011110 " -b1111100100101010100111111010011110 g -#6031 -b1111100100101010100111111010011111 " -b1111100100101010100111111010011111 g -#6032 -b1111100100101010100111111010100000 " -b11110 b -b1111100100101010100111111010100000 g -b1111 I" -#6033 -b1111100100101010100111111010100001 " -b11101 b -b1111100100101010100111111010100001 g -b10000 I" -#6034 -b1111100100101010100111111010100010 " -b11100 b -b1111100100101010100111111010100010 g -b0 I" -#6035 -b1111100100101010100111111010100011 " -b1111100100101010100111111010100011 g -#6036 -b1111100100101010100111111010100100 " -b1111100100101010100111111010100100 g -#6037 -b1111100100101010100111111010100101 " -b1111100100101010100111111010100101 g -#6038 -b1111100100101010100111111010100110 " -b1111100100101010100111111010100110 g -#6039 -b1111100100101010100111111010100111 " -b1111100100101010100111111010100111 g -#6040 -b1111100100101010100111111010101000 " -b1111100100101010100111111010101000 g -#6041 -b1111100100101010100111111010101001 " -b1111100100101010100111111010101001 g -#6042 -b1111100100101010100111111010101010 " -b1111100100101010100111111010101010 g -#6043 -b1111100100101010100111111010101011 " -b1111100100101010100111111010101011 g -#6044 -b1111100100101010100111111010101100 " -b1111100100101010100111111010101100 g -#6045 -b1111100100101010100111111010101101 " -b1111100100101010100111111010101101 g -#6046 -b1111100100101010100111111010101110 " -b1111100100101010100111111010101110 g -#6047 -b1111100100101010100111111010101111 " -b1111100100101010100111111010101111 g -#6048 -b1111100100101010100111111010110000 " -b11110 b -b1111100100101010100111111010110000 g -b1111 I" -#6049 -b1111100100101010100111111010110001 " -b11101 b -b1111100100101010100111111010110001 g -b10000 I" -#6050 -b1111100100101010100111111010110010 " -b11100 b -b1111100100101010100111111010110010 g -b0 I" -#6051 -b1111100100101010100111111010110011 " -b1111100100101010100111111010110011 g -#6052 -b1111100100101010100111111010110100 " -b1111100100101010100111111010110100 g -#6053 -b1111100100101010100111111010110101 " -b1111100100101010100111111010110101 g -#6054 -b1111100100101010100111111010110110 " -b1111100100101010100111111010110110 g -#6055 -b1111100100101010100111111010110111 " -b1111100100101010100111111010110111 g -#6056 -b1111100100101010100111111010111000 " -b1111100100101010100111111010111000 g -#6057 -b1111100100101010100111111010111001 " -b1111100100101010100111111010111001 g -#6058 -b1111100100101010100111111010111010 " -b1111100100101010100111111010111010 g -#6059 -b1111100100101010100111111010111011 " -b1111100100101010100111111010111011 g -#6060 -b1111100100101010100111111010111100 " -b1111100100101010100111111010111100 g -#6061 -b1111100100101010100111111010111101 " -b1111100100101010100111111010111101 g -#6062 -b1111100100101010100111111010111110 " -b1111100100101010100111111010111110 g -#6063 -b1111100100101010100111111010111111 " -b1111100100101010100111111010111111 g -#6064 -b1111100100101010100111111011000000 " -b11110 b -b1111100100101010100111111011000000 g -#6065 -b1111100100101010100111111011000001 " -b11101 b -b1111100100101010100111111011000001 g -b10000 I" -#6066 -b1111100100101010100111111011000010 " -b11100 b -b1111100100101010100111111011000010 g -b0 I" -#6067 -b1111100100101010100111111011000011 " -b1111100100101010100111111011000011 g -#6068 -b1111100100101010100111111011000100 " -b1111100100101010100111111011000100 g -#6069 -b1111100100101010100111111011000101 " -b1111100100101010100111111011000101 g -#6070 -b1111100100101010100111111011000110 " -b1111100100101010100111111011000110 g -#6071 -b1111100100101010100111111011000111 " -b1111100100101010100111111011000111 g -#6072 -b1111100100101010100111111011001000 " -b1111100100101010100111111011001000 g -#6073 -b1111100100101010100111111011001001 " -b1111100100101010100111111011001001 g -#6074 -b1111100100101010100111111011001010 " -b1111100100101010100111111011001010 g -#6075 -b1111100100101010100111111011001011 " -b1111100100101010100111111011001011 g -#6076 -b1111100100101010100111111011001100 " -b1111100100101010100111111011001100 g -#6077 -b1111100100101010100111111011001101 " -b1111100100101010100111111011001101 g -#6078 -b1111100100101010100111111011001110 " -b1111100100101010100111111011001110 g -#6079 -b1111100100101010100111111011001111 " -b1111100100101010100111111011001111 g -#6080 -b1111100100101010100111111011010000 " -b11110 b -b1111100100101010100111111011010000 g -b1111 I" -#6081 -b1111100100101010100111111011010001 " -b11101 b -b1111100100101010100111111011010001 g -b10000 I" -#6082 -b1111100100101010100111111011010010 " -b11100 b -b1111100100101010100111111011010010 g -b0 I" -#6083 -b1111100100101010100111111011010011 " -b1111100100101010100111111011010011 g -#6084 -b1111100100101010100111111011010100 " -b1111100100101010100111111011010100 g -#6085 -b1111100100101010100111111011010101 " -b1111100100101010100111111011010101 g -#6086 -b1111100100101010100111111011010110 " -b1111100100101010100111111011010110 g -#6087 -b1111100100101010100111111011010111 " -b1111100100101010100111111011010111 g -#6088 -b1111100100101010100111111011011000 " -b1111100100101010100111111011011000 g -#6089 -b1111100100101010100111111011011001 " -b1111100100101010100111111011011001 g -#6090 -b1111100100101010100111111011011010 " -b1111100100101010100111111011011010 g -#6091 -b1111100100101010100111111011011011 " -b1111100100101010100111111011011011 g -#6092 -b1111100100101010100111111011011100 " -b1111100100101010100111111011011100 g -#6093 -b1111100100101010100111111011011101 " -b1111100100101010100111111011011101 g -#6094 -b1111100100101010100111111011011110 " -b1111100100101010100111111011011110 g -#6095 -b1111100100101010100111111011011111 " -b1111100100101010100111111011011111 g -#6096 -b1111100100101010100111111011100000 " -b11110 b -b1111100100101010100111111011100000 g -#6097 -b1111100100101010100111111011100001 " -b11101 b -b1111100100101010100111111011100001 g -b10000 I" -#6098 -b1111100100101010100111111011100010 " -b11100 b -b1111100100101010100111111011100010 g -b0 I" -#6099 -b1111100100101010100111111011100011 " -b1111100100101010100111111011100011 g -#6100 -b1111100100101010100111111011100100 " -b1111100100101010100111111011100100 g -#6101 -b1111100100101010100111111011100101 " -b1111100100101010100111111011100101 g -#6102 -b1111100100101010100111111011100110 " -b1111100100101010100111111011100110 g -#6103 -b1111100100101010100111111011100111 " -b1111100100101010100111111011100111 g -#6104 -b1111100100101010100111111011101000 " -b1111100100101010100111111011101000 g -#6105 -b1111100100101010100111111011101001 " -b1111100100101010100111111011101001 g -#6106 -b1111100100101010100111111011101010 " -b1111100100101010100111111011101010 g -#6107 -b1111100100101010100111111011101011 " -b1111100100101010100111111011101011 g -#6108 -b1111100100101010100111111011101100 " -b1111100100101010100111111011101100 g -#6109 -b1111100100101010100111111011101101 " -b1111100100101010100111111011101101 g -#6110 -b1111100100101010100111111011101110 " -b1111100100101010100111111011101110 g -#6111 -b1111100100101010100111111011101111 " -b1111100100101010100111111011101111 g -#6112 -b1111100100101010100111111011110000 " -b11110 b -b1111100100101010100111111011110000 g -#6113 -b1111100100101010100111111011110001 " -b11101 b -b1111100100101010100111111011110001 g -b10000 I" -#6114 -b1111100100101010100111111011110010 " -b11100 b -b1111100100101010100111111011110010 g -b0 I" -#6115 -b1111100100101010100111111011110011 " -b1111100100101010100111111011110011 g -#6116 -b1111100100101010100111111011110100 " -b1111100100101010100111111011110100 g -#6117 -b1111100100101010100111111011110101 " -b1111100100101010100111111011110101 g -#6118 -b1111100100101010100111111011110110 " -b1111100100101010100111111011110110 g -#6119 -b1111100100101010100111111011110111 " -b1111100100101010100111111011110111 g -#6120 -b1111100100101010100111111011111000 " -b1111100100101010100111111011111000 g -#6121 -b1111100100101010100111111011111001 " -b1111100100101010100111111011111001 g -#6122 -b1111100100101010100111111011111010 " -b1111100100101010100111111011111010 g -#6123 -b1111100100101010100111111011111011 " -b1111100100101010100111111011111011 g -#6124 -b1111100100101010100111111011111100 " -b1111100100101010100111111011111100 g -#6125 -b1111100100101010100111111011111101 " -b1111100100101010100111111011111101 g -#6126 -b1111100100101010100111111011111110 " -b1111100100101010100111111011111110 g -#6127 -b1111100100101010100111111011111111 " -b1111100100101010100111111011111111 g -#6128 -b1111100100101010100111111100000000 " -b11110 b -b1111100100101010100111111100000000 g -#6129 -b1111100100101010100111111100000001 " -b11101 b -b1111100100101010100111111100000001 g -b10000 I" -#6130 -b1111100100101010100111111100000010 " -b11100 b -b1111100100101010100111111100000010 g -b0 I" -#6131 -b1111100100101010100111111100000011 " -b1111100100101010100111111100000011 g -#6132 -b1111100100101010100111111100000100 " -b1111100100101010100111111100000100 g -#6133 -b1111100100101010100111111100000101 " -b1111100100101010100111111100000101 g -#6134 -b1111100100101010100111111100000110 " -b1111100100101010100111111100000110 g -#6135 -b1111100100101010100111111100000111 " -b1111100100101010100111111100000111 g -#6136 -b1111100100101010100111111100001000 " -b1111100100101010100111111100001000 g -#6137 -b1111100100101010100111111100001001 " -b1111100100101010100111111100001001 g -#6138 -b1111100100101010100111111100001010 " -b1111100100101010100111111100001010 g -#6139 -b1111100100101010100111111100001011 " -b1111100100101010100111111100001011 g -#6140 -b1111100100101010100111111100001100 " -b1111100100101010100111111100001100 g -#6141 -b1111100100101010100111111100001101 " -b1111100100101010100111111100001101 g -#6142 -b1111100100101010100111111100001110 " -b1111100100101010100111111100001110 g -#6143 -b1111100100101010100111111100001111 " -b1111100100101010100111111100001111 g -#6144 -b1111100100101010100111111100010000 " -b11110 b -b1111100100101010100111111100010000 g -b1111 I" -#6145 -b1111100100101010100111111100010001 " -b11101 b -b1111100100101010100111111100010001 g -b10000 I" -#6146 -b1111100100101010100111111100010010 " -b11100 b -b1111100100101010100111111100010010 g -b0 I" -#6147 -b1111100100101010100111111100010011 " -b1111100100101010100111111100010011 g -#6148 -b1111100100101010100111111100010100 " -b1111100100101010100111111100010100 g -#6149 -b1111100100101010100111111100010101 " -b1111100100101010100111111100010101 g -#6150 -b1111100100101010100111111100010110 " -b1111100100101010100111111100010110 g -#6151 -b1111100100101010100111111100010111 " -b1111100100101010100111111100010111 g -#6152 -b1111100100101010100111111100011000 " -b1111100100101010100111111100011000 g -#6153 -b1111100100101010100111111100011001 " -b1111100100101010100111111100011001 g -#6154 -b1111100100101010100111111100011010 " -b1111100100101010100111111100011010 g -#6155 -b1111100100101010100111111100011011 " -b1111100100101010100111111100011011 g -#6156 -b1111100100101010100111111100011100 " -b1111100100101010100111111100011100 g -#6157 -b1111100100101010100111111100011101 " -b1111100100101010100111111100011101 g -#6158 -b1111100100101010100111111100011110 " -b1111100100101010100111111100011110 g -#6159 -b1111100100101010100111111100011111 " -b1111100100101010100111111100011111 g -#6160 -b1111100100101010100111111100100000 " -b11110 b -b1111100100101010100111111100100000 g -b1111 I" -#6161 -b1111100100101010100111111100100001 " -b11101 b -b1111100100101010100111111100100001 g -b10000 I" -#6162 -b1111100100101010100111111100100010 " -b11100 b -b1111100100101010100111111100100010 g -b0 I" -#6163 -b1111100100101010100111111100100011 " -b1111100100101010100111111100100011 g -#6164 -b1111100100101010100111111100100100 " -b1111100100101010100111111100100100 g -#6165 -b1111100100101010100111111100100101 " -b1111100100101010100111111100100101 g -#6166 -b1111100100101010100111111100100110 " -b1111100100101010100111111100100110 g -#6167 -b1111100100101010100111111100100111 " -b1111100100101010100111111100100111 g -#6168 -b1111100100101010100111111100101000 " -b1111100100101010100111111100101000 g -#6169 -b1111100100101010100111111100101001 " -b1111100100101010100111111100101001 g -#6170 -b1111100100101010100111111100101010 " -b1111100100101010100111111100101010 g -#6171 -b1111100100101010100111111100101011 " -b1111100100101010100111111100101011 g -#6172 -b1111100100101010100111111100101100 " -b1111100100101010100111111100101100 g -#6173 -b1111100100101010100111111100101101 " -b1111100100101010100111111100101101 g -#6174 -b1111100100101010100111111100101110 " -b1111100100101010100111111100101110 g -#6175 -b1111100100101010100111111100101111 " -b1111100100101010100111111100101111 g -#6176 -b1111100100101010100111111100110000 " -b11110 b -b1111100100101010100111111100110000 g -b1111 I" -#6177 -b1111100100101010100111111100110001 " -b11101 b -b1111100100101010100111111100110001 g -b10000 I" -#6178 -b1111100100101010100111111100110010 " -b11100 b -b1111100100101010100111111100110010 g -b0 I" -#6179 -b1111100100101010100111111100110011 " -b1111100100101010100111111100110011 g -#6180 -b1111100100101010100111111100110100 " -b1111100100101010100111111100110100 g -#6181 -b1111100100101010100111111100110101 " -b1111100100101010100111111100110101 g -#6182 -b1111100100101010100111111100110110 " -b1111100100101010100111111100110110 g -#6183 -b1111100100101010100111111100110111 " -b1111100100101010100111111100110111 g -#6184 -b1111100100101010100111111100111000 " -b1111100100101010100111111100111000 g -#6185 -b1111100100101010100111111100111001 " -b1111100100101010100111111100111001 g -#6186 -b1111100100101010100111111100111010 " -b1111100100101010100111111100111010 g -#6187 -b1111100100101010100111111100111011 " -b1111100100101010100111111100111011 g -#6188 -b1111100100101010100111111100111100 " -b1111100100101010100111111100111100 g -#6189 -b1111100100101010100111111100111101 " -b1111100100101010100111111100111101 g -#6190 -b1111100100101010100111111100111110 " -b1111100100101010100111111100111110 g -#6191 -b1111100100101010100111111100111111 " -b1111100100101010100111111100111111 g -#6192 -b1111100100101010100111111101000000 " -b11110 b -b1111100100101010100111111101000000 g -#6193 -b1111100100101010100111111101000001 " -b11101 b -b1111100100101010100111111101000001 g -b10000 I" -#6194 -b1111100100101010100111111101000010 " -b11100 b -b1111100100101010100111111101000010 g -b0 I" -#6195 -b1111100100101010100111111101000011 " -b1111100100101010100111111101000011 g -#6196 -b1111100100101010100111111101000100 " -b1111100100101010100111111101000100 g -#6197 -b1111100100101010100111111101000101 " -b1111100100101010100111111101000101 g -#6198 -b1111100100101010100111111101000110 " -b1111100100101010100111111101000110 g -#6199 -b1111100100101010100111111101000111 " -b1111100100101010100111111101000111 g -#6200 -b1111100100101010100111111101001000 " -b1111100100101010100111111101001000 g -#6201 -b1111100100101010100111111101001001 " -b1111100100101010100111111101001001 g -#6202 -b1111100100101010100111111101001010 " -b1111100100101010100111111101001010 g -#6203 -b1111100100101010100111111101001011 " -b1111100100101010100111111101001011 g -#6204 -b1111100100101010100111111101001100 " -b1111100100101010100111111101001100 g -#6205 -b1111100100101010100111111101001101 " -b1111100100101010100111111101001101 g -#6206 -b1111100100101010100111111101001110 " -b1111100100101010100111111101001110 g -#6207 -b1111100100101010100111111101001111 " -b1111100100101010100111111101001111 g -#6208 -b1111100100101010100111111101010000 " -b11110 b -b1111100100101010100111111101010000 g -b1111 I" -#6209 -b1111100100101010100111111101010001 " -b11101 b -b1111100100101010100111111101010001 g -b10000 I" -#6210 -b1111100100101010100111111101010010 " -b11100 b -b1111100100101010100111111101010010 g -b0 I" -#6211 -b1111100100101010100111111101010011 " -b1111100100101010100111111101010011 g -#6212 -b1111100100101010100111111101010100 " -b1111100100101010100111111101010100 g -#6213 -b1111100100101010100111111101010101 " -b1111100100101010100111111101010101 g -#6214 -b1111100100101010100111111101010110 " -b1111100100101010100111111101010110 g -#6215 -b1111100100101010100111111101010111 " -b1111100100101010100111111101010111 g -#6216 -b1111100100101010100111111101011000 " -b1111100100101010100111111101011000 g -#6217 -b1111100100101010100111111101011001 " -b1111100100101010100111111101011001 g -#6218 -b1111100100101010100111111101011010 " -b1111100100101010100111111101011010 g -#6219 -b1111100100101010100111111101011011 " -b1111100100101010100111111101011011 g -#6220 -b1111100100101010100111111101011100 " -b1111100100101010100111111101011100 g -#6221 -b1111100100101010100111111101011101 " -b1111100100101010100111111101011101 g -#6222 -b1111100100101010100111111101011110 " -b1111100100101010100111111101011110 g -#6223 -b1111100100101010100111111101011111 " -b1111100100101010100111111101011111 g -#6224 -b1111100100101010100111111101100000 " -b11110 b -b1111100100101010100111111101100000 g -b1111 I" -#6225 -b1111100100101010100111111101100001 " -b11101 b -b1111100100101010100111111101100001 g -b10000 I" -#6226 -b1111100100101010100111111101100010 " -b11100 b -b1111100100101010100111111101100010 g -b0 I" -#6227 -b1111100100101010100111111101100011 " -b1111100100101010100111111101100011 g -#6228 -b1111100100101010100111111101100100 " -b1111100100101010100111111101100100 g -#6229 -b1111100100101010100111111101100101 " -b1111100100101010100111111101100101 g -#6230 -b1111100100101010100111111101100110 " -b1111100100101010100111111101100110 g -#6231 -b1111100100101010100111111101100111 " -b1111100100101010100111111101100111 g -#6232 -b1111100100101010100111111101101000 " -b1111100100101010100111111101101000 g -#6233 -b1111100100101010100111111101101001 " -b1111100100101010100111111101101001 g -#6234 -b1111100100101010100111111101101010 " -b1111100100101010100111111101101010 g -#6235 -b1111100100101010100111111101101011 " -b1111100100101010100111111101101011 g -#6236 -b1111100100101010100111111101101100 " -b1111100100101010100111111101101100 g -#6237 -b1111100100101010100111111101101101 " -b1111100100101010100111111101101101 g -#6238 -b1111100100101010100111111101101110 " -b1111100100101010100111111101101110 g -#6239 -b1111100100101010100111111101101111 " -b1111100100101010100111111101101111 g -#6240 -b1111100100101010100111111101110000 " -b11110 b -b1111100100101010100111111101110000 g -b1111 I" -#6241 -b1111100100101010100111111101110001 " -b11101 b -b1111100100101010100111111101110001 g -b10000 I" -#6242 -b1111100100101010100111111101110010 " -b11100 b -b1111100100101010100111111101110010 g -b0 I" -#6243 -b1111100100101010100111111101110011 " -b1111100100101010100111111101110011 g -#6244 -b1111100100101010100111111101110100 " -b1111100100101010100111111101110100 g -#6245 -b1111100100101010100111111101110101 " -b1111100100101010100111111101110101 g -#6246 -b1111100100101010100111111101110110 " -b1111100100101010100111111101110110 g -#6247 -b1111100100101010100111111101110111 " -b1111100100101010100111111101110111 g -#6248 -b1111100100101010100111111101111000 " -b1111100100101010100111111101111000 g -#6249 -b1111100100101010100111111101111001 " -b1111100100101010100111111101111001 g -#6250 -b1111100100101010100111111101111010 " -b1111100100101010100111111101111010 g -#6251 -b1111100100101010100111111101111011 " -b1111100100101010100111111101111011 g -#6252 -b1111100100101010100111111101111100 " -b1111100100101010100111111101111100 g -#6253 -b1111100100101010100111111101111101 " -b1111100100101010100111111101111101 g -#6254 -b1111100100101010100111111101111110 " -b1111100100101010100111111101111110 g -#6255 -b1111100100101010100111111101111111 " -b1111100100101010100111111101111111 g -#6256 -b1111100100101010100111111110000000 " -b11110 b -b1111100100101010100111111110000000 g -#6257 -b1111100100101010100111111110000001 " -b11101 b -b1111100100101010100111111110000001 g -b10000 I" -#6258 -b1111100100101010100111111110000010 " -b11100 b -b1111100100101010100111111110000010 g -b0 I" -#6259 -b1111100100101010100111111110000011 " -b1111100100101010100111111110000011 g -#6260 -b1111100100101010100111111110000100 " -b1111100100101010100111111110000100 g -#6261 -b1111100100101010100111111110000101 " -b1111100100101010100111111110000101 g -#6262 -b1111100100101010100111111110000110 " -b1111100100101010100111111110000110 g -#6263 -b1111100100101010100111111110000111 " -b1111100100101010100111111110000111 g -#6264 -b1111100100101010100111111110001000 " -b1111100100101010100111111110001000 g -#6265 -b1111100100101010100111111110001001 " -b1111100100101010100111111110001001 g -#6266 -b1111100100101010100111111110001010 " -b1111100100101010100111111110001010 g -#6267 -b1111100100101010100111111110001011 " -b1111100100101010100111111110001011 g -#6268 -b1111100100101010100111111110001100 " -b1111100100101010100111111110001100 g -#6269 -b1111100100101010100111111110001101 " -b1111100100101010100111111110001101 g -#6270 -b1111100100101010100111111110001110 " -b1111100100101010100111111110001110 g -#6271 -b1111100100101010100111111110001111 " -b1111100100101010100111111110001111 g -#6272 -b1111100100101010100111111110010000 " -b11110 b -b1111100100101010100111111110010000 g -b1111 I" -#6273 -b1111100100101010100111111110010001 " -b11101 b -b1111100100101010100111111110010001 g -b10000 I" -#6274 -b1111100100101010100111111110010010 " -b11100 b -b1111100100101010100111111110010010 g -b0 I" -#6275 -b1111100100101010100111111110010011 " -b1111100100101010100111111110010011 g -#6276 -b1111100100101010100111111110010100 " -b1111100100101010100111111110010100 g -#6277 -b1111100100101010100111111110010101 " -b1111100100101010100111111110010101 g -#6278 -b1111100100101010100111111110010110 " -b1111100100101010100111111110010110 g -#6279 -b1111100100101010100111111110010111 " -b1111100100101010100111111110010111 g -#6280 -b1111100100101010100111111110011000 " -b1111100100101010100111111110011000 g -#6281 -b1111100100101010100111111110011001 " -b1111100100101010100111111110011001 g -#6282 -b1111100100101010100111111110011010 " -b1111100100101010100111111110011010 g -#6283 -b1111100100101010100111111110011011 " -b1111100100101010100111111110011011 g -#6284 -b1111100100101010100111111110011100 " -b1111100100101010100111111110011100 g -#6285 -b1111100100101010100111111110011101 " -b1111100100101010100111111110011101 g -#6286 -b1111100100101010100111111110011110 " -b1111100100101010100111111110011110 g -#6287 -b1111100100101010100111111110011111 " -b1111100100101010100111111110011111 g -#6288 -b1111100100101010100111111110100000 " -b11110 b -b1111100100101010100111111110100000 g -b1111 I" -#6289 -b1111100100101010100111111110100001 " -b11101 b -b1111100100101010100111111110100001 g -b10000 I" -#6290 -b1111100100101010100111111110100010 " -b11100 b -b1111100100101010100111111110100010 g -b0 I" -#6291 -b1111100100101010100111111110100011 " -b1111100100101010100111111110100011 g -#6292 -b1111100100101010100111111110100100 " -b1111100100101010100111111110100100 g -#6293 -b1111100100101010100111111110100101 " -b1111100100101010100111111110100101 g -#6294 -b1111100100101010100111111110100110 " -b1111100100101010100111111110100110 g -#6295 -b1111100100101010100111111110100111 " -b1111100100101010100111111110100111 g -#6296 -b1111100100101010100111111110101000 " -b1111100100101010100111111110101000 g -#6297 -b1111100100101010100111111110101001 " -b1111100100101010100111111110101001 g -#6298 -b1111100100101010100111111110101010 " -b1111100100101010100111111110101010 g -#6299 -b1111100100101010100111111110101011 " -b1111100100101010100111111110101011 g -#6300 -b1111100100101010100111111110101100 " -b1111100100101010100111111110101100 g -#6301 -b1111100100101010100111111110101101 " -b1111100100101010100111111110101101 g -#6302 -b1111100100101010100111111110101110 " -b1111100100101010100111111110101110 g -#6303 -b1111100100101010100111111110101111 " -b1111100100101010100111111110101111 g -#6304 -b1111100100101010100111111110110000 " -b11110 b -b1111100100101010100111111110110000 g -#6305 -b1111100100101010100111111110110001 " -b11101 b -b1111100100101010100111111110110001 g -b10000 I" -#6306 -b1111100100101010100111111110110010 " -b11100 b -b1111100100101010100111111110110010 g -b0 I" -#6307 -b1111100100101010100111111110110011 " -b1111100100101010100111111110110011 g -#6308 -b1111100100101010100111111110110100 " -b1111100100101010100111111110110100 g -#6309 -b1111100100101010100111111110110101 " -b1111100100101010100111111110110101 g -#6310 -b1111100100101010100111111110110110 " -b1111100100101010100111111110110110 g -#6311 -b1111100100101010100111111110110111 " -b1111100100101010100111111110110111 g -#6312 -b1111100100101010100111111110111000 " -b1111100100101010100111111110111000 g -#6313 -b1111100100101010100111111110111001 " -b1111100100101010100111111110111001 g -#6314 -b1111100100101010100111111110111010 " -b1111100100101010100111111110111010 g -#6315 -b1111100100101010100111111110111011 " -b1111100100101010100111111110111011 g -#6316 -b1111100100101010100111111110111100 " -b1111100100101010100111111110111100 g -#6317 -b1111100100101010100111111110111101 " -b1111100100101010100111111110111101 g -#6318 -b1111100100101010100111111110111110 " -b1111100100101010100111111110111110 g -#6319 -b1111100100101010100111111110111111 " -b1111100100101010100111111110111111 g -#6320 -b1111100100101010100111111111000000 " -b11110 b -b1111100100101010100111111111000000 g -#6321 -b1111100100101010100111111111000001 " -b11101 b -b1111100100101010100111111111000001 g -b10000 I" -#6322 -b1111100100101010100111111111000010 " -b11100 b -b1111100100101010100111111111000010 g -b0 I" -#6323 -b1111100100101010100111111111000011 " -b1111100100101010100111111111000011 g -#6324 -b1111100100101010100111111111000100 " -b1111100100101010100111111111000100 g -#6325 -b1111100100101010100111111111000101 " -b1111100100101010100111111111000101 g -#6326 -b1111100100101010100111111111000110 " -b1111100100101010100111111111000110 g -#6327 -b1111100100101010100111111111000111 " -b1111100100101010100111111111000111 g -#6328 -b1111100100101010100111111111001000 " -b1111100100101010100111111111001000 g -#6329 -b1111100100101010100111111111001001 " -b1111100100101010100111111111001001 g -#6330 -b1111100100101010100111111111001010 " -b1111100100101010100111111111001010 g -#6331 -b1111100100101010100111111111001011 " -b1111100100101010100111111111001011 g -#6332 -b1111100100101010100111111111001100 " -b1111100100101010100111111111001100 g -#6333 -b1111100100101010100111111111001101 " -b1111100100101010100111111111001101 g -#6334 -b1111100100101010100111111111001110 " -b1111100100101010100111111111001110 g -#6335 -b1111100100101010100111111111001111 " -b1111100100101010100111111111001111 g -#6336 -b1111100100101010100111111111010000 " -b11110 b -b1111100100101010100111111111010000 g -#6337 -b1111100100101010100111111111010001 " -b11101 b -b1111100100101010100111111111010001 g -b10000 I" -#6338 -b1111100100101010100111111111010010 " -b11100 b -b1111100100101010100111111111010010 g -b0 I" -#6339 -b1111100100101010100111111111010011 " -b1111100100101010100111111111010011 g -#6340 -b1111100100101010100111111111010100 " -b1111100100101010100111111111010100 g -#6341 -b1111100100101010100111111111010101 " -b1111100100101010100111111111010101 g -#6342 -b1111100100101010100111111111010110 " -b1111100100101010100111111111010110 g -#6343 -b1111100100101010100111111111010111 " -b1111100100101010100111111111010111 g -#6344 -b1111100100101010100111111111011000 " -b1111100100101010100111111111011000 g -#6345 -b1111100100101010100111111111011001 " -b1111100100101010100111111111011001 g -#6346 -b1111100100101010100111111111011010 " -b1111100100101010100111111111011010 g -#6347 -b1111100100101010100111111111011011 " -b1111100100101010100111111111011011 g -#6348 -b1111100100101010100111111111011100 " -b1111100100101010100111111111011100 g -#6349 -b1111100100101010100111111111011101 " -b1111100100101010100111111111011101 g -#6350 -b1111100100101010100111111111011110 " -b1111100100101010100111111111011110 g -#6351 -b1111100100101010100111111111011111 " -b1111100100101010100111111111011111 g -#6352 -b1111100100101010100111111111100000 " -b11110 b -b1111100100101010100111111111100000 g -b1111 I" -#6353 -b1111100100101010100111111111100001 " -b11101 b -b1111100100101010100111111111100001 g -b10000 I" -#6354 -b1111100100101010100111111111100010 " -b11100 b -b1111100100101010100111111111100010 g -b0 I" -#6355 -b1111100100101010100111111111100011 " -b1111100100101010100111111111100011 g -#6356 -b1111100100101010100111111111100100 " -b1111100100101010100111111111100100 g -#6357 -b1111100100101010100111111111100101 " -b1111100100101010100111111111100101 g -#6358 -b1111100100101010100111111111100110 " -b1111100100101010100111111111100110 g -#6359 -b1111100100101010100111111111100111 " -b1111100100101010100111111111100111 g -#6360 -b1111100100101010100111111111101000 " -b1111100100101010100111111111101000 g -#6361 -b1111100100101010100111111111101001 " -b1111100100101010100111111111101001 g -#6362 -b1111100100101010100111111111101010 " -b1111100100101010100111111111101010 g -#6363 -b1111100100101010100111111111101011 " -b1111100100101010100111111111101011 g -#6364 -b1111100100101010100111111111101100 " -b1111100100101010100111111111101100 g -#6365 -b1111100100101010100111111111101101 " -b1111100100101010100111111111101101 g -#6366 -b1111100100101010100111111111101110 " -b1111100100101010100111111111101110 g -#6367 -b1111100100101010100111111111101111 " -b1111100100101010100111111111101111 g -#6368 -b1111100100101010100111111111110000 " -b11110 b -b1111100100101010100111111111110000 g -b1111 I" -#6369 -b1111100100101010100111111111110001 " -b11101 b -b1111100100101010100111111111110001 g -b10000 I" -#6370 -b1111100100101010100111111111110010 " -b11100 b -b1111100100101010100111111111110010 g -b0 I" -#6371 -b1111100100101010100111111111110011 " -b1111100100101010100111111111110011 g -#6372 -b1111100100101010100111111111110100 " -b1111100100101010100111111111110100 g -#6373 -b1111100100101010100111111111110101 " -b1111100100101010100111111111110101 g -#6374 -b1111100100101010100111111111110110 " -b1111100100101010100111111111110110 g -#6375 -b1111100100101010100111111111110111 " -b1111100100101010100111111111110111 g -#6376 -b1111100100101010100111111111111000 " -b1111100100101010100111111111111000 g -#6377 -b1111100100101010100111111111111001 " -b1111100100101010100111111111111001 g -#6378 -b1111100100101010100111111111111010 " -b1111100100101010100111111111111010 g -#6379 -b1111100100101010100111111111111011 " -b1111100100101010100111111111111011 g -#6380 -b1111100100101010100111111111111100 " -b1111100100101010100111111111111100 g -#6381 -b1111100100101010100111111111111101 " -b1111100100101010100111111111111101 g -#6382 -b1111100100101010100111111111111110 " -b1111100100101010100111111111111110 g -#6383 -b1111100100101010100111111111111111 " -b1111100100101010100111111111111111 g -#6384 -b1111100100101010101000000000000000 " -b11110 b -b1111100100101010101000000000000000 g -b1111 I" -#6385 -b1111100100101010101000000000000001 " -b11101 b -b1111100100101010101000000000000001 g -b10000 I" -#6386 -b1111100100101010101000000000000010 " -b11100 b -b1111100100101010101000000000000010 g -b0 I" -#6387 -b1111100100101010101000000000000011 " -b1111100100101010101000000000000011 g -#6388 -b1111100100101010101000000000000100 " -b1111100100101010101000000000000100 g -#6389 -b1111100100101010101000000000000101 " -b1111100100101010101000000000000101 g -#6390 -b1111100100101010101000000000000110 " -b1111100100101010101000000000000110 g -#6391 -b1111100100101010101000000000000111 " -b1111100100101010101000000000000111 g -#6392 -b1111100100101010101000000000001000 " -b1111100100101010101000000000001000 g -#6393 -b1111100100101010101000000000001001 " -b1111100100101010101000000000001001 g -#6394 -b1111100100101010101000000000001010 " -b1111100100101010101000000000001010 g -#6395 -b1111100100101010101000000000001011 " -b1111100100101010101000000000001011 g -#6396 -b1111100100101010101000000000001100 " -b1111100100101010101000000000001100 g -#6397 -b1111100100101010101000000000001101 " -b1111100100101010101000000000001101 g -#6398 -b1111100100101010101000000000001110 " -b1111100100101010101000000000001110 g -#6399 -b1111100100101010101000000000001111 " -b1111100100101010101000000000001111 g -#6400 -b1111100100101010101000000000010000 " -b11110 b -b1111100100101010101000000000010000 g -b1111 I" -#6401 -b1111100100101010101000000000010001 " -b11101 b -b1111100100101010101000000000010001 g -b10000 I" -#6402 -b1111100100101010101000000000010010 " -b11100 b -b0 I" -#6403 -b1111100100101010101000000000010011 " -b1111100100101010101000000000010010 g -#6404 -b1111100100101010101000000000010100 " -b1111100100101010101000000000010011 g -#6405 -b1111100100101010101000000000010101 " -b1111100100101010101000000000010100 g -#6406 -b1111100100101010101000000000010110 " -b1111100100101010101000000000010101 g -#6407 -b1111100100101010101000000000010111 " -b1111100100101010101000000000010110 g -#6408 -b1111100100101010101000000000011000 " -b1111100100101010101000000000010111 g -#6409 -b1111100100101010101000000000011001 " -b1111100100101010101000000000011000 g -#6410 -b1111100100101010101000000000011010 " -b1111100100101010101000000000011001 g -#6411 -b1111100100101010101000000000011011 " -b1111100100101010101000000000011010 g -#6412 -b1111100100101010101000000000011100 " -b1111100100101010101000000000011011 g -#6413 -b1111100100101010101000000000011101 " -b1111100100101010101000000000011100 g -#6414 -b1111100100101010101000000000011110 " -b1111100100101010101000000000011101 g -#6415 -b1111100100101010101000000000011111 " -b1111100100101010101000000000011110 g -#6416 -b1111100100101010101000000000100000 " -b11110 b -b1111100100101010101000000000011111 g -#6417 -b1111100100101010101000000000100001 " -b11101 b -b1111100100101010101000000000100000 g -#6418 -b1111100100101010101000000000100010 " -b11100 b -b1111100100101010101000000000100001 g -b10000 I" -#6419 -b1111100100101010101000000000100011 " -b1111100100101010101000000000100010 g -b0 I" -#6420 -b1111100100101010101000000000100100 " -b1111100100101010101000000000100011 g -#6421 -b1111100100101010101000000000100101 " -b1111100100101010101000000000100100 g -#6422 -b1111100100101010101000000000100110 " -b1111100100101010101000000000100101 g -#6423 -b1111100100101010101000000000100111 " -b1111100100101010101000000000100110 g -#6424 -b1111100100101010101000000000101000 " -b1111100100101010101000000000100111 g -#6425 -b1111100100101010101000000000101001 " -b1111100100101010101000000000101000 g -#6426 -b1111100100101010101000000000101010 " -b1111100100101010101000000000101001 g -#6427 -b1111100100101010101000000000101011 " -b1111100100101010101000000000101010 g -#6428 -b1111100100101010101000000000101100 " -b1111100100101010101000000000101011 g -#6429 -b1111100100101010101000000000101101 " -b1111100100101010101000000000101100 g -#6430 -b1111100100101010101000000000101110 " -b1111100100101010101000000000101101 g -#6431 -b1111100100101010101000000000101111 " -b1111100100101010101000000000101110 g -#6432 -b1111100100101010101000000000110000 " -b11110 b -b1111100100101010101000000000101111 g -#6433 -b1111100100101010101000000000110001 " -b11101 b -b1111100100101010101000000000110000 g -b1111 I" -#6434 -b1111100100101010101000000000110010 " -b11100 b -b1111100100101010101000000000110001 g -b10000 I" -#6435 -b1111100100101010101000000000110011 " -b1111100100101010101000000000110010 g -b0 I" -#6436 -b1111100100101010101000000000110100 " -b1111100100101010101000000000110011 g -#6437 -b1111100100101010101000000000110101 " -b1111100100101010101000000000110100 g -#6438 -b1111100100101010101000000000110110 " -b1111100100101010101000000000110101 g -#6439 -b1111100100101010101000000000110111 " -b1111100100101010101000000000110110 g -#6440 -b1111100100101010101000000000111000 " -b1111100100101010101000000000110111 g -#6441 -b1111100100101010101000000000111001 " -b1111100100101010101000000000111000 g -#6442 -b1111100100101010101000000000111010 " -b1111100100101010101000000000111001 g -#6443 -b1111100100101010101000000000111011 " -b1111100100101010101000000000111010 g -#6444 -b1111100100101010101000000000111100 " -b1111100100101010101000000000111011 g -#6445 -b1111100100101010101000000000111101 " -b1111100100101010101000000000111100 g -#6446 -b1111100100101010101000000000111110 " -b1111100100101010101000000000111101 g -#6447 -b1111100100101010101000000000111111 " -b1111100100101010101000000000111110 g -#6448 -b1111100100101010101000000001000000 " -b11110 b -b1111100100101010101000000000111111 g -#6449 -b1111100100101010101000000001000001 " -b11101 b -b1111100100101010101000000001000000 g -b1111 I" -#6450 -b1111100100101010101000000001000010 " -b11100 b -b1111100100101010101000000001000001 g -b10000 I" -#6451 -b1111100100101010101000000001000011 " -b1111100100101010101000000001000010 g -b0 I" -#6452 -b1111100100101010101000000001000100 " -b1111100100101010101000000001000011 g -#6453 -b1111100100101010101000000001000101 " -b1111100100101010101000000001000100 g -#6454 -b1111100100101010101000000001000110 " -b1111100100101010101000000001000101 g -#6455 -b1111100100101010101000000001000111 " -b1111100100101010101000000001000110 g -#6456 -b1111100100101010101000000001001000 " -b1111100100101010101000000001000111 g -#6457 -b1111100100101010101000000001001001 " -b1111100100101010101000000001001000 g -#6458 -b1111100100101010101000000001001010 " -b1111100100101010101000000001001001 g -#6459 -b1111100100101010101000000001001011 " -b1111100100101010101000000001001010 g -#6460 -b1111100100101010101000000001001100 " -b1111100100101010101000000001001011 g -#6461 -b1111100100101010101000000001001101 " -b1111100100101010101000000001001100 g -#6462 -b1111100100101010101000000001001110 " -b1111100100101010101000000001001101 g -#6463 -b1111100100101010101000000001001111 " -b1111100100101010101000000001001110 g -#6464 -b1111100100101010101000000001010000 " -b11110 b -b1111100100101010101000000001001111 g -#6465 -b1111100100101010101000000001010001 " -b11101 b -b1111100100101010101000000001010000 g -b1111 I" -#6466 -b1111100100101010101000000001010010 " -b11100 b -#6467 -b1111100100101010101000000001010011 " -#6468 -b1111100100101010101000000001010100 " -#6469 -b1111100100101010101000000001010101 " -#6470 -b1111100100101010101000000001010110 " -#6471 -b1111100100101010101000000001010111 " -#6472 -b1111100100101010101000000001011000 " -#6473 -b1111100100101010101000000001011001 " -#6474 -b1111100100101010101000000001011010 " -#6475 -b1111100100101010101000000001011011 " -#6476 -b1111100100101010101000000001011100 " -#6477 -b1111100100101010101000000001011101 " -#6478 -b1111100100101010101000000001011110 " -#6479 -b1111100100101010101000000001011111 " -#6480 -b1111100100101010101000000001100000 " -b11110 b -b1111100100101010101000000001100001 g -b10000 I" -#6481 -b1111100100101010101000000001100001 " -b11101 b -b1111100100101010101000000001100010 g -b0 I" -#6482 -b1111100100101010101000000001100010 " -b11100 b -b1111100100101010101000000001100011 g -#6483 -b1111100100101010101000000001100011 " -b1111100100101010101000000001100100 g -#6484 -b1111100100101010101000000001100100 " -b1111100100101010101000000001100101 g -#6485 -b1111100100101010101000000001100101 " -b1111100100101010101000000001100110 g -#6486 -b1111100100101010101000000001100110 " -b1111100100101010101000000001100111 g -#6487 -b1111100100101010101000000001100111 " -b1111100100101010101000000001101000 g -#6488 -b1111100100101010101000000001101000 " -b1111100100101010101000000001101001 g -#6489 -b1111100100101010101000000001101001 " -b1111100100101010101000000001101010 g -#6490 -b1111100100101010101000000001101010 " -b1111100100101010101000000001101011 g -#6491 -b1111100100101010101000000001101011 " -b1111100100101010101000000001101100 g -#6492 -b1111100100101010101000000001101100 " -b1111100100101010101000000001101101 g -#6493 -b1111100100101010101000000001101101 " -b1111100100101010101000000001101110 g -#6494 -b1111100100101010101000000001101110 " -b1111100100101010101000000001101111 g -#6495 -b1111100100101010101000000001101111 " -b1111100100101010101000000001110000 g -b1111 I" -#6496 -b1111100100101010101000000001110000 " -b11110 b -#6497 -b1111100100101010101000000001110001 " -b11101 b -b1111100100101010101000000001110001 g -b10000 I" -#6498 -b1111100100101010101000000001110010 " -b11100 b -b1111100100101010101000000001110010 g -b0 I" -#6499 -b1111100100101010101000000001110011 " -b1111100100101010101000000001110011 g -#6500 -b1111100100101010101000000001110100 " -b1111100100101010101000000001110100 g -#6501 -b1111100100101010101000000001110101 " -b1111100100101010101000000001110101 g -#6502 -b1111100100101010101000000001110110 " -b1111100100101010101000000001110110 g -#6503 -b1111100100101010101000000001110111 " -b1111100100101010101000000001110111 g -#6504 -b1111100100101010101000000001111000 " -b1111100100101010101000000001111000 g -#6505 -b1111100100101010101000000001111001 " -b1111100100101010101000000001111001 g -#6506 -b1111100100101010101000000001111010 " -b1111100100101010101000000001111010 g -#6507 -b1111100100101010101000000001111011 " -b1111100100101010101000000001111011 g -#6508 -b1111100100101010101000000001111100 " -b1111100100101010101000000001111100 g -#6509 -b1111100100101010101000000001111101 " -b1111100100101010101000000001111101 g -#6510 -b1111100100101010101000000001111110 " -b1111100100101010101000000001111110 g -#6511 -b1111100100101010101000000001111111 " -b1111100100101010101000000001111111 g -#6512 -b1111100100101010101000000010000000 " -b11110 b -b1111100100101010101000000010000000 g -b1111 I" -#6513 -b1111100100101010101000000010000001 " -b11101 b -b1111100100101010101000000010000001 g -b10000 I" -#6514 -b1111100100101010101000000010000010 " -b11100 b -b1111100100101010101000000010000010 g -b0 I" -#6515 -b1111100100101010101000000010000011 " -b1111100100101010101000000010000011 g -#6516 -b1111100100101010101000000010000100 " -b1111100100101010101000000010000100 g -#6517 -b1111100100101010101000000010000101 " -b1111100100101010101000000010000101 g -#6518 -b1111100100101010101000000010000110 " -b1111100100101010101000000010000110 g -#6519 -b1111100100101010101000000010000111 " -b1111100100101010101000000010000111 g -#6520 -b1111100100101010101000000010001000 " -b1111100100101010101000000010001000 g -#6521 -b1111100100101010101000000010001001 " -b1111100100101010101000000010001001 g -#6522 -b1111100100101010101000000010001010 " -b1111100100101010101000000010001010 g -#6523 -b1111100100101010101000000010001011 " -b1111100100101010101000000010001011 g -#6524 -b1111100100101010101000000010001100 " -b1111100100101010101000000010001100 g -#6525 -b1111100100101010101000000010001101 " -b1111100100101010101000000010001101 g -#6526 -b1111100100101010101000000010001110 " -b1111100100101010101000000010001110 g -#6527 -b1111100100101010101000000010001111 " -b1111100100101010101000000010001111 g -#6528 -b1111100100101010101000000010010000 " -b11110 b -b1111100100101010101000000010010000 g -#6529 -b1111100100101010101000000010010001 " -b11101 b -b1111100100101010101000000010010001 g -b10000 I" -#6530 -b1111100100101010101000000010010010 " -b11100 b -b1111100100101010101000000010010010 g -b0 I" -#6531 -b1111100100101010101000000010010011 " -b1111100100101010101000000010010011 g -#6532 -b1111100100101010101000000010010100 " -b1111100100101010101000000010010100 g -#6533 -b1111100100101010101000000010010101 " -b1111100100101010101000000010010101 g -#6534 -b1111100100101010101000000010010110 " -b1111100100101010101000000010010110 g -#6535 -b1111100100101010101000000010010111 " -b1111100100101010101000000010010111 g -#6536 -b1111100100101010101000000010011000 " -b1111100100101010101000000010011000 g -#6537 -b1111100100101010101000000010011001 " -b1111100100101010101000000010011001 g -#6538 -b1111100100101010101000000010011010 " -b1111100100101010101000000010011010 g -#6539 -b1111100100101010101000000010011011 " -b1111100100101010101000000010011011 g -#6540 -b1111100100101010101000000010011100 " -b1111100100101010101000000010011100 g -#6541 -b1111100100101010101000000010011101 " -b1111100100101010101000000010011101 g -#6542 -b1111100100101010101000000010011110 " -b1111100100101010101000000010011110 g -#6543 -b1111100100101010101000000010011111 " -b1111100100101010101000000010011111 g -#6544 -b1111100100101010101000000010100000 " -b11110 b -b1111100100101010101000000010100000 g -#6545 -b1111100100101010101000000010100001 " -b11101 b -b1111100100101010101000000010100001 g -#6546 -b1111100100101010101000000010100010 " -b11100 b -b1111100100101010101000000010100010 g -#6547 -b1111100100101010101000000010100011 " -b1111100100101010101000000010100011 g -#6548 -b1111100100101010101000000010100100 " -b1111100100101010101000000010100100 g -#6549 -b1111100100101010101000000010100101 " -b1111100100101010101000000010100101 g -#6550 -b1111100100101010101000000010100110 " -b1111100100101010101000000010100110 g -#6551 -b1111100100101010101000000010100111 " -b1111100100101010101000000010100111 g -#6552 -b1111100100101010101000000010101000 " -b1111100100101010101000000010101000 g -#6553 -b1111100100101010101000000010101001 " -b1111100100101010101000000010101001 g -#6554 -b1111100100101010101000000010101010 " -b1111100100101010101000000010101010 g -#6555 -b1111100100101010101000000010101011 " -b1111100100101010101000000010101011 g -#6556 -b1111100100101010101000000010101100 " -b1111100100101010101000000010101100 g -#6557 -b1111100100101010101000000010101101 " -b1111100100101010101000000010101101 g -#6558 -b1111100100101010101000000010101110 " -b1111100100101010101000000010101110 g -#6559 -b1111100100101010101000000010101111 " -b1111100100101010101000000010101111 g -#6560 -b1111100100101010101000000010110000 " -b11110 b -b1111100100101010101000000010110000 g -b1111 I" -#6561 -b1111100100101010101000000010110001 " -b11101 b -b1111100100101010101000000010110001 g -b10000 I" -#6562 -b1111100100101010101000000010110010 " -b11100 b -b1111100100101010101000000010110010 g -b0 I" -#6563 -b1111100100101010101000000010110011 " -b1111100100101010101000000010110011 g -#6564 -b1111100100101010101000000010110100 " -b1111100100101010101000000010110100 g -#6565 -b1111100100101010101000000010110101 " -b1111100100101010101000000010110101 g -#6566 -b1111100100101010101000000010110110 " -b1111100100101010101000000010110110 g -#6567 -b1111100100101010101000000010110111 " -b1111100100101010101000000010110111 g -#6568 -b1111100100101010101000000010111000 " -b1111100100101010101000000010111000 g -#6569 -b1111100100101010101000000010111001 " -b1111100100101010101000000010111001 g -#6570 -b1111100100101010101000000010111010 " -b1111100100101010101000000010111010 g -#6571 -b1111100100101010101000000010111011 " -b1111100100101010101000000010111011 g -#6572 -b1111100100101010101000000010111100 " -b1111100100101010101000000010111100 g -#6573 -b1111100100101010101000000010111101 " -b1111100100101010101000000010111101 g -#6574 -b1111100100101010101000000010111110 " -b1111100100101010101000000010111110 g -#6575 -b1111100100101010101000000010111111 " -b1111100100101010101000000010111111 g -#6576 -b1111100100101010101000000011000000 " -b11110 b -b1111100100101010101000000011000000 g -b1111 I" -#6577 -b1111100100101010101000000011000001 " -b11101 b -b1111100100101010101000000011000001 g -b10000 I" -#6578 -b1111100100101010101000000011000010 " -b11100 b -b1111100100101010101000000011000010 g -b0 I" -#6579 -b1111100100101010101000000011000011 " -b1111100100101010101000000011000011 g -#6580 -b1111100100101010101000000011000100 " -b1111100100101010101000000011000100 g -#6581 -b1111100100101010101000000011000101 " -b1111100100101010101000000011000101 g -#6582 -b1111100100101010101000000011000110 " -b1111100100101010101000000011000110 g -#6583 -b1111100100101010101000000011000111 " -b1111100100101010101000000011000111 g -#6584 -b1111100100101010101000000011001000 " -b1111100100101010101000000011001000 g -#6585 -b1111100100101010101000000011001001 " -b1111100100101010101000000011001001 g -#6586 -b1111100100101010101000000011001010 " -b1111100100101010101000000011001010 g -#6587 -b1111100100101010101000000011001011 " -b1111100100101010101000000011001011 g -#6588 -b1111100100101010101000000011001100 " -b1111100100101010101000000011001100 g -#6589 -b1111100100101010101000000011001101 " -b1111100100101010101000000011001101 g -#6590 -b1111100100101010101000000011001110 " -b1111100100101010101000000011001110 g -#6591 -b1111100100101010101000000011001111 " -b1111100100101010101000000011001111 g -#6592 -b1111100100101010101000000011010000 " -b11110 b -b1111100100101010101000000011010000 g -b1111 I" -#6593 -b1111100100101010101000000011010001 " -b11101 b -b1111100100101010101000000011010001 g -b10000 I" -#6594 -b1111100100101010101000000011010010 " -b11100 b -b1111100100101010101000000011010010 g -b0 I" -#6595 -b1111100100101010101000000011010011 " -b1111100100101010101000000011010011 g -#6596 -b1111100100101010101000000011010100 " -b1111100100101010101000000011010100 g -#6597 -b1111100100101010101000000011010101 " -b1111100100101010101000000011010101 g -#6598 -b1111100100101010101000000011010110 " -b1111100100101010101000000011010110 g -#6599 -b1111100100101010101000000011010111 " -b1111100100101010101000000011010111 g -#6600 -b1111100100101010101000000011011000 " -b1111100100101010101000000011011000 g -#6601 -b1111100100101010101000000011011001 " -b1111100100101010101000000011011001 g -#6602 -b1111100100101010101000000011011010 " -b1111100100101010101000000011011010 g -#6603 -b1111100100101010101000000011011011 " -b1111100100101010101000000011011011 g -#6604 -b1111100100101010101000000011011100 " -b1111100100101010101000000011011100 g -#6605 -b1111100100101010101000000011011101 " -b1111100100101010101000000011011101 g -#6606 -b1111100100101010101000000011011110 " -b1111100100101010101000000011011110 g -#6607 -b1111100100101010101000000011011111 " -b1111100100101010101000000011011111 g -#6608 -b1111100100101010101000000011100000 " -b11110 b -b1111100100101010101000000011100000 g -b1111 I" -#6609 -b1111100100101010101000000011100001 " -b11101 b -b1111100100101010101000000011100001 g -b10000 I" -#6610 -b1111100100101010101000000011100010 " -b11100 b -b1111100100101010101000000011100010 g -b0 I" -#6611 -b1111100100101010101000000011100011 " -b1111100100101010101000000011100011 g -#6612 -b1111100100101010101000000011100100 " -b1111100100101010101000000011100100 g -#6613 -b1111100100101010101000000011100101 " -b1111100100101010101000000011100101 g -#6614 -b1111100100101010101000000011100110 " -b1111100100101010101000000011100110 g -#6615 -b1111100100101010101000000011100111 " -b1111100100101010101000000011100111 g -#6616 -b1111100100101010101000000011101000 " -b1111100100101010101000000011101000 g -#6617 -b1111100100101010101000000011101001 " -b1111100100101010101000000011101001 g -#6618 -b1111100100101010101000000011101010 " -b1111100100101010101000000011101010 g -#6619 -b1111100100101010101000000011101011 " -b1111100100101010101000000011101011 g -#6620 -b1111100100101010101000000011101100 " -b1111100100101010101000000011101100 g -#6621 -b1111100100101010101000000011101101 " -b1111100100101010101000000011101101 g -#6622 -b1111100100101010101000000011101110 " -b1111100100101010101000000011101110 g -#6623 -b1111100100101010101000000011101111 " -b1111100100101010101000000011101111 g -#6624 -b1111100100101010101000000011110000 " -b11110 b -b1111100100101010101000000011110000 g -b1111 I" -#6625 -b1111100100101010101000000011110001 " -b11101 b -#6626 -b1111100100101010101000000011110010 " -b11100 b -#6627 -b1111100100101010101000000011110011 " -#6628 -b1111100100101010101000000011110100 " -#6629 -b1111100100101010101000000011110101 " -#6630 -b1111100100101010101000000011110110 " -#6631 -b1111100100101010101000000011110111 " -#6632 -b1111100100101010101000000011111000 " -#6633 -b1111100100101010101000000011111001 " -#6634 -b1111100100101010101000000011111010 " -#6635 -b1111100100101010101000000011111011 " -#6636 -b1111100100101010101000000011111100 " -#6637 -b1111100100101010101000000011111101 " -#6638 -b1111100100101010101000000011111110 " -#6639 -b1111100100101010101000000011111111 " -#6640 -b1111100100101010101000000100000000 " -b11110 b -#6641 -b1111100100101010101000000100000001 " -b11101 b -b1111100100101010101000000100000001 g -b10000 I" -#6642 -b1111100100101010101000000100000010 " -b11100 b -b1111100100101010101000000100000010 g -b0 I" -#6643 -b1111100100101010101000000100000011 " -b1111100100101010101000000100000011 g -#6644 -b1111100100101010101000000100000100 " -b1111100100101010101000000100000100 g -#6645 -b1111100100101010101000000100000101 " -b1111100100101010101000000100000101 g -#6646 -b1111100100101010101000000100000110 " -b1111100100101010101000000100000110 g -#6647 -b1111100100101010101000000100000111 " -b1111100100101010101000000100000111 g -#6648 -b1111100100101010101000000100001000 " -b1111100100101010101000000100001000 g -#6649 -b1111100100101010101000000100001001 " -b1111100100101010101000000100001001 g -#6650 -b1111100100101010101000000100001010 " -b1111100100101010101000000100001010 g -#6651 -b1111100100101010101000000100001011 " -b1111100100101010101000000100001011 g -#6652 -b1111100100101010101000000100001100 " -b1111100100101010101000000100001100 g -#6653 -b1111100100101010101000000100001101 " -b1111100100101010101000000100001101 g -#6654 -b1111100100101010101000000100001110 " -b1111100100101010101000000100001110 g -#6655 -b1111100100101010101000000100001111 " -b1111100100101010101000000100001111 g -#6656 -b1111100100101010101000000100010000 " -b11110 b -b1111100100101010101000000100010000 g -b1111 I" -#6657 -b1111100100101010101000000100010001 " -b11101 b -b1111100100101010101000000100010001 g -b10000 I" -#6658 -b1111100100101010101000000100010010 " -b11100 b -b1111100100101010101000000100010010 g -b0 I" -#6659 -b1111100100101010101000000100010011 " -b1111100100101010101000000100010011 g -#6660 -b1111100100101010101000000100010100 " -b1111100100101010101000000100010100 g -#6661 -b1111100100101010101000000100010101 " -b1111100100101010101000000100010101 g -#6662 -b1111100100101010101000000100010110 " -b1111100100101010101000000100010110 g -#6663 -b1111100100101010101000000100010111 " -b1111100100101010101000000100010111 g -#6664 -b1111100100101010101000000100011000 " -b1111100100101010101000000100011000 g -#6665 -b1111100100101010101000000100011001 " -b1111100100101010101000000100011001 g -#6666 -b1111100100101010101000000100011010 " -b1111100100101010101000000100011010 g -#6667 -b1111100100101010101000000100011011 " -b1111100100101010101000000100011011 g -#6668 -b1111100100101010101000000100011100 " -b1111100100101010101000000100011100 g -#6669 -b1111100100101010101000000100011101 " -b1111100100101010101000000100011101 g -#6670 -b1111100100101010101000000100011110 " -b1111100100101010101000000100011110 g -#6671 -b1111100100101010101000000100011111 " -b1111100100101010101000000100011111 g -#6672 -b1111100100101010101000000100100000 " -b11110 b -b1111100100101010101000000100100000 g -b1111 I" -#6673 -b1111100100101010101000000100100001 " -b11101 b -b1111100100101010101000000100100001 g -b10000 I" -#6674 -b1111100100101010101000000100100010 " -b11100 b -b1111100100101010101000000100100010 g -b0 I" -#6675 -b1111100100101010101000000100100011 " -b1111100100101010101000000100100011 g -#6676 -b1111100100101010101000000100100100 " -b1111100100101010101000000100100100 g -#6677 -b1111100100101010101000000100100101 " -b1111100100101010101000000100100101 g -#6678 -b1111100100101010101000000100100110 " -b1111100100101010101000000100100110 g -#6679 -b1111100100101010101000000100100111 " -b1111100100101010101000000100100111 g -#6680 -b1111100100101010101000000100101000 " -b1111100100101010101000000100101000 g -#6681 -b1111100100101010101000000100101001 " -b1111100100101010101000000100101001 g -#6682 -b1111100100101010101000000100101010 " -b1111100100101010101000000100101010 g -#6683 -b1111100100101010101000000100101011 " -b1111100100101010101000000100101011 g -#6684 -b1111100100101010101000000100101100 " -b1111100100101010101000000100101100 g -#6685 -b1111100100101010101000000100101101 " -b1111100100101010101000000100101101 g -#6686 -b1111100100101010101000000100101110 " -b1111100100101010101000000100101110 g -#6687 -b1111100100101010101000000100101111 " -b1111100100101010101000000100101111 g -#6688 -b1111100100101010101000000100110000 " -b11110 b -b1111100100101010101000000100110000 g -b1111 I" -#6689 -b1111100100101010101000000100110001 " -b11101 b -b1111100100101010101000000100110001 g -b10000 I" -#6690 -b1111100100101010101000000100110010 " -b11100 b -b1111100100101010101000000100110010 g -b0 I" -#6691 -b1111100100101010101000000100110011 " -b1111100100101010101000000100110011 g -#6692 -b1111100100101010101000000100110100 " -b1111100100101010101000000100110100 g -#6693 -b1111100100101010101000000100110101 " -b1111100100101010101000000100110101 g -#6694 -b1111100100101010101000000100110110 " -b1111100100101010101000000100110110 g -#6695 -b1111100100101010101000000100110111 " -b1111100100101010101000000100110111 g -#6696 -b1111100100101010101000000100111000 " -b1111100100101010101000000100111000 g -#6697 -b1111100100101010101000000100111001 " -b1111100100101010101000000100111001 g -#6698 -b1111100100101010101000000100111010 " -b1111100100101010101000000100111010 g -#6699 -b1111100100101010101000000100111011 " -b1111100100101010101000000100111011 g -#6700 -b1111100100101010101000000100111100 " -b1111100100101010101000000100111100 g -#6701 -b1111100100101010101000000100111101 " -b1111100100101010101000000100111101 g -#6702 -b1111100100101010101000000100111110 " -b1111100100101010101000000100111110 g -#6703 -b1111100100101010101000000100111111 " -b1111100100101010101000000100111111 g -#6704 -b1111100100101010101000000101000000 " -b11110 b -b1111100100101010101000000101000000 g -b1111 I" -#6705 -b1111100100101010101000000101000001 " -b11101 b -b1111100100101010101000000101000001 g -b10000 I" -#6706 -b1111100100101010101000000101000010 " -b11100 b -b1111100100101010101000000101000010 g -b0 I" -#6707 -b1111100100101010101000000101000011 " -b1111100100101010101000000101000011 g -#6708 -b1111100100101010101000000101000100 " -b1111100100101010101000000101000100 g -#6709 -b1111100100101010101000000101000101 " -b1111100100101010101000000101000101 g -#6710 -b1111100100101010101000000101000110 " -b1111100100101010101000000101000110 g -#6711 -b1111100100101010101000000101000111 " -b1111100100101010101000000101000111 g -#6712 -b1111100100101010101000000101001000 " -b1111100100101010101000000101001000 g -#6713 -b1111100100101010101000000101001001 " -b1111100100101010101000000101001001 g -#6714 -b1111100100101010101000000101001010 " -b1111100100101010101000000101001010 g -#6715 -b1111100100101010101000000101001011 " -b1111100100101010101000000101001011 g -#6716 -b1111100100101010101000000101001100 " -b1111100100101010101000000101001100 g -#6717 -b1111100100101010101000000101001101 " -b1111100100101010101000000101001101 g -#6718 -b1111100100101010101000000101001110 " -b1111100100101010101000000101001110 g -#6719 -b1111100100101010101000000101001111 " -b1111100100101010101000000101001111 g -#6720 -b1111100100101010101000000101010000 " -b11110 b -b1111100100101010101000000101010000 g -#6721 -b1111100100101010101000000101010001 " -b11101 b -b1111100100101010101000000101010001 g -b10000 I" -#6722 -b1111100100101010101000000101010010 " -b11100 b -b1111100100101010101000000101010010 g -b0 I" -#6723 -b1111100100101010101000000101010011 " -b1111100100101010101000000101010011 g -#6724 -b1111100100101010101000000101010100 " -b1111100100101010101000000101010100 g -#6725 -b1111100100101010101000000101010101 " -b1111100100101010101000000101010101 g -#6726 -b1111100100101010101000000101010110 " -b1111100100101010101000000101010110 g -#6727 -b1111100100101010101000000101010111 " -b1111100100101010101000000101010111 g -#6728 -b1111100100101010101000000101011000 " -b1111100100101010101000000101011000 g -#6729 -b1111100100101010101000000101011001 " -b1111100100101010101000000101011001 g -#6730 -b1111100100101010101000000101011010 " -b1111100100101010101000000101011010 g -#6731 -b1111100100101010101000000101011011 " -b1111100100101010101000000101011011 g -#6732 -b1111100100101010101000000101011100 " -b1111100100101010101000000101011100 g -#6733 -b1111100100101010101000000101011101 " -b1111100100101010101000000101011101 g -#6734 -b1111100100101010101000000101011110 " -b1111100100101010101000000101011110 g -#6735 -b1111100100101010101000000101011111 " -b1111100100101010101000000101011111 g -#6736 -b1111100100101010101000000101100000 " -b11110 b -b1111100100101010101000000101100000 g -#6737 -b1111100100101010101000000101100001 " -b11101 b -b1111100100101010101000000101100001 g -b10000 I" -#6738 -b1111100100101010101000000101100010 " -b11100 b -b1111100100101010101000000101100010 g -b0 I" -#6739 -b1111100100101010101000000101100011 " -b1111100100101010101000000101100011 g -#6740 -b1111100100101010101000000101100100 " -b1111100100101010101000000101100100 g -#6741 -b1111100100101010101000000101100101 " -b1111100100101010101000000101100101 g -#6742 -b1111100100101010101000000101100110 " -b1111100100101010101000000101100110 g -#6743 -b1111100100101010101000000101100111 " -b1111100100101010101000000101100111 g -#6744 -b1111100100101010101000000101101000 " -b1111100100101010101000000101101000 g -#6745 -b1111100100101010101000000101101001 " -b1111100100101010101000000101101001 g -#6746 -b1111100100101010101000000101101010 " -b1111100100101010101000000101101010 g -#6747 -b1111100100101010101000000101101011 " -b1111100100101010101000000101101011 g -#6748 -b1111100100101010101000000101101100 " -b1111100100101010101000000101101100 g -#6749 -b1111100100101010101000000101101101 " -b1111100100101010101000000101101101 g -#6750 -b1111100100101010101000000101101110 " -b1111100100101010101000000101101110 g -#6751 -b1111100100101010101000000101101111 " -b1111100100101010101000000101101111 g -#6752 -b1111100100101010101000000101110000 " -b11110 b -b1111100100101010101000000101110000 g -b1111 I" -#6753 -b1111100100101010101000000101110001 " -b11101 b -b1111100100101010101000000101110001 g -b10000 I" -#6754 -b1111100100101010101000000101110010 " -b11100 b -b1111100100101010101000000101110010 g -b0 I" -#6755 -b1111100100101010101000000101110011 " -b1111100100101010101000000101110011 g -#6756 -b1111100100101010101000000101110100 " -b1111100100101010101000000101110100 g -#6757 -b1111100100101010101000000101110101 " -b1111100100101010101000000101110101 g -#6758 -b1111100100101010101000000101110110 " -b1111100100101010101000000101110110 g -#6759 -b1111100100101010101000000101110111 " -b1111100100101010101000000101110111 g -#6760 -b1111100100101010101000000101111000 " -b1111100100101010101000000101111000 g -#6761 -b1111100100101010101000000101111001 " -b1111100100101010101000000101111001 g -#6762 -b1111100100101010101000000101111010 " -b1111100100101010101000000101111010 g -#6763 -b1111100100101010101000000101111011 " -b1111100100101010101000000101111011 g -#6764 -b1111100100101010101000000101111100 " -b1111100100101010101000000101111100 g -#6765 -b1111100100101010101000000101111101 " -b1111100100101010101000000101111101 g -#6766 -b1111100100101010101000000101111110 " -b1111100100101010101000000101111110 g -#6767 -b1111100100101010101000000101111111 " -b1111100100101010101000000101111111 g -#6768 -b1111100100101010101000000110000000 " -b11110 b -b1111100100101010101000000110000000 g -b1111 I" -#6769 -b1111100100101010101000000110000001 " -b11101 b -b1111100100101010101000000110000001 g -b10000 I" -#6770 -b1111100100101010101000000110000010 " -b11100 b -b1111100100101010101000000110000010 g -b0 I" -#6771 -b1111100100101010101000000110000011 " -b1111100100101010101000000110000011 g -#6772 -b1111100100101010101000000110000100 " -b1111100100101010101000000110000100 g -#6773 -b1111100100101010101000000110000101 " -b1111100100101010101000000110000101 g -#6774 -b1111100100101010101000000110000110 " -b1111100100101010101000000110000110 g -#6775 -b1111100100101010101000000110000111 " -b1111100100101010101000000110000111 g -#6776 -b1111100100101010101000000110001000 " -b1111100100101010101000000110001000 g -#6777 -b1111100100101010101000000110001001 " -b1111100100101010101000000110001001 g -#6778 -b1111100100101010101000000110001010 " -b1111100100101010101000000110001010 g -#6779 -b1111100100101010101000000110001011 " -b1111100100101010101000000110001011 g -#6780 -b1111100100101010101000000110001100 " -b1111100100101010101000000110001100 g -#6781 -b1111100100101010101000000110001101 " -b1111100100101010101000000110001101 g -#6782 -b1111100100101010101000000110001110 " -b1111100100101010101000000110001110 g -#6783 -b1111100100101010101000000110001111 " -b1111100100101010101000000110001111 g -#6784 -b1111100100101010101000000110010000 " -b11110 b -b1111100100101010101000000110010000 g -b1111 I" -#6785 -b1111100100101010101000000110010001 " -b11101 b -b1111100100101010101000000110010001 g -b10000 I" -#6786 -b1111100100101010101000000110010010 " -b11100 b -b1111100100101010101000000110010010 g -b0 I" -#6787 -b1111100100101010101000000110010011 " -b1111100100101010101000000110010011 g -#6788 -b1111100100101010101000000110010100 " -b1111100100101010101000000110010100 g -#6789 -b1111100100101010101000000110010101 " -b1111100100101010101000000110010101 g -#6790 -b1111100100101010101000000110010110 " -b1111100100101010101000000110010110 g -#6791 -b1111100100101010101000000110010111 " -b1111100100101010101000000110010111 g -#6792 -b1111100100101010101000000110011000 " -b1111100100101010101000000110011000 g -#6793 -b1111100100101010101000000110011001 " -b1111100100101010101000000110011001 g -#6794 -b1111100100101010101000000110011010 " -b1111100100101010101000000110011010 g -#6795 -b1111100100101010101000000110011011 " -b1111100100101010101000000110011011 g -#6796 -b1111100100101010101000000110011100 " -b1111100100101010101000000110011100 g -#6797 -b1111100100101010101000000110011101 " -b1111100100101010101000000110011101 g -#6798 -b1111100100101010101000000110011110 " -b1111100100101010101000000110011110 g -#6799 -b1111100100101010101000000110011111 " -b1111100100101010101000000110011111 g -#6800 -b1111100100101010101000000110100000 " -b11110 b -b1111100100101010101000000110100000 g -b1111 I" -#6801 -b1111100100101010101000000110100001 " -b11101 b -b1111100100101010101000000110100001 g -b10000 I" -#6802 -b1111100100101010101000000110100010 " -b11100 b -b1111100100101010101000000110100010 g -b0 I" -#6803 -b1111100100101010101000000110100011 " -b1111100100101010101000000110100011 g -#6804 -b1111100100101010101000000110100100 " -b1111100100101010101000000110100100 g -#6805 -b1111100100101010101000000110100101 " -b1111100100101010101000000110100101 g -#6806 -b1111100100101010101000000110100110 " -b1111100100101010101000000110100110 g -#6807 -b1111100100101010101000000110100111 " -b1111100100101010101000000110100111 g -#6808 -b1111100100101010101000000110101000 " -b1111100100101010101000000110101000 g -#6809 -b1111100100101010101000000110101001 " -b1111100100101010101000000110101001 g -#6810 -b1111100100101010101000000110101010 " -b1111100100101010101000000110101010 g -#6811 -b1111100100101010101000000110101011 " -b1111100100101010101000000110101011 g -#6812 -b1111100100101010101000000110101100 " -b1111100100101010101000000110101100 g -#6813 -b1111100100101010101000000110101101 " -b1111100100101010101000000110101101 g -#6814 -b1111100100101010101000000110101110 " -b1111100100101010101000000110101110 g -#6815 -b1111100100101010101000000110101111 " -b1111100100101010101000000110101111 g -#6816 -b1111100100101010101000000110110000 " -b11110 b -b1111100100101010101000000110110000 g -b1111 I" -#6817 -b1111100100101010101000000110110001 " -b11101 b -b1111100100101010101000000110110001 g -b10000 I" -#6818 -b1111100100101010101000000110110010 " -b11100 b -b1111100100101010101000000110110010 g -b0 I" -#6819 -b1111100100101010101000000110110011 " -b1111100100101010101000000110110011 g -#6820 -b1111100100101010101000000110110100 " -b1111100100101010101000000110110100 g -#6821 -b1111100100101010101000000110110101 " -b1111100100101010101000000110110101 g -#6822 -b1111100100101010101000000110110110 " -b1111100100101010101000000110110110 g -#6823 -b1111100100101010101000000110110111 " -b1111100100101010101000000110110111 g -#6824 -b1111100100101010101000000110111000 " -b1111100100101010101000000110111000 g -#6825 -b1111100100101010101000000110111001 " -b1111100100101010101000000110111001 g -#6826 -b1111100100101010101000000110111010 " -b1111100100101010101000000110111010 g -#6827 -b1111100100101010101000000110111011 " -b1111100100101010101000000110111011 g -#6828 -b1111100100101010101000000110111100 " -b1111100100101010101000000110111100 g -#6829 -b1111100100101010101000000110111101 " -b1111100100101010101000000110111101 g -#6830 -b1111100100101010101000000110111110 " -b1111100100101010101000000110111110 g -#6831 -b1111100100101010101000000110111111 " -b1111100100101010101000000110111111 g -#6832 -b1111100100101010101000000111000000 " -b11110 b -b1111100100101010101000000111000000 g -b1111 I" -#6833 -b1111100100101010101000000111000001 " -b11101 b -b1111100100101010101000000111000001 g -b10000 I" -#6834 -b1111100100101010101000000111000010 " -b11100 b -b1111100100101010101000000111000010 g -b0 I" -#6835 -b1111100100101010101000000111000011 " -b1111100100101010101000000111000011 g -#6836 -b1111100100101010101000000111000100 " -b1111100100101010101000000111000100 g -#6837 -b1111100100101010101000000111000101 " -b1111100100101010101000000111000101 g -#6838 -b1111100100101010101000000111000110 " -b1111100100101010101000000111000110 g -#6839 -b1111100100101010101000000111000111 " -b1111100100101010101000000111000111 g -#6840 -b1111100100101010101000000111001000 " -b1111100100101010101000000111001000 g -#6841 -b1111100100101010101000000111001001 " -b1111100100101010101000000111001001 g -#6842 -b1111100100101010101000000111001010 " -b1111100100101010101000000111001010 g -#6843 -b1111100100101010101000000111001011 " -b1111100100101010101000000111001011 g -#6844 -b1111100100101010101000000111001100 " -b1111100100101010101000000111001100 g -#6845 -b1111100100101010101000000111001101 " -b1111100100101010101000000111001101 g -#6846 -b1111100100101010101000000111001110 " -b1111100100101010101000000111001110 g -#6847 -b1111100100101010101000000111001111 " -b1111100100101010101000000111001111 g -#6848 -b1111100100101010101000000111010000 " -b11110 b -b1111100100101010101000000111010000 g -b1111 I" -#6849 -b1111100100101010101000000111010001 " -b11101 b -b1111100100101010101000000111010001 g -b10000 I" -#6850 -b1111100100101010101000000111010010 " -b11100 b -b1111100100101010101000000111010010 g -b0 I" -#6851 -b1111100100101010101000000111010011 " -b1111100100101010101000000111010011 g -#6852 -b1111100100101010101000000111010100 " -b1111100100101010101000000111010100 g -#6853 -b1111100100101010101000000111010101 " -b1111100100101010101000000111010101 g -#6854 -b1111100100101010101000000111010110 " -b1111100100101010101000000111010110 g -#6855 -b1111100100101010101000000111010111 " -b1111100100101010101000000111010111 g -#6856 -b1111100100101010101000000111011000 " -b1111100100101010101000000111011000 g -#6857 -b1111100100101010101000000111011001 " -b1111100100101010101000000111011001 g -#6858 -b1111100100101010101000000111011010 " -b1111100100101010101000000111011010 g -#6859 -b1111100100101010101000000111011011 " -b1111100100101010101000000111011011 g -#6860 -b1111100100101010101000000111011100 " -b1111100100101010101000000111011100 g -#6861 -b1111100100101010101000000111011101 " -b1111100100101010101000000111011101 g -#6862 -b1111100100101010101000000111011110 " -b1111100100101010101000000111011110 g -#6863 -b1111100100101010101000000111011111 " -b1111100100101010101000000111011111 g -#6864 -b1111100100101010101000000111100000 " -b11110 b -b1111100100101010101000000111100000 g -b1111 I" -#6865 -b1111100100101010101000000111100001 " -b11101 b -b1111100100101010101000000111100001 g -b10000 I" -#6866 -b1111100100101010101000000111100010 " -b11100 b -b1111100100101010101000000111100010 g -b0 I" -#6867 -b1111100100101010101000000111100011 " -b1111100100101010101000000111100011 g -#6868 -b1111100100101010101000000111100100 " -b1111100100101010101000000111100100 g -#6869 -b1111100100101010101000000111100101 " -b1111100100101010101000000111100101 g -#6870 -b1111100100101010101000000111100110 " -b1111100100101010101000000111100110 g -#6871 -b1111100100101010101000000111100111 " -b1111100100101010101000000111100111 g -#6872 -b1111100100101010101000000111101000 " -b1111100100101010101000000111101000 g -#6873 -b1111100100101010101000000111101001 " -b1111100100101010101000000111101001 g -#6874 -b1111100100101010101000000111101010 " -b1111100100101010101000000111101010 g -#6875 -b1111100100101010101000000111101011 " -b1111100100101010101000000111101011 g -#6876 -b1111100100101010101000000111101100 " -b1111100100101010101000000111101100 g -#6877 -b1111100100101010101000000111101101 " -b1111100100101010101000000111101101 g -#6878 -b1111100100101010101000000111101110 " -b1111100100101010101000000111101110 g -#6879 -b1111100100101010101000000111101111 " -b1111100100101010101000000111101111 g -#6880 -b1111100100101010101000000111110000 " -b11110 b -b1111100100101010101000000111110000 g -b1111 I" -#6881 -b1111100100101010101000000111110001 " -b11101 b -b1111100100101010101000000111110001 g -b10000 I" -#6882 -b1111100100101010101000000111110010 " -b11100 b -b1111100100101010101000000111110010 g -b0 I" -#6883 -b1111100100101010101000000111110011 " -b1111100100101010101000000111110011 g -#6884 -b1111100100101010101000000111110100 " -b1111100100101010101000000111110100 g -#6885 -b1111100100101010101000000111110101 " -b1111100100101010101000000111110101 g -#6886 -b1111100100101010101000000111110110 " -b1111100100101010101000000111110110 g -#6887 -b1111100100101010101000000111110111 " -b1111100100101010101000000111110111 g -#6888 -b1111100100101010101000000111111000 " -b1111100100101010101000000111111000 g -#6889 -b1111100100101010101000000111111001 " -b1111100100101010101000000111111001 g -#6890 -b1111100100101010101000000111111010 " -b1111100100101010101000000111111010 g -#6891 -b1111100100101010101000000111111011 " -b1111100100101010101000000111111011 g -#6892 -b1111100100101010101000000111111100 " -b1111100100101010101000000111111100 g -#6893 -b1111100100101010101000000111111101 " -b1111100100101010101000000111111101 g -#6894 -b1111100100101010101000000111111110 " -b1111100100101010101000000111111110 g -#6895 -b1111100100101010101000000111111111 " -b1111100100101010101000000111111111 g -#6896 -b1111100100101010101000001000000000 " -b11110 b -b1111100100101010101000001000000000 g -#6897 -b1111100100101010101000001000000001 " -b11101 b -b1111100100101010101000001000000001 g -b10000 I" -#6898 -b1111100100101010101000001000000010 " -b11100 b -b1111100100101010101000001000000010 g -b0 I" -#6899 -b1111100100101010101000001000000011 " -b1111100100101010101000001000000011 g -#6900 -b1111100100101010101000001000000100 " -b1111100100101010101000001000000100 g -#6901 -b1111100100101010101000001000000101 " -b1111100100101010101000001000000101 g -#6902 -b1111100100101010101000001000000110 " -b1111100100101010101000001000000110 g -#6903 -b1111100100101010101000001000000111 " -b1111100100101010101000001000000111 g -#6904 -b1111100100101010101000001000001000 " -b1111100100101010101000001000001000 g -#6905 -b1111100100101010101000001000001001 " -b1111100100101010101000001000001001 g -#6906 -b1111100100101010101000001000001010 " -b1111100100101010101000001000001010 g -#6907 -b1111100100101010101000001000001011 " -b1111100100101010101000001000001011 g -#6908 -b1111100100101010101000001000001100 " -b1111100100101010101000001000001100 g -#6909 -b1111100100101010101000001000001101 " -b1111100100101010101000001000001101 g -#6910 -b1111100100101010101000001000001110 " -b1111100100101010101000001000001110 g -#6911 -b1111100100101010101000001000001111 " -b1111100100101010101000001000001111 g -#6912 -b1111100100101010101000001000010000 " -b11110 b -b1111100100101010101000001000010000 g -b1111 I" -#6913 -b1111100100101010101000001000010001 " -b11101 b -b1111100100101010101000001000010001 g -b10000 I" -#6914 -b1111100100101010101000001000010010 " -b11100 b -b1111100100101010101000001000010010 g -b0 I" -#6915 -b1111100100101010101000001000010011 " -b1111100100101010101000001000010011 g -#6916 -b1111100100101010101000001000010100 " -b1111100100101010101000001000010100 g -#6917 -b1111100100101010101000001000010101 " -b1111100100101010101000001000010101 g -#6918 -b1111100100101010101000001000010110 " -b1111100100101010101000001000010110 g -#6919 -b1111100100101010101000001000010111 " -b1111100100101010101000001000010111 g -#6920 -b1111100100101010101000001000011000 " -b1111100100101010101000001000011000 g -#6921 -b1111100100101010101000001000011001 " -b1111100100101010101000001000011001 g -#6922 -b1111100100101010101000001000011010 " -b1111100100101010101000001000011010 g -#6923 -b1111100100101010101000001000011011 " -b1111100100101010101000001000011011 g -#6924 -b1111100100101010101000001000011100 " -b1111100100101010101000001000011100 g -#6925 -b1111100100101010101000001000011101 " -b1111100100101010101000001000011101 g -#6926 -b1111100100101010101000001000011110 " -b1111100100101010101000001000011110 g -#6927 -b1111100100101010101000001000011111 " -b1111100100101010101000001000011111 g -#6928 -b1111100100101010101000001000100000 " -b11110 b -b1111100100101010101000001000100000 g -#6929 -b1111100100101010101000001000100001 " -b11101 b -b1111100100101010101000001000100001 g -b10000 I" -#6930 -b1111100100101010101000001000100010 " -b11100 b -b1111100100101010101000001000100010 g -b0 I" -#6931 -b1111100100101010101000001000100011 " -b1111100100101010101000001000100011 g -#6932 -b1111100100101010101000001000100100 " -b1111100100101010101000001000100100 g -#6933 -b1111100100101010101000001000100101 " -b1111100100101010101000001000100101 g -#6934 -b1111100100101010101000001000100110 " -b1111100100101010101000001000100110 g -#6935 -b1111100100101010101000001000100111 " -b1111100100101010101000001000100111 g -#6936 -b1111100100101010101000001000101000 " -b1111100100101010101000001000101000 g -#6937 -b1111100100101010101000001000101001 " -b1111100100101010101000001000101001 g -#6938 -b1111100100101010101000001000101010 " -b1111100100101010101000001000101010 g -#6939 -b1111100100101010101000001000101011 " -b1111100100101010101000001000101011 g -#6940 -b1111100100101010101000001000101100 " -b1111100100101010101000001000101100 g -#6941 -b1111100100101010101000001000101101 " -b1111100100101010101000001000101101 g -#6942 -b1111100100101010101000001000101110 " -b1111100100101010101000001000101110 g -#6943 -b1111100100101010101000001000101111 " -b1111100100101010101000001000101111 g -#6944 -b1111100100101010101000001000110000 " -b11110 b -b1111100100101010101000001000110000 g -b1111 I" -#6945 -b1111100100101010101000001000110001 " -b11101 b -b1111100100101010101000001000110001 g -b10000 I" -#6946 -b1111100100101010101000001000110010 " -b11100 b -b1111100100101010101000001000110010 g -b0 I" -#6947 -b1111100100101010101000001000110011 " -b1111100100101010101000001000110011 g -#6948 -b1111100100101010101000001000110100 " -b1111100100101010101000001000110100 g -#6949 -b1111100100101010101000001000110101 " -b1111100100101010101000001000110101 g -#6950 -b1111100100101010101000001000110110 " -b1111100100101010101000001000110110 g -#6951 -b1111100100101010101000001000110111 " -b1111100100101010101000001000110111 g -#6952 -b1111100100101010101000001000111000 " -b1111100100101010101000001000111000 g -#6953 -b1111100100101010101000001000111001 " -b1111100100101010101000001000111001 g -#6954 -b1111100100101010101000001000111010 " -b1111100100101010101000001000111010 g -#6955 -b1111100100101010101000001000111011 " -b1111100100101010101000001000111011 g -#6956 -b1111100100101010101000001000111100 " -b1111100100101010101000001000111100 g -#6957 -b1111100100101010101000001000111101 " -b1111100100101010101000001000111101 g -#6958 -b1111100100101010101000001000111110 " -b1111100100101010101000001000111110 g -#6959 -b1111100100101010101000001000111111 " -b1111100100101010101000001000111111 g -#6960 -b1111100100101010101000001001000000 " -b11110 b -b1111100100101010101000001001000000 g -b1111 I" -#6961 -b1111100100101010101000001001000001 " -b11101 b -b1111100100101010101000001001000001 g -b10000 I" -#6962 -b1111100100101010101000001001000010 " -b11100 b -b1111100100101010101000001001000010 g -b0 I" -#6963 -b1111100100101010101000001001000011 " -b1111100100101010101000001001000011 g -#6964 -b1111100100101010101000001001000100 " -b1111100100101010101000001001000100 g -#6965 -b1111100100101010101000001001000101 " -b1111100100101010101000001001000101 g -#6966 -b1111100100101010101000001001000110 " -b1111100100101010101000001001000110 g -#6967 -b1111100100101010101000001001000111 " -b1111100100101010101000001001000111 g -#6968 -b1111100100101010101000001001001000 " -b1111100100101010101000001001001000 g -#6969 -b1111100100101010101000001001001001 " -b1111100100101010101000001001001001 g -#6970 -b1111100100101010101000001001001010 " -b1111100100101010101000001001001010 g -#6971 -b1111100100101010101000001001001011 " -b1111100100101010101000001001001011 g -#6972 -b1111100100101010101000001001001100 " -b1111100100101010101000001001001100 g -#6973 -b1111100100101010101000001001001101 " -b1111100100101010101000001001001101 g -#6974 -b1111100100101010101000001001001110 " -b1111100100101010101000001001001110 g -#6975 -b1111100100101010101000001001001111 " -b1111100100101010101000001001001111 g -#6976 -b1111100100101010101000001001010000 " -b11110 b -b1111100100101010101000001001010000 g -#6977 -b1111100100101010101000001001010001 " -b11101 b -b1111100100101010101000001001010001 g -b10000 I" -#6978 -b1111100100101010101000001001010010 " -b11100 b -b1111100100101010101000001001010010 g -b0 I" -#6979 -b1111100100101010101000001001010011 " -b1111100100101010101000001001010011 g -#6980 -b1111100100101010101000001001010100 " -b1111100100101010101000001001010100 g -#6981 -b1111100100101010101000001001010101 " -b1111100100101010101000001001010101 g -#6982 -b1111100100101010101000001001010110 " -b1111100100101010101000001001010110 g -#6983 -b1111100100101010101000001001010111 " -b1111100100101010101000001001010111 g -#6984 -b1111100100101010101000001001011000 " -b1111100100101010101000001001011000 g -#6985 -b1111100100101010101000001001011001 " -b1111100100101010101000001001011001 g -#6986 -b1111100100101010101000001001011010 " -b1111100100101010101000001001011010 g -#6987 -b1111100100101010101000001001011011 " -b1111100100101010101000001001011011 g -#6988 -b1111100100101010101000001001011100 " -b1111100100101010101000001001011100 g -#6989 -b1111100100101010101000001001011101 " -b1111100100101010101000001001011101 g -#6990 -b1111100100101010101000001001011110 " -b1111100100101010101000001001011110 g -#6991 -b1111100100101010101000001001011111 " -b1111100100101010101000001001011111 g -#6992 -b1111100100101010101000001001100000 " -b11110 b -b1111100100101010101000001001100000 g -b1111 I" -#6993 -b1111100100101010101000001001100001 " -b11101 b -b1111100100101010101000001001100001 g -b10000 I" -#6994 -b1111100100101010101000001001100010 " -b11100 b -b1111100100101010101000001001100010 g -b0 I" -#6995 -b1111100100101010101000001001100011 " -b1111100100101010101000001001100011 g -#6996 -b1111100100101010101000001001100100 " -b1111100100101010101000001001100100 g -#6997 -b1111100100101010101000001001100101 " -b1111100100101010101000001001100101 g -#6998 -b1111100100101010101000001001100110 " -b1111100100101010101000001001100110 g -#6999 -b1111100100101010101000001001100111 " -b1111100100101010101000001001100111 g -#7000 -b1111100100101010101000001001101000 " -b1111100100101010101000001001101000 g -#7001 -b1111100100101010101000001001101001 " -b1111100100101010101000001001101001 g -#7002 -b1111100100101010101000001001101010 " -b1111100100101010101000001001101010 g -#7003 -b1111100100101010101000001001101011 " -b1111100100101010101000001001101011 g -#7004 -b1111100100101010101000001001101100 " -b1111100100101010101000001001101100 g -#7005 -b1111100100101010101000001001101101 " -b1111100100101010101000001001101101 g -#7006 -b1111100100101010101000001001101110 " -b1111100100101010101000001001101110 g -#7007 -b1111100100101010101000001001101111 " -b1111100100101010101000001001101111 g -#7008 -b1111100100101010101000001001110000 " -b11110 b -b1111100100101010101000001001110000 g -b1111 I" -#7009 -b1111100100101010101000001001110001 " -b11101 b -b1111100100101010101000001001110001 g -b10000 I" -#7010 -b1111100100101010101000001001110010 " -b11100 b -b1111100100101010101000001001110010 g -b0 I" -#7011 -b1111100100101010101000001001110011 " -b1111100100101010101000001001110011 g -#7012 -b1111100100101010101000001001110100 " -b1111100100101010101000001001110100 g -#7013 -b1111100100101010101000001001110101 " -b1111100100101010101000001001110101 g -#7014 -b1111100100101010101000001001110110 " -b1111100100101010101000001001110110 g -#7015 -b1111100100101010101000001001110111 " -b1111100100101010101000001001110111 g -#7016 -b1111100100101010101000001001111000 " -b1111100100101010101000001001111000 g -#7017 -b1111100100101010101000001001111001 " -b1111100100101010101000001001111001 g -#7018 -b1111100100101010101000001001111010 " -b1111100100101010101000001001111010 g -#7019 -b1111100100101010101000001001111011 " -b1111100100101010101000001001111011 g -#7020 -b1111100100101010101000001001111100 " -b1111100100101010101000001001111100 g -#7021 -b1111100100101010101000001001111101 " -b1111100100101010101000001001111101 g -#7022 -b1111100100101010101000001001111110 " -b1111100100101010101000001001111110 g -#7023 -b1111100100101010101000001001111111 " -b1111100100101010101000001001111111 g -#7024 -b1111100100101010101000001010000000 " -b11110 b -b1111100100101010101000001010000000 g -b1111 I" -#7025 -b1111100100101010101000001010000001 " -b11101 b -b1111100100101010101000001010000001 g -b10000 I" -#7026 -b1111100100101010101000001010000010 " -b11100 b -b1111100100101010101000001010000010 g -b0 I" -#7027 -b1111100100101010101000001010000011 " -b1111100100101010101000001010000011 g -#7028 -b1111100100101010101000001010000100 " -b1111100100101010101000001010000100 g -#7029 -b1111100100101010101000001010000101 " -b1111100100101010101000001010000101 g -#7030 -b1111100100101010101000001010000110 " -b1111100100101010101000001010000110 g -#7031 -b1111100100101010101000001010000111 " -b1111100100101010101000001010000111 g -#7032 -b1111100100101010101000001010001000 " -b1111100100101010101000001010001000 g -#7033 -b1111100100101010101000001010001001 " -b1111100100101010101000001010001001 g -#7034 -b1111100100101010101000001010001010 " -b1111100100101010101000001010001010 g -#7035 -b1111100100101010101000001010001011 " -b1111100100101010101000001010001011 g -#7036 -b1111100100101010101000001010001100 " -b1111100100101010101000001010001100 g -#7037 -b1111100100101010101000001010001101 " -b1111100100101010101000001010001101 g -#7038 -b1111100100101010101000001010001110 " -b1111100100101010101000001010001110 g -#7039 -b1111100100101010101000001010001111 " -b1111100100101010101000001010001111 g -#7040 -b1111100100101010101000001010010000 " -b11110 b -b1111100100101010101000001010010000 g -b1111 I" -#7041 -b1111100100101010101000001010010001 " -b11101 b -b1111100100101010101000001010010001 g -b10000 I" -#7042 -b1111100100101010101000001010010010 " -b11100 b -b1111100100101010101000001010010010 g -b0 I" -#7043 -b1111100100101010101000001010010011 " -b1111100100101010101000001010010011 g -#7044 -b1111100100101010101000001010010100 " -b1111100100101010101000001010010100 g -#7045 -b1111100100101010101000001010010101 " -b1111100100101010101000001010010101 g -#7046 -b1111100100101010101000001010010110 " -b1111100100101010101000001010010110 g -#7047 -b1111100100101010101000001010010111 " -b1111100100101010101000001010010111 g -#7048 -b1111100100101010101000001010011000 " -b1111100100101010101000001010011000 g -#7049 -b1111100100101010101000001010011001 " -b1111100100101010101000001010011001 g -#7050 -b1111100100101010101000001010011010 " -b1111100100101010101000001010011010 g -#7051 -b1111100100101010101000001010011011 " -b1111100100101010101000001010011011 g -#7052 -b1111100100101010101000001010011100 " -b1111100100101010101000001010011100 g -#7053 -b1111100100101010101000001010011101 " -b1111100100101010101000001010011101 g -#7054 -b1111100100101010101000001010011110 " -b1111100100101010101000001010011110 g -#7055 -b1111100100101010101000001010011111 " -b1111100100101010101000001010011111 g -#7056 -b1111100100101010101000001010100000 " -b11110 b -b1111100100101010101000001010100000 g -b1111 I" -#7057 -b1111100100101010101000001010100001 " -b11101 b -b1111100100101010101000001010100001 g -b10000 I" -#7058 -b1111100100101010101000001010100010 " -b11100 b -b1111100100101010101000001010100010 g -b0 I" -#7059 -b1111100100101010101000001010100011 " -b1111100100101010101000001010100011 g -#7060 -b1111100100101010101000001010100100 " -b1111100100101010101000001010100100 g -#7061 -b1111100100101010101000001010100101 " -b1111100100101010101000001010100101 g -#7062 -b1111100100101010101000001010100110 " -b1111100100101010101000001010100110 g -#7063 -b1111100100101010101000001010100111 " -b1111100100101010101000001010100111 g -#7064 -b1111100100101010101000001010101000 " -b1111100100101010101000001010101000 g -#7065 -b1111100100101010101000001010101001 " -b1111100100101010101000001010101001 g -#7066 -b1111100100101010101000001010101010 " -b1111100100101010101000001010101010 g -#7067 -b1111100100101010101000001010101011 " -b1111100100101010101000001010101011 g -#7068 -b1111100100101010101000001010101100 " -b1111100100101010101000001010101100 g -#7069 -b1111100100101010101000001010101101 " -b1111100100101010101000001010101101 g -#7070 -b1111100100101010101000001010101110 " -b1111100100101010101000001010101110 g -#7071 -b1111100100101010101000001010101111 " -b1111100100101010101000001010101111 g -#7072 -b1111100100101010101000001010110000 " -b11110 b -b1111100100101010101000001010110000 g -b1111 I" -#7073 -b1111100100101010101000001010110001 " -b11101 b -b1111100100101010101000001010110001 g -b10000 I" -#7074 -b1111100100101010101000001010110010 " -b11100 b -b1111100100101010101000001010110010 g -b0 I" -#7075 -b1111100100101010101000001010110011 " -b1111100100101010101000001010110011 g -#7076 -b1111100100101010101000001010110100 " -b1111100100101010101000001010110100 g -#7077 -b1111100100101010101000001010110101 " -b1111100100101010101000001010110101 g -#7078 -b1111100100101010101000001010110110 " -b1111100100101010101000001010110110 g -#7079 -b1111100100101010101000001010110111 " -b1111100100101010101000001010110111 g -#7080 -b1111100100101010101000001010111000 " -b1111100100101010101000001010111000 g -#7081 -b1111100100101010101000001010111001 " -b1111100100101010101000001010111001 g -#7082 -b1111100100101010101000001010111010 " -b1111100100101010101000001010111010 g -#7083 -b1111100100101010101000001010111011 " -b1111100100101010101000001010111011 g -#7084 -b1111100100101010101000001010111100 " -b1111100100101010101000001010111100 g -#7085 -b1111100100101010101000001010111101 " -b1111100100101010101000001010111101 g -#7086 -b1111100100101010101000001010111110 " -b1111100100101010101000001010111110 g -#7087 -b1111100100101010101000001010111111 " -b1111100100101010101000001010111111 g -#7088 -b1111100100101010101000001011000000 " -b11110 b -b1111100100101010101000001011000000 g -b1111 I" -#7089 -b1111100100101010101000001011000001 " -b11101 b -#7090 -b1111100100101010101000001011000010 " -b11100 b -#7091 -b1111100100101010101000001011000011 " -#7092 -b1111100100101010101000001011000100 " -#7093 -b1111100100101010101000001011000101 " -#7094 -b1111100100101010101000001011000110 " -#7095 -b1111100100101010101000001011000111 " -#7096 -b1111100100101010101000001011001000 " -#7097 -b1111100100101010101000001011001001 " -#7098 -b1111100100101010101000001011001010 " -#7099 -b1111100100101010101000001011001011 " -#7100 -b1111100100101010101000001011001100 " -#7101 -b1111100100101010101000001011001101 " -#7102 -b1111100100101010101000001011001110 " -#7103 -b1111100100101010101000001011001111 " -#7104 -b1111100100101010101000001011010000 " -b11110 b -b1111100100101010101000001011010001 g -b10000 I" -#7105 -b1111100100101010101000001011010001 " -b11101 b -b1111100100101010101000001011010010 g -b0 I" -#7106 -b1111100100101010101000001011010010 " -b11100 b -b1111100100101010101000001011010011 g -#7107 -b1111100100101010101000001011010011 " -#7108 -b1111100100101010101000001011010100 " -b1111100100101010101000001011010100 g -#7109 -b1111100100101010101000001011010101 " -b1111100100101010101000001011010101 g -#7110 -b1111100100101010101000001011010110 " -b1111100100101010101000001011010110 g -#7111 -b1111100100101010101000001011010111 " -b1111100100101010101000001011010111 g -#7112 -b1111100100101010101000001011011000 " -b1111100100101010101000001011011000 g -#7113 -b1111100100101010101000001011011001 " -b1111100100101010101000001011011001 g -#7114 -b1111100100101010101000001011011010 " -b1111100100101010101000001011011010 g -#7115 -b1111100100101010101000001011011011 " -b1111100100101010101000001011011011 g -#7116 -b1111100100101010101000001011011100 " -b1111100100101010101000001011011100 g -#7117 -b1111100100101010101000001011011101 " -b1111100100101010101000001011011101 g -#7118 -b1111100100101010101000001011011110 " -b1111100100101010101000001011011110 g -#7119 -b1111100100101010101000001011011111 " -b1111100100101010101000001011011111 g -#7120 -b1111100100101010101000001011100000 " -b11110 b -b1111100100101010101000001011100000 g -b1111 I" -#7121 -b1111100100101010101000001011100001 " -b11101 b -b1111100100101010101000001011100001 g -b10000 I" -#7122 -b1111100100101010101000001011100010 " -b11100 b -b1111100100101010101000001011100010 g -b0 I" -#7123 -b1111100100101010101000001011100011 " -b1111100100101010101000001011100011 g -#7124 -b1111100100101010101000001011100100 " -b1111100100101010101000001011100100 g -#7125 -b1111100100101010101000001011100101 " -b1111100100101010101000001011100101 g -#7126 -b1111100100101010101000001011100110 " -b1111100100101010101000001011100110 g -#7127 -b1111100100101010101000001011100111 " -b1111100100101010101000001011100111 g -#7128 -b1111100100101010101000001011101000 " -b1111100100101010101000001011101000 g -#7129 -b1111100100101010101000001011101001 " -b1111100100101010101000001011101001 g -#7130 -b1111100100101010101000001011101010 " -b1111100100101010101000001011101010 g -#7131 -b1111100100101010101000001011101011 " -b1111100100101010101000001011101011 g -#7132 -b1111100100101010101000001011101100 " -b1111100100101010101000001011101100 g -#7133 -b1111100100101010101000001011101101 " -b1111100100101010101000001011101101 g -#7134 -b1111100100101010101000001011101110 " -b1111100100101010101000001011101110 g -#7135 -b1111100100101010101000001011101111 " -b1111100100101010101000001011101111 g -#7136 -b1111100100101010101000001011110000 " -b11110 b -b1111100100101010101000001011110000 g -b1111 I" -#7137 -b1111100100101010101000001011110001 " -b11101 b -b1111100100101010101000001011110001 g -b10000 I" -#7138 -b1111100100101010101000001011110010 " -b11100 b -b1111100100101010101000001011110010 g -b0 I" -#7139 -b1111100100101010101000001011110011 " -b1111100100101010101000001011110011 g -#7140 -b1111100100101010101000001011110100 " -b1111100100101010101000001011110100 g -#7141 -b1111100100101010101000001011110101 " -b1111100100101010101000001011110101 g -#7142 -b1111100100101010101000001011110110 " -b1111100100101010101000001011110110 g -#7143 -b1111100100101010101000001011110111 " -b1111100100101010101000001011110111 g -#7144 -b1111100100101010101000001011111000 " -b1111100100101010101000001011111000 g -#7145 -b1111100100101010101000001011111001 " -b1111100100101010101000001011111001 g -#7146 -b1111100100101010101000001011111010 " -b1111100100101010101000001011111010 g -#7147 -b1111100100101010101000001011111011 " -b1111100100101010101000001011111011 g -#7148 -b1111100100101010101000001011111100 " -b1111100100101010101000001011111100 g -#7149 -b1111100100101010101000001011111101 " -b1111100100101010101000001011111101 g -#7150 -b1111100100101010101000001011111110 " -b1111100100101010101000001011111110 g -#7151 -b1111100100101010101000001011111111 " -b1111100100101010101000001011111111 g -#7152 -b1111100100101010101000001100000000 " -b11110 b -b1111100100101010101000001100000000 g -#7153 -b1111100100101010101000001100000001 " -b11101 b -b1111100100101010101000001100000001 g -b10000 I" -#7154 -b1111100100101010101000001100000010 " -b11100 b -b1111100100101010101000001100000010 g -b0 I" -#7155 -b1111100100101010101000001100000011 " -b1111100100101010101000001100000011 g -#7156 -b1111100100101010101000001100000100 " -b1111100100101010101000001100000100 g -#7157 -b1111100100101010101000001100000101 " -b1111100100101010101000001100000101 g -#7158 -b1111100100101010101000001100000110 " -b1111100100101010101000001100000110 g -#7159 -b1111100100101010101000001100000111 " -b1111100100101010101000001100000111 g -#7160 -b1111100100101010101000001100001000 " -b1111100100101010101000001100001000 g -#7161 -b1111100100101010101000001100001001 " -b1111100100101010101000001100001001 g -#7162 -b1111100100101010101000001100001010 " -b1111100100101010101000001100001010 g -#7163 -b1111100100101010101000001100001011 " -b1111100100101010101000001100001011 g -#7164 -b1111100100101010101000001100001100 " -b1111100100101010101000001100001100 g -#7165 -b1111100100101010101000001100001101 " -b1111100100101010101000001100001101 g -#7166 -b1111100100101010101000001100001110 " -b1111100100101010101000001100001110 g -#7167 -b1111100100101010101000001100001111 " -b1111100100101010101000001100001111 g -#7168 -b1111100100101010101000001100010000 " -b11110 b -b1111100100101010101000001100010000 g -#7169 -b1111100100101010101000001100010001 " -b11101 b -b1111100100101010101000001100010001 g -#7170 -b1111100100101010101000001100010010 " -b11100 b -b1111100100101010101000001100010010 g -#7171 -b1111100100101010101000001100010011 " -b1111100100101010101000001100010011 g -#7172 -b1111100100101010101000001100010100 " -b1111100100101010101000001100010100 g -#7173 -b1111100100101010101000001100010101 " -b1111100100101010101000001100010101 g -#7174 -b1111100100101010101000001100010110 " -b1111100100101010101000001100010110 g -#7175 -b1111100100101010101000001100010111 " -b1111100100101010101000001100010111 g -#7176 -b1111100100101010101000001100011000 " -b1111100100101010101000001100011000 g -#7177 -b1111100100101010101000001100011001 " -b1111100100101010101000001100011001 g -#7178 -b1111100100101010101000001100011010 " -b1111100100101010101000001100011010 g -#7179 -b1111100100101010101000001100011011 " -b1111100100101010101000001100011011 g -#7180 -b1111100100101010101000001100011100 " -b1111100100101010101000001100011100 g -#7181 -b1111100100101010101000001100011101 " -b1111100100101010101000001100011101 g -#7182 -b1111100100101010101000001100011110 " -b1111100100101010101000001100011110 g -#7183 -b1111100100101010101000001100011111 " -b1111100100101010101000001100011111 g -#7184 -b1111100100101010101000001100100000 " -b11110 b -b1111100100101010101000001100100000 g -b1111 I" -#7185 -b1111100100101010101000001100100001 " -b11101 b -b1111100100101010101000001100100001 g -b10000 I" -#7186 -b1111100100101010101000001100100010 " -b11100 b -b1111100100101010101000001100100010 g -b0 I" -#7187 -b1111100100101010101000001100100011 " -b1111100100101010101000001100100011 g -#7188 -b1111100100101010101000001100100100 " -b1111100100101010101000001100100100 g -#7189 -b1111100100101010101000001100100101 " -b1111100100101010101000001100100101 g -#7190 -b1111100100101010101000001100100110 " -b1111100100101010101000001100100110 g -#7191 -b1111100100101010101000001100100111 " -b1111100100101010101000001100100111 g -#7192 -b1111100100101010101000001100101000 " -b1111100100101010101000001100101000 g -#7193 -b1111100100101010101000001100101001 " -b1111100100101010101000001100101001 g -#7194 -b1111100100101010101000001100101010 " -b1111100100101010101000001100101010 g -#7195 -b1111100100101010101000001100101011 " -b1111100100101010101000001100101011 g -#7196 -b1111100100101010101000001100101100 " -b1111100100101010101000001100101100 g -#7197 -b1111100100101010101000001100101101 " -b1111100100101010101000001100101101 g -#7198 -b1111100100101010101000001100101110 " -b1111100100101010101000001100101110 g -#7199 -b1111100100101010101000001100101111 " -b1111100100101010101000001100101111 g -#7200 -b1111100100101010101000001100110000 " -b11110 b -b1111100100101010101000001100110000 g -b1111 I" -#7201 -b1111100100101010101000001100110001 " -b11101 b -b1111100100101010101000001100110001 g -b10000 I" -#7202 -b1111100100101010101000001100110010 " -b11100 b -b1111100100101010101000001100110010 g -b0 I" -#7203 -b1111100100101010101000001100110011 " -b1111100100101010101000001100110011 g -#7204 -b1111100100101010101000001100110100 " -b1111100100101010101000001100110100 g -#7205 -b1111100100101010101000001100110101 " -b1111100100101010101000001100110101 g -#7206 -b1111100100101010101000001100110110 " -b1111100100101010101000001100110110 g -#7207 -b1111100100101010101000001100110111 " -b1111100100101010101000001100110111 g -#7208 -b1111100100101010101000001100111000 " -b1111100100101010101000001100111000 g -#7209 -b1111100100101010101000001100111001 " -b1111100100101010101000001100111001 g -#7210 -b1111100100101010101000001100111010 " -b1111100100101010101000001100111010 g -#7211 -b1111100100101010101000001100111011 " -b1111100100101010101000001100111011 g -#7212 -b1111100100101010101000001100111100 " -b1111100100101010101000001100111100 g -#7213 -b1111100100101010101000001100111101 " -b1111100100101010101000001100111101 g -#7214 -b1111100100101010101000001100111110 " -b1111100100101010101000001100111110 g -#7215 -b1111100100101010101000001100111111 " -b1111100100101010101000001100111111 g -#7216 -b1111100100101010101000001101000000 " -b11110 b -b1111100100101010101000001101000000 g -b1111 I" -#7217 -b1111100100101010101000001101000001 " -b11101 b -b1111100100101010101000001101000001 g -b10000 I" -#7218 -b1111100100101010101000001101000010 " -b11100 b -b1111100100101010101000001101000010 g -b0 I" -#7219 -b1111100100101010101000001101000011 " -b1111100100101010101000001101000011 g -#7220 -b1111100100101010101000001101000100 " -b1111100100101010101000001101000100 g -#7221 -b1111100100101010101000001101000101 " -b1111100100101010101000001101000101 g -#7222 -b1111100100101010101000001101000110 " -b1111100100101010101000001101000110 g -#7223 -b1111100100101010101000001101000111 " -b1111100100101010101000001101000111 g -#7224 -b1111100100101010101000001101001000 " -b1111100100101010101000001101001000 g -#7225 -b1111100100101010101000001101001001 " -b1111100100101010101000001101001001 g -#7226 -b1111100100101010101000001101001010 " -b1111100100101010101000001101001010 g -#7227 -b1111100100101010101000001101001011 " -b1111100100101010101000001101001011 g -#7228 -b1111100100101010101000001101001100 " -b1111100100101010101000001101001100 g -#7229 -b1111100100101010101000001101001101 " -b1111100100101010101000001101001101 g -#7230 -b1111100100101010101000001101001110 " -b1111100100101010101000001101001110 g -#7231 -b1111100100101010101000001101001111 " -b1111100100101010101000001101001111 g -#7232 -b1111100100101010101000001101010000 " -b11110 b -b1111100100101010101000001101010000 g -b1111 I" -#7233 -b1111100100101010101000001101010001 " -b11101 b -b1111100100101010101000001101010001 g -b10000 I" -#7234 -b1111100100101010101000001101010010 " -b11100 b -b1111100100101010101000001101010010 g -b0 I" -#7235 -b1111100100101010101000001101010011 " -b1111100100101010101000001101010011 g -#7236 -b1111100100101010101000001101010100 " -b1111100100101010101000001101010100 g -#7237 -b1111100100101010101000001101010101 " -b1111100100101010101000001101010101 g -#7238 -b1111100100101010101000001101010110 " -b1111100100101010101000001101010110 g -#7239 -b1111100100101010101000001101010111 " -b1111100100101010101000001101010111 g -#7240 -b1111100100101010101000001101011000 " -b1111100100101010101000001101011000 g -#7241 -b1111100100101010101000001101011001 " -b1111100100101010101000001101011001 g -#7242 -b1111100100101010101000001101011010 " -b1111100100101010101000001101011010 g -#7243 -b1111100100101010101000001101011011 " -b1111100100101010101000001101011011 g -#7244 -b1111100100101010101000001101011100 " -b1111100100101010101000001101011100 g -#7245 -b1111100100101010101000001101011101 " -b1111100100101010101000001101011101 g -#7246 -b1111100100101010101000001101011110 " -b1111100100101010101000001101011110 g -#7247 -b1111100100101010101000001101011111 " -b1111100100101010101000001101011111 g -#7248 -b1111100100101010101000001101100000 " -b11110 b -b1111100100101010101000001101100000 g -b1111 I" -#7249 -b1111100100101010101000001101100001 " -b11101 b -#7250 -b1111100100101010101000001101100010 " -b11100 b -#7251 -b1111100100101010101000001101100011 " -#7252 -b1111100100101010101000001101100100 " -#7253 -b1111100100101010101000001101100101 " -#7254 -b1111100100101010101000001101100110 " -#7255 -b1111100100101010101000001101100111 " -#7256 -b1111100100101010101000001101101000 " -#7257 -b1111100100101010101000001101101001 " -#7258 -b1111100100101010101000001101101010 " -#7259 -b1111100100101010101000001101101011 " -#7260 -b1111100100101010101000001101101100 " -#7261 -b1111100100101010101000001101101101 " -#7262 -b1111100100101010101000001101101110 " -#7263 -b1111100100101010101000001101101111 " -#7264 -b1111100100101010101000001101110000 " -b11110 b -#7265 -b1111100100101010101000001101110001 " -b11101 b -b1111100100101010101000001101110001 g -b10000 I" -#7266 -b1111100100101010101000001101110010 " -b11100 b -b1111100100101010101000001101110010 g -b0 I" -#7267 -b1111100100101010101000001101110011 " -b1111100100101010101000001101110011 g -#7268 -b1111100100101010101000001101110100 " -b1111100100101010101000001101110100 g -#7269 -b1111100100101010101000001101110101 " -b1111100100101010101000001101110101 g -#7270 -b1111100100101010101000001101110110 " -b1111100100101010101000001101110110 g -#7271 -b1111100100101010101000001101110111 " -b1111100100101010101000001101110111 g -#7272 -b1111100100101010101000001101111000 " -b1111100100101010101000001101111000 g -#7273 -b1111100100101010101000001101111001 " -b1111100100101010101000001101111001 g -#7274 -b1111100100101010101000001101111010 " -b1111100100101010101000001101111010 g -#7275 -b1111100100101010101000001101111011 " -b1111100100101010101000001101111011 g -#7276 -b1111100100101010101000001101111100 " -b1111100100101010101000001101111100 g -#7277 -b1111100100101010101000001101111101 " -b1111100100101010101000001101111101 g -#7278 -b1111100100101010101000001101111110 " -b1111100100101010101000001101111110 g -#7279 -b1111100100101010101000001101111111 " -b1111100100101010101000001101111111 g -#7280 -b1111100100101010101000001110000000 " -b11110 b -b1111100100101010101000001110000000 g -b1111 I" -#7281 -b1111100100101010101000001110000001 " -b11101 b -b1111100100101010101000001110000001 g -b10000 I" -#7282 -b1111100100101010101000001110000010 " -b11100 b -b1111100100101010101000001110000010 g -b0 I" -#7283 -b1111100100101010101000001110000011 " -b1111100100101010101000001110000011 g -#7284 -b1111100100101010101000001110000100 " -b1111100100101010101000001110000100 g -#7285 -b1111100100101010101000001110000101 " -b1111100100101010101000001110000101 g -#7286 -b1111100100101010101000001110000110 " -b1111100100101010101000001110000110 g -#7287 -b1111100100101010101000001110000111 " -b1111100100101010101000001110000111 g -#7288 -b1111100100101010101000001110001000 " -b1111100100101010101000001110001000 g -#7289 -b1111100100101010101000001110001001 " -b1111100100101010101000001110001001 g -#7290 -b1111100100101010101000001110001010 " -b1111100100101010101000001110001010 g -#7291 -b1111100100101010101000001110001011 " -b1111100100101010101000001110001011 g -#7292 -b1111100100101010101000001110001100 " -b1111100100101010101000001110001100 g -#7293 -b1111100100101010101000001110001101 " -b1111100100101010101000001110001101 g -#7294 -b1111100100101010101000001110001110 " -b1111100100101010101000001110001110 g -#7295 -b1111100100101010101000001110001111 " -b1111100100101010101000001110001111 g -#7296 -b1111100100101010101000001110010000 " -b11110 b -b1111100100101010101000001110010000 g -b1111 I" -#7297 -b1111100100101010101000001110010001 " -b11101 b -b1111100100101010101000001110010001 g -b10000 I" -#7298 -b1111100100101010101000001110010010 " -b11100 b -b1111100100101010101000001110010010 g -b0 I" -#7299 -b1111100100101010101000001110010011 " -b1111100100101010101000001110010011 g -#7300 -b1111100100101010101000001110010100 " -b1111100100101010101000001110010100 g -#7301 -b1111100100101010101000001110010101 " -b1111100100101010101000001110010101 g -#7302 -b1111100100101010101000001110010110 " -b1111100100101010101000001110010110 g -#7303 -b1111100100101010101000001110010111 " -b1111100100101010101000001110010111 g -#7304 -b1111100100101010101000001110011000 " -b1111100100101010101000001110011000 g -#7305 -b1111100100101010101000001110011001 " -b1111100100101010101000001110011001 g -#7306 -b1111100100101010101000001110011010 " -b1111100100101010101000001110011010 g -#7307 -b1111100100101010101000001110011011 " -b1111100100101010101000001110011011 g -#7308 -b1111100100101010101000001110011100 " -b1111100100101010101000001110011100 g -#7309 -b1111100100101010101000001110011101 " -b1111100100101010101000001110011101 g -#7310 -b1111100100101010101000001110011110 " -b1111100100101010101000001110011110 g -#7311 -b1111100100101010101000001110011111 " -b1111100100101010101000001110011111 g -#7312 -b1111100100101010101000001110100000 " -b11110 b -b1111100100101010101000001110100000 g -b1111 I" -#7313 -b1111100100101010101000001110100001 " -b11101 b -b1111100100101010101000001110100001 g -b10000 I" -#7314 -b1111100100101010101000001110100010 " -b11100 b -b1111100100101010101000001110100010 g -b0 I" -#7315 -b1111100100101010101000001110100011 " -b1111100100101010101000001110100011 g -#7316 -b1111100100101010101000001110100100 " -b1111100100101010101000001110100100 g -#7317 -b1111100100101010101000001110100101 " -b1111100100101010101000001110100101 g -#7318 -b1111100100101010101000001110100110 " -b1111100100101010101000001110100110 g -#7319 -b1111100100101010101000001110100111 " -b1111100100101010101000001110100111 g -#7320 -b1111100100101010101000001110101000 " -b1111100100101010101000001110101000 g -#7321 -b1111100100101010101000001110101001 " -b1111100100101010101000001110101001 g -#7322 -b1111100100101010101000001110101010 " -b1111100100101010101000001110101010 g -#7323 -b1111100100101010101000001110101011 " -b1111100100101010101000001110101011 g -#7324 -b1111100100101010101000001110101100 " -b1111100100101010101000001110101100 g -#7325 -b1111100100101010101000001110101101 " -b1111100100101010101000001110101101 g -#7326 -b1111100100101010101000001110101110 " -b1111100100101010101000001110101110 g -#7327 -b1111100100101010101000001110101111 " -b1111100100101010101000001110101111 g -#7328 -b1111100100101010101000001110110000 " -b11110 b -b1111100100101010101000001110110000 g -b1111 I" -#7329 -b1111100100101010101000001110110001 " -b11101 b -b1111100100101010101000001110110001 g -b10000 I" -#7330 -b1111100100101010101000001110110010 " -b11100 b -b1111100100101010101000001110110010 g -b0 I" -#7331 -b1111100100101010101000001110110011 " -b1111100100101010101000001110110011 g -#7332 -b1111100100101010101000001110110100 " -b1111100100101010101000001110110100 g -#7333 -b1111100100101010101000001110110101 " -b1111100100101010101000001110110101 g -#7334 -b1111100100101010101000001110110110 " -b1111100100101010101000001110110110 g -#7335 -b1111100100101010101000001110110111 " -b1111100100101010101000001110110111 g -#7336 -b1111100100101010101000001110111000 " -b1111100100101010101000001110111000 g -#7337 -b1111100100101010101000001110111001 " -b1111100100101010101000001110111001 g -#7338 -b1111100100101010101000001110111010 " -b1111100100101010101000001110111010 g -#7339 -b1111100100101010101000001110111011 " -b1111100100101010101000001110111011 g -#7340 -b1111100100101010101000001110111100 " -b1111100100101010101000001110111100 g -#7341 -b1111100100101010101000001110111101 " -b1111100100101010101000001110111101 g -#7342 -b1111100100101010101000001110111110 " -b1111100100101010101000001110111110 g -#7343 -b1111100100101010101000001110111111 " -b1111100100101010101000001110111111 g -#7344 -b1111100100101010101000001111000000 " -b11110 b -b1111100100101010101000001111000000 g -#7345 -b1111100100101010101000001111000001 " -b11101 b -b1111100100101010101000001111000001 g -b10000 I" -#7346 -b1111100100101010101000001111000010 " -b11100 b -b1111100100101010101000001111000010 g -b0 I" -#7347 -b1111100100101010101000001111000011 " -b1111100100101010101000001111000011 g -#7348 -b1111100100101010101000001111000100 " -b1111100100101010101000001111000100 g -#7349 -b1111100100101010101000001111000101 " -b1111100100101010101000001111000101 g -#7350 -b1111100100101010101000001111000110 " -b1111100100101010101000001111000110 g -#7351 -b1111100100101010101000001111000111 " -b1111100100101010101000001111000111 g -#7352 -b1111100100101010101000001111001000 " -b1111100100101010101000001111001000 g -#7353 -b1111100100101010101000001111001001 " -b1111100100101010101000001111001001 g -#7354 -b1111100100101010101000001111001010 " -b1111100100101010101000001111001010 g -#7355 -b1111100100101010101000001111001011 " -b1111100100101010101000001111001011 g -#7356 -b1111100100101010101000001111001100 " -b1111100100101010101000001111001100 g -#7357 -b1111100100101010101000001111001101 " -b1111100100101010101000001111001101 g -#7358 -b1111100100101010101000001111001110 " -b1111100100101010101000001111001110 g -#7359 -b1111100100101010101000001111001111 " -b1111100100101010101000001111001111 g -#7360 -b1111100100101010101000001111010000 " -b11110 b -b1111100100101010101000001111010000 g -b1111 I" -#7361 -b1111100100101010101000001111010001 " -b11101 b -b1111100100101010101000001111010001 g -b10000 I" -#7362 -b1111100100101010101000001111010010 " -b11100 b -b1111100100101010101000001111010010 g -b0 I" -#7363 -b1111100100101010101000001111010011 " -b1111100100101010101000001111010011 g -#7364 -b1111100100101010101000001111010100 " -b1111100100101010101000001111010100 g -#7365 -b1111100100101010101000001111010101 " -b1111100100101010101000001111010101 g -#7366 -b1111100100101010101000001111010110 " -b1111100100101010101000001111010110 g -#7367 -b1111100100101010101000001111010111 " -b1111100100101010101000001111010111 g -#7368 -b1111100100101010101000001111011000 " -b1111100100101010101000001111011000 g -#7369 -b1111100100101010101000001111011001 " -b1111100100101010101000001111011001 g -#7370 -b1111100100101010101000001111011010 " -b1111100100101010101000001111011010 g -#7371 -b1111100100101010101000001111011011 " -b1111100100101010101000001111011011 g -#7372 -b1111100100101010101000001111011100 " -b1111100100101010101000001111011100 g -#7373 -b1111100100101010101000001111011101 " -b1111100100101010101000001111011101 g -#7374 -b1111100100101010101000001111011110 " -b1111100100101010101000001111011110 g -#7375 -b1111100100101010101000001111011111 " -b1111100100101010101000001111011111 g -#7376 -b1111100100101010101000001111100000 " -b11110 b -b1111100100101010101000001111100000 g -b1111 I" -#7377 -b1111100100101010101000001111100001 " -b11101 b -b1111100100101010101000001111100001 g -b10000 I" -#7378 -b1111100100101010101000001111100010 " -b11100 b -b1111100100101010101000001111100010 g -b0 I" -#7379 -b1111100100101010101000001111100011 " -b1111100100101010101000001111100011 g -#7380 -b1111100100101010101000001111100100 " -b1111100100101010101000001111100100 g -#7381 -b1111100100101010101000001111100101 " -b1111100100101010101000001111100101 g -#7382 -b1111100100101010101000001111100110 " -b1111100100101010101000001111100110 g -#7383 -b1111100100101010101000001111100111 " -b1111100100101010101000001111100111 g -#7384 -b1111100100101010101000001111101000 " -b1111100100101010101000001111101000 g -#7385 -b1111100100101010101000001111101001 " -b1111100100101010101000001111101001 g -#7386 -b1111100100101010101000001111101010 " -b1111100100101010101000001111101010 g -#7387 -b1111100100101010101000001111101011 " -b1111100100101010101000001111101011 g -#7388 -b1111100100101010101000001111101100 " -b1111100100101010101000001111101100 g -#7389 -b1111100100101010101000001111101101 " -b1111100100101010101000001111101101 g -#7390 -b1111100100101010101000001111101110 " -b1111100100101010101000001111101110 g -#7391 -b1111100100101010101000001111101111 " -b1111100100101010101000001111101111 g -#7392 -b1111100100101010101000001111110000 " -b11110 b -b1111100100101010101000001111110000 g -b1111 I" -#7393 -b1111100100101010101000001111110001 " -b11101 b -b1111100100101010101000001111110001 g -b10000 I" -#7394 -b1111100100101010101000001111110010 " -b11100 b -b1111100100101010101000001111110010 g -b0 I" -#7395 -b1111100100101010101000001111110011 " -b1111100100101010101000001111110011 g -#7396 -b1111100100101010101000001111110100 " -b1111100100101010101000001111110100 g -#7397 -b1111100100101010101000001111110101 " -b1111100100101010101000001111110101 g -#7398 -b1111100100101010101000001111110110 " -b1111100100101010101000001111110110 g -#7399 -b1111100100101010101000001111110111 " -b1111100100101010101000001111110111 g -#7400 -b1111100100101010101000001111111000 " -b1111100100101010101000001111111000 g -#7401 -b1111100100101010101000001111111001 " -b1111100100101010101000001111111001 g -#7402 -b1111100100101010101000001111111010 " -b1111100100101010101000001111111010 g -#7403 -b1111100100101010101000001111111011 " -b1111100100101010101000001111111011 g -#7404 -b1111100100101010101000001111111100 " -b1111100100101010101000001111111100 g -#7405 -b1111100100101010101000001111111101 " -b1111100100101010101000001111111101 g -#7406 -b1111100100101010101000001111111110 " -b1111100100101010101000001111111110 g -#7407 -b1111100100101010101000001111111111 " -b1111100100101010101000001111111111 g -#7408 -b1111100100101010101000010000000000 " -b11110 b -b1111100100101010101000010000000000 g -b1111 I" -#7409 -b1111100100101010101000010000000001 " -b11101 b -b1111100100101010101000010000000001 g -b10000 I" -#7410 -b1111100100101010101000010000000010 " -b11100 b -b1111100100101010101000010000000010 g -b0 I" -#7411 -b1111100100101010101000010000000011 " -b1111100100101010101000010000000011 g -#7412 -b1111100100101010101000010000000100 " -b1111100100101010101000010000000100 g -#7413 -b1111100100101010101000010000000101 " -b1111100100101010101000010000000101 g -#7414 -b1111100100101010101000010000000110 " -b1111100100101010101000010000000110 g -#7415 -b1111100100101010101000010000000111 " -b1111100100101010101000010000000111 g -#7416 -b1111100100101010101000010000001000 " -b1111100100101010101000010000001000 g -#7417 -b1111100100101010101000010000001001 " -b1111100100101010101000010000001001 g -#7418 -b1111100100101010101000010000001010 " -b1111100100101010101000010000001010 g -#7419 -b1111100100101010101000010000001011 " -b1111100100101010101000010000001011 g -#7420 -b1111100100101010101000010000001100 " -b1111100100101010101000010000001100 g -#7421 -b1111100100101010101000010000001101 " -b1111100100101010101000010000001101 g -#7422 -b1111100100101010101000010000001110 " -b1111100100101010101000010000001110 g -#7423 -b1111100100101010101000010000001111 " -b1111100100101010101000010000001111 g -#7424 -b1111100100101010101000010000010000 " -b11110 b -b1111100100101010101000010000010000 g -b1111 I" -#7425 -b1111100100101010101000010000010001 " -b11101 b -b1111100100101010101000010000010001 g -b10000 I" -#7426 -b1111100100101010101000010000010010 " -b11100 b -b1111100100101010101000010000010010 g -b0 I" -#7427 -b1111100100101010101000010000010011 " -b1111100100101010101000010000010011 g -#7428 -b1111100100101010101000010000010100 " -b1111100100101010101000010000010100 g -#7429 -b1111100100101010101000010000010101 " -b1111100100101010101000010000010101 g -#7430 -b1111100100101010101000010000010110 " -b1111100100101010101000010000010110 g -#7431 -b1111100100101010101000010000010111 " -b1111100100101010101000010000010111 g -#7432 -b1111100100101010101000010000011000 " -b1111100100101010101000010000011000 g -#7433 -b1111100100101010101000010000011001 " -b1111100100101010101000010000011001 g -#7434 -b1111100100101010101000010000011010 " -b1111100100101010101000010000011010 g -#7435 -b1111100100101010101000010000011011 " -b1111100100101010101000010000011011 g -#7436 -b1111100100101010101000010000011100 " -b1111100100101010101000010000011100 g -#7437 -b1111100100101010101000010000011101 " -b1111100100101010101000010000011101 g -#7438 -b1111100100101010101000010000011110 " -b1111100100101010101000010000011110 g -#7439 -b1111100100101010101000010000011111 " -b1111100100101010101000010000011111 g -#7440 -b1111100100101010101000010000100000 " -b11110 b -b1111100100101010101000010000100000 g -b1111 I" -#7441 -b1111100100101010101000010000100001 " -b11101 b -b1111100100101010101000010000100001 g -b10000 I" -#7442 -b1111100100101010101000010000100010 " -b11100 b -b1111100100101010101000010000100010 g -b0 I" -#7443 -b1111100100101010101000010000100011 " -b1111100100101010101000010000100011 g -#7444 -b1111100100101010101000010000100100 " -b1111100100101010101000010000100100 g -#7445 -b1111100100101010101000010000100101 " -b1111100100101010101000010000100101 g -#7446 -b1111100100101010101000010000100110 " -b1111100100101010101000010000100110 g -#7447 -b1111100100101010101000010000100111 " -b1111100100101010101000010000100111 g -#7448 -b1111100100101010101000010000101000 " -b1111100100101010101000010000101000 g -#7449 -b1111100100101010101000010000101001 " -b1111100100101010101000010000101001 g -#7450 -b1111100100101010101000010000101010 " -b1111100100101010101000010000101010 g -#7451 -b1111100100101010101000010000101011 " -b1111100100101010101000010000101011 g -#7452 -b1111100100101010101000010000101100 " -b1111100100101010101000010000101100 g -#7453 -b1111100100101010101000010000101101 " -b1111100100101010101000010000101101 g -#7454 -b1111100100101010101000010000101110 " -b1111100100101010101000010000101110 g -#7455 -b1111100100101010101000010000101111 " -b1111100100101010101000010000101111 g -#7456 -b1111100100101010101000010000110000 " -b11110 b -b1111100100101010101000010000110000 g -b1111 I" -#7457 -b1111100100101010101000010000110001 " -b11101 b -b1111100100101010101000010000110001 g -b10000 I" -#7458 -b1111100100101010101000010000110010 " -b11100 b -b1111100100101010101000010000110010 g -b0 I" -#7459 -b1111100100101010101000010000110011 " -b1111100100101010101000010000110011 g -#7460 -b1111100100101010101000010000110100 " -b1111100100101010101000010000110100 g -#7461 -b1111100100101010101000010000110101 " -b1111100100101010101000010000110101 g -#7462 -b1111100100101010101000010000110110 " -b1111100100101010101000010000110110 g -#7463 -b1111100100101010101000010000110111 " -b1111100100101010101000010000110111 g -#7464 -b1111100100101010101000010000111000 " -b1111100100101010101000010000111000 g -#7465 -b1111100100101010101000010000111001 " -b1111100100101010101000010000111001 g -#7466 -b1111100100101010101000010000111010 " -b1111100100101010101000010000111010 g -#7467 -b1111100100101010101000010000111011 " -b1111100100101010101000010000111011 g -#7468 -b1111100100101010101000010000111100 " -b1111100100101010101000010000111100 g -#7469 -b1111100100101010101000010000111101 " -b1111100100101010101000010000111101 g -#7470 -b1111100100101010101000010000111110 " -b1111100100101010101000010000111110 g -#7471 -b1111100100101010101000010000111111 " -b1111100100101010101000010000111111 g -#7472 -b1111100100101010101000010001000000 " -b11110 b -b1111100100101010101000010001000000 g -#7473 -b1111100100101010101000010001000001 " -b11101 b -b1111100100101010101000010001000001 g -b10000 I" -#7474 -b1111100100101010101000010001000010 " -b11100 b -b1111100100101010101000010001000010 g -b0 I" -#7475 -b1111100100101010101000010001000011 " -b1111100100101010101000010001000011 g -#7476 -b1111100100101010101000010001000100 " -b1111100100101010101000010001000100 g -#7477 -b1111100100101010101000010001000101 " -b1111100100101010101000010001000101 g -#7478 -b1111100100101010101000010001000110 " -b1111100100101010101000010001000110 g -#7479 -b1111100100101010101000010001000111 " -b1111100100101010101000010001000111 g -#7480 -b1111100100101010101000010001001000 " -b1111100100101010101000010001001000 g -#7481 -b1111100100101010101000010001001001 " -b1111100100101010101000010001001001 g -#7482 -b1111100100101010101000010001001010 " -b1111100100101010101000010001001010 g -#7483 -b1111100100101010101000010001001011 " -b1111100100101010101000010001001011 g -#7484 -b1111100100101010101000010001001100 " -b1111100100101010101000010001001100 g -#7485 -b1111100100101010101000010001001101 " -b1111100100101010101000010001001101 g -#7486 -b1111100100101010101000010001001110 " -b1111100100101010101000010001001110 g -#7487 -b1111100100101010101000010001001111 " -b1111100100101010101000010001001111 g -#7488 -b1111100100101010101000010001010000 " -b11110 b -b1111100100101010101000010001010000 g -b1111 I" -#7489 -b1111100100101010101000010001010001 " -b11101 b -b1111100100101010101000010001010001 g -b10000 I" -#7490 -b1111100100101010101000010001010010 " -b11100 b -b1111100100101010101000010001010010 g -b0 I" -#7491 -b1111100100101010101000010001010011 " -b1111100100101010101000010001010011 g -#7492 -b1111100100101010101000010001010100 " -b1111100100101010101000010001010100 g -#7493 -b1111100100101010101000010001010101 " -b1111100100101010101000010001010101 g -#7494 -b1111100100101010101000010001010110 " -b1111100100101010101000010001010110 g -#7495 -b1111100100101010101000010001010111 " -b1111100100101010101000010001010111 g -#7496 -b1111100100101010101000010001011000 " -b1111100100101010101000010001011000 g -#7497 -b1111100100101010101000010001011001 " -b1111100100101010101000010001011001 g -#7498 -b1111100100101010101000010001011010 " -b1111100100101010101000010001011010 g -#7499 -b1111100100101010101000010001011011 " -b1111100100101010101000010001011011 g -#7500 -b1111100100101010101000010001011100 " -b1111100100101010101000010001011100 g -#7501 -b1111100100101010101000010001011101 " -b1111100100101010101000010001011101 g -#7502 -b1111100100101010101000010001011110 " -b1111100100101010101000010001011110 g -#7503 -b1111100100101010101000010001011111 " -b1111100100101010101000010001011111 g -#7504 -b1111100100101010101000010001100000 " -b11110 b -b1111100100101010101000010001100000 g -b1111 I" -#7505 -b1111100100101010101000010001100001 " -b11101 b -b1111100100101010101000010001100001 g -b10000 I" -#7506 -b1111100100101010101000010001100010 " -b11100 b -b0 I" -#7507 -b1111100100101010101000010001100011 " -b1111100100101010101000010001100010 g -#7508 -b1111100100101010101000010001100100 " -b1111100100101010101000010001100011 g -#7509 -b1111100100101010101000010001100101 " -b1111100100101010101000010001100100 g -#7510 -b1111100100101010101000010001100110 " -b1111100100101010101000010001100101 g -#7511 -b1111100100101010101000010001100111 " -b1111100100101010101000010001100110 g -#7512 -b1111100100101010101000010001101000 " -b1111100100101010101000010001100111 g -#7513 -b1111100100101010101000010001101001 " -b1111100100101010101000010001101000 g -#7514 -b1111100100101010101000010001101010 " -b1111100100101010101000010001101001 g -#7515 -b1111100100101010101000010001101011 " -b1111100100101010101000010001101010 g -#7516 -b1111100100101010101000010001101100 " -b1111100100101010101000010001101011 g -#7517 -b1111100100101010101000010001101101 " -b1111100100101010101000010001101100 g -#7518 -b1111100100101010101000010001101110 " -b1111100100101010101000010001101101 g -#7519 -b1111100100101010101000010001101111 " -b1111100100101010101000010001101110 g -#7520 -b1111100100101010101000010001110000 " -b11110 b -b1111100100101010101000010001101111 g -#7521 -b1111100100101010101000010001110001 " -b11101 b -b1111100100101010101000010001110000 g -b1111 I" -#7522 -b1111100100101010101000010001110010 " -b11100 b -b1111100100101010101000010001110001 g -b10000 I" -#7523 -b1111100100101010101000010001110011 " -b1111100100101010101000010001110010 g -b0 I" -#7524 -b1111100100101010101000010001110100 " -b1111100100101010101000010001110011 g -#7525 -b1111100100101010101000010001110101 " -b1111100100101010101000010001110100 g -#7526 -b1111100100101010101000010001110110 " -b1111100100101010101000010001110101 g -#7527 -b1111100100101010101000010001110111 " -b1111100100101010101000010001110110 g -#7528 -b1111100100101010101000010001111000 " -b1111100100101010101000010001110111 g -#7529 -b1111100100101010101000010001111001 " -b1111100100101010101000010001111000 g -#7530 -b1111100100101010101000010001111010 " -b1111100100101010101000010001111001 g -#7531 -b1111100100101010101000010001111011 " -b1111100100101010101000010001111010 g -#7532 -b1111100100101010101000010001111100 " -b1111100100101010101000010001111011 g -#7533 -b1111100100101010101000010001111101 " -b1111100100101010101000010001111100 g -#7534 -b1111100100101010101000010001111110 " -b1111100100101010101000010001111101 g -#7535 -b1111100100101010101000010001111111 " -b1111100100101010101000010001111110 g -#7536 -b1111100100101010101000010010000000 " -b11110 b -b1111100100101010101000010001111111 g -#7537 -b1111100100101010101000010010000001 " -b11101 b -b1111100100101010101000010010000000 g -#7538 -b1111100100101010101000010010000010 " -b11100 b -b1111100100101010101000010010000001 g -b10000 I" -#7539 -b1111100100101010101000010010000011 " -b1111100100101010101000010010000010 g -b0 I" -#7540 -b1111100100101010101000010010000100 " -b1111100100101010101000010010000011 g -#7541 -b1111100100101010101000010010000101 " -b1111100100101010101000010010000100 g -#7542 -b1111100100101010101000010010000110 " -b1111100100101010101000010010000101 g -#7543 -b1111100100101010101000010010000111 " -b1111100100101010101000010010000110 g -#7544 -b1111100100101010101000010010001000 " -b1111100100101010101000010010000111 g -#7545 -b1111100100101010101000010010001001 " -b1111100100101010101000010010001000 g -#7546 -b1111100100101010101000010010001010 " -b1111100100101010101000010010001001 g -#7547 -b1111100100101010101000010010001011 " -b1111100100101010101000010010001010 g -#7548 -b1111100100101010101000010010001100 " -b1111100100101010101000010010001011 g -#7549 -b1111100100101010101000010010001101 " -b1111100100101010101000010010001100 g -#7550 -b1111100100101010101000010010001110 " -b1111100100101010101000010010001101 g -#7551 -b1111100100101010101000010010001111 " -b1111100100101010101000010010001110 g -#7552 -b1111100100101010101000010010010000 " -b11110 b -b1111100100101010101000010010001111 g -#7553 -b1111100100101010101000010010010001 " -b11101 b -b1111100100101010101000010010010000 g -b1111 I" -#7554 -b1111100100101010101000010010010010 " -b11100 b -b1111100100101010101000010010010001 g -b10000 I" -#7555 -b1111100100101010101000010010010011 " -b1111100100101010101000010010010010 g -b0 I" -#7556 -b1111100100101010101000010010010100 " -b1111100100101010101000010010010011 g -#7557 -b1111100100101010101000010010010101 " -b1111100100101010101000010010010100 g -#7558 -b1111100100101010101000010010010110 " -b1111100100101010101000010010010101 g -#7559 -b1111100100101010101000010010010111 " -b1111100100101010101000010010010110 g -#7560 -b1111100100101010101000010010011000 " -b1111100100101010101000010010010111 g -#7561 -b1111100100101010101000010010011001 " -b1111100100101010101000010010011000 g -#7562 -b1111100100101010101000010010011010 " -b1111100100101010101000010010011001 g -#7563 -b1111100100101010101000010010011011 " -b1111100100101010101000010010011010 g -#7564 -b1111100100101010101000010010011100 " -b1111100100101010101000010010011011 g -#7565 -b1111100100101010101000010010011101 " -b1111100100101010101000010010011100 g -#7566 -b1111100100101010101000010010011110 " -b1111100100101010101000010010011101 g -#7567 -b1111100100101010101000010010011111 " -b1111100100101010101000010010011110 g -#7568 -b1111100100101010101000010010100000 " -b11110 b -b1111100100101010101000010010011111 g -#7569 -b1111100100101010101000010010100001 " -b11101 b -b1111100100101010101000010010100000 g -b1111 I" -#7570 -b1111100100101010101000010010100010 " -b11100 b -b1111100100101010101000010010100001 g -b10000 I" -#7571 -b1111100100101010101000010010100011 " -b1111100100101010101000010010100010 g -b0 I" -#7572 -b1111100100101010101000010010100100 " -b1111100100101010101000010010100011 g -#7573 -b1111100100101010101000010010100101 " -b1111100100101010101000010010100100 g -#7574 -b1111100100101010101000010010100110 " -b1111100100101010101000010010100101 g -#7575 -b1111100100101010101000010010100111 " -b1111100100101010101000010010100110 g -#7576 -b1111100100101010101000010010101000 " -b1111100100101010101000010010100111 g -#7577 -b1111100100101010101000010010101001 " -b1111100100101010101000010010101000 g -#7578 -b1111100100101010101000010010101010 " -b1111100100101010101000010010101001 g -#7579 -b1111100100101010101000010010101011 " -b1111100100101010101000010010101010 g -#7580 -b1111100100101010101000010010101100 " -b1111100100101010101000010010101011 g -#7581 -b1111100100101010101000010010101101 " -b1111100100101010101000010010101100 g -#7582 -b1111100100101010101000010010101110 " -b1111100100101010101000010010101101 g -#7583 -b1111100100101010101000010010101111 " -b1111100100101010101000010010101110 g -#7584 -b1111100100101010101000010010110000 " -b11110 b -b1111100100101010101000010010101111 g -#7585 -b1111100100101010101000010010110001 " -b11101 b -b1111100100101010101000010010110000 g -#7586 -b1111100100101010101000010010110010 " -b11100 b -b1111100100101010101000010010110001 g -b10000 I" -#7587 -b1111100100101010101000010010110011 " -b1111100100101010101000010010110010 g -b0 I" -#7588 -b1111100100101010101000010010110100 " -b1111100100101010101000010010110011 g -#7589 -b1111100100101010101000010010110101 " -b1111100100101010101000010010110100 g -#7590 -b1111100100101010101000010010110110 " -b1111100100101010101000010010110101 g -#7591 -b1111100100101010101000010010110111 " -b1111100100101010101000010010110110 g -#7592 -b1111100100101010101000010010111000 " -b1111100100101010101000010010110111 g -#7593 -b1111100100101010101000010010111001 " -b1111100100101010101000010010111000 g -#7594 -b1111100100101010101000010010111010 " -b1111100100101010101000010010111001 g -#7595 -b1111100100101010101000010010111011 " -b1111100100101010101000010010111010 g -#7596 -b1111100100101010101000010010111100 " -b1111100100101010101000010010111011 g -#7597 -b1111100100101010101000010010111101 " -b1111100100101010101000010010111100 g -#7598 -b1111100100101010101000010010111110 " -b1111100100101010101000010010111101 g -#7599 -b1111100100101010101000010010111111 " -b1111100100101010101000010010111110 g -#7600 -b1111100100101010101000010011000000 " -b11110 b -b1111100100101010101000010010111111 g -#7601 -b1111100100101010101000010011000001 " -b11101 b -b1111100100101010101000010011000000 g -#7602 -b1111100100101010101000010011000010 " -b11100 b -b1111100100101010101000010011000001 g -b10000 I" -#7603 -b1111100100101010101000010011000011 " -b1111100100101010101000010011000010 g -b0 I" -#7604 -b1111100100101010101000010011000100 " -b1111100100101010101000010011000011 g -#7605 -b1111100100101010101000010011000101 " -b1111100100101010101000010011000100 g -#7606 -b1111100100101010101000010011000110 " -b1111100100101010101000010011000101 g -#7607 -b1111100100101010101000010011000111 " -b1111100100101010101000010011000110 g -#7608 -b1111100100101010101000010011001000 " -b1111100100101010101000010011000111 g -#7609 -b1111100100101010101000010011001001 " -b1111100100101010101000010011001000 g -#7610 -b1111100100101010101000010011001010 " -b1111100100101010101000010011001001 g -#7611 -b1111100100101010101000010011001011 " -b1111100100101010101000010011001010 g -#7612 -b1111100100101010101000010011001100 " -b1111100100101010101000010011001011 g -#7613 -b1111100100101010101000010011001101 " -b1111100100101010101000010011001100 g -#7614 -b1111100100101010101000010011001110 " -b1111100100101010101000010011001101 g -#7615 -b1111100100101010101000010011001111 " -b1111100100101010101000010011001110 g -#7616 -b1111100100101010101000010011010000 " -b11110 b -b1111100100101010101000010011001111 g -#7617 -b1111100100101010101000010011010001 " -b11101 b -b1111100100101010101000010011010000 g -#7618 -b1111100100101010101000010011010010 " -b11100 b -b1111100100101010101000010011010001 g -b10000 I" -#7619 -b1111100100101010101000010011010011 " -b1111100100101010101000010011010010 g -b0 I" -#7620 -b1111100100101010101000010011010100 " -b1111100100101010101000010011010011 g -#7621 -b1111100100101010101000010011010101 " -b1111100100101010101000010011010100 g -#7622 -b1111100100101010101000010011010110 " -b1111100100101010101000010011010101 g -#7623 -b1111100100101010101000010011010111 " -b1111100100101010101000010011010110 g -#7624 -b1111100100101010101000010011011000 " -b1111100100101010101000010011010111 g -#7625 -b1111100100101010101000010011011001 " -b1111100100101010101000010011011000 g -#7626 -b1111100100101010101000010011011010 " -b1111100100101010101000010011011001 g -#7627 -b1111100100101010101000010011011011 " -b1111100100101010101000010011011010 g -#7628 -b1111100100101010101000010011011100 " -b1111100100101010101000010011011011 g -#7629 -b1111100100101010101000010011011101 " -b1111100100101010101000010011011100 g -#7630 -b1111100100101010101000010011011110 " -b1111100100101010101000010011011101 g -#7631 -b1111100100101010101000010011011111 " -b1111100100101010101000010011011110 g -#7632 -b1111100100101010101000010011100000 " -b11110 b -b1111100100101010101000010011011111 g -#7633 -b1111100100101010101000010011100001 " -b11101 b -b1111100100101010101000010011100000 g -b1111 I" -#7634 -b1111100100101010101000010011100010 " -b11100 b -b1111100100101010101000010011100001 g -b10000 I" -#7635 -b1111100100101010101000010011100011 " -b1111100100101010101000010011100010 g -b0 I" -#7636 -b1111100100101010101000010011100100 " -b1111100100101010101000010011100011 g -#7637 -b1111100100101010101000010011100101 " -b1111100100101010101000010011100100 g -#7638 -b1111100100101010101000010011100110 " -b1111100100101010101000010011100101 g -#7639 -b1111100100101010101000010011100111 " -b1111100100101010101000010011100110 g -#7640 -b1111100100101010101000010011101000 " -b1111100100101010101000010011100111 g -#7641 -b1111100100101010101000010011101001 " -b1111100100101010101000010011101000 g -#7642 -b1111100100101010101000010011101010 " -b1111100100101010101000010011101001 g -#7643 -b1111100100101010101000010011101011 " -b1111100100101010101000010011101010 g -#7644 -b1111100100101010101000010011101100 " -b1111100100101010101000010011101011 g -#7645 -b1111100100101010101000010011101101 " -b1111100100101010101000010011101100 g -#7646 -b1111100100101010101000010011101110 " -b1111100100101010101000010011101101 g -#7647 -b1111100100101010101000010011101111 " -b1111100100101010101000010011101110 g -#7648 -b1111100100101010101000010011110000 " -b11110 b -b1111100100101010101000010011101111 g -#7649 -b1111100100101010101000010011110001 " -b11101 b -b1111100100101010101000010011110000 g -b1111 I" -#7650 -b1111100100101010101000010011110010 " -b11100 b -b1111100100101010101000010011110001 g -b10000 I" -#7651 -b1111100100101010101000010011110011 " -b1111100100101010101000010011110010 g -b0 I" -#7652 -b1111100100101010101000010011110100 " -b1111100100101010101000010011110011 g -#7653 -b1111100100101010101000010011110101 " -b1111100100101010101000010011110100 g -#7654 -b1111100100101010101000010011110110 " -b1111100100101010101000010011110101 g -#7655 -b1111100100101010101000010011110111 " -b1111100100101010101000010011110110 g -#7656 -b1111100100101010101000010011111000 " -b1111100100101010101000010011110111 g -#7657 -b1111100100101010101000010011111001 " -b1111100100101010101000010011111000 g -#7658 -b1111100100101010101000010011111010 " -b1111100100101010101000010011111001 g -#7659 -b1111100100101010101000010011111011 " -b1111100100101010101000010011111010 g -#7660 -b1111100100101010101000010011111100 " -b1111100100101010101000010011111011 g -#7661 -b1111100100101010101000010011111101 " -b1111100100101010101000010011111100 g -#7662 -b1111100100101010101000010011111110 " -b1111100100101010101000010011111101 g -#7663 -b1111100100101010101000010011111111 " -b1111100100101010101000010011111110 g -#7664 -b1111100100101010101000010100000000 " -b11110 b -b1111100100101010101000010011111111 g -#7665 -b1111100100101010101000010100000001 " -b11101 b -b1111100100101010101000010100000000 g -b1111 I" -#7666 -b1111100100101010101000010100000010 " -b11100 b -b1111100100101010101000010100000001 g -b10000 I" -#7667 -b1111100100101010101000010100000011 " -b1111100100101010101000010100000010 g -b0 I" -#7668 -b1111100100101010101000010100000100 " -b1111100100101010101000010100000011 g -#7669 -b1111100100101010101000010100000101 " -b1111100100101010101000010100000100 g -#7670 -b1111100100101010101000010100000110 " -b1111100100101010101000010100000101 g -#7671 -b1111100100101010101000010100000111 " -b1111100100101010101000010100000110 g -#7672 -b1111100100101010101000010100001000 " -b1111100100101010101000010100000111 g -#7673 -b1111100100101010101000010100001001 " -b1111100100101010101000010100001000 g -#7674 -b1111100100101010101000010100001010 " -b1111100100101010101000010100001001 g -#7675 -b1111100100101010101000010100001011 " -b1111100100101010101000010100001010 g -#7676 -b1111100100101010101000010100001100 " -b1111100100101010101000010100001011 g -#7677 -b1111100100101010101000010100001101 " -b1111100100101010101000010100001100 g -#7678 -b1111100100101010101000010100001110 " -b1111100100101010101000010100001101 g -#7679 -b1111100100101010101000010100001111 " -b1111100100101010101000010100001110 g -#7680 -b1111100100101010101000010100010000 " -b11110 b -b1111100100101010101000010100001111 g -#7681 -b1111100100101010101000010100010001 " -b11101 b -b1111100100101010101000010100010000 g -b1111 I" -#7682 -b1111100100101010101000010100010010 " -b11100 b -b1111100100101010101000010100010001 g -b10000 I" -#7683 -b1111100100101010101000010100010011 " -b1111100100101010101000010100010010 g -b0 I" -#7684 -b1111100100101010101000010100010100 " -b1111100100101010101000010100010011 g -#7685 -b1111100100101010101000010100010101 " -b1111100100101010101000010100010100 g -#7686 -b1111100100101010101000010100010110 " -b1111100100101010101000010100010101 g -#7687 -b1111100100101010101000010100010111 " -b1111100100101010101000010100010110 g -#7688 -b1111100100101010101000010100011000 " -b1111100100101010101000010100010111 g -#7689 -b1111100100101010101000010100011001 " -b1111100100101010101000010100011000 g -#7690 -b1111100100101010101000010100011010 " -b1111100100101010101000010100011001 g -#7691 -b1111100100101010101000010100011011 " -b1111100100101010101000010100011010 g -#7692 -b1111100100101010101000010100011100 " -b1111100100101010101000010100011011 g -#7693 -b1111100100101010101000010100011101 " -b1111100100101010101000010100011100 g -#7694 -b1111100100101010101000010100011110 " -b1111100100101010101000010100011101 g -#7695 -b1111100100101010101000010100011111 " -b1111100100101010101000010100011110 g -#7696 -b1111100100101010101000010100100000 " -b11110 b -b1111100100101010101000010100011111 g -#7697 -b1111100100101010101000010100100001 " -b11101 b -b1111100100101010101000010100100000 g -b1111 I" -#7698 -b1111100100101010101000010100100010 " -b11100 b -b1111100100101010101000010100100001 g -b10000 I" -#7699 -b1111100100101010101000010100100011 " -b1111100100101010101000010100100010 g -b0 I" -#7700 -b1111100100101010101000010100100100 " -b1111100100101010101000010100100011 g -#7701 -b1111100100101010101000010100100101 " -b1111100100101010101000010100100100 g -#7702 -b1111100100101010101000010100100110 " -b1111100100101010101000010100100101 g -#7703 -b1111100100101010101000010100100111 " -b1111100100101010101000010100100110 g -#7704 -b1111100100101010101000010100101000 " -b1111100100101010101000010100100111 g -#7705 -b1111100100101010101000010100101001 " -b1111100100101010101000010100101000 g -#7706 -b1111100100101010101000010100101010 " -b1111100100101010101000010100101001 g -#7707 -b1111100100101010101000010100101011 " -b1111100100101010101000010100101010 g -#7708 -b1111100100101010101000010100101100 " -b1111100100101010101000010100101011 g -#7709 -b1111100100101010101000010100101101 " -b1111100100101010101000010100101100 g -#7710 -b1111100100101010101000010100101110 " -b1111100100101010101000010100101101 g -#7711 -b1111100100101010101000010100101111 " -b1111100100101010101000010100101110 g -#7712 -b1111100100101010101000010100110000 " -b11110 b -b1111100100101010101000010100101111 g -#7713 -b1111100100101010101000010100110001 " -b11101 b -b1111100100101010101000010100110000 g -b1111 I" -#7714 -b1111100100101010101000010100110010 " -b11100 b -b1111100100101010101000010100110001 g -b10000 I" -#7715 -b1111100100101010101000010100110011 " -b1111100100101010101000010100110010 g -b0 I" -#7716 -b1111100100101010101000010100110100 " -b1111100100101010101000010100110011 g -#7717 -b1111100100101010101000010100110101 " -b1111100100101010101000010100110100 g -#7718 -b1111100100101010101000010100110110 " -b1111100100101010101000010100110101 g -#7719 -b1111100100101010101000010100110111 " -b1111100100101010101000010100110110 g -#7720 -b1111100100101010101000010100111000 " -b1111100100101010101000010100110111 g -#7721 -b1111100100101010101000010100111001 " -b1111100100101010101000010100111000 g -#7722 -b1111100100101010101000010100111010 " -b1111100100101010101000010100111001 g -#7723 -b1111100100101010101000010100111011 " -b1111100100101010101000010100111010 g -#7724 -b1111100100101010101000010100111100 " -b1111100100101010101000010100111011 g -#7725 -b1111100100101010101000010100111101 " -b1111100100101010101000010100111100 g -#7726 -b1111100100101010101000010100111110 " -b1111100100101010101000010100111101 g -#7727 -b1111100100101010101000010100111111 " -b1111100100101010101000010100111110 g -#7728 -b1111100100101010101000010101000000 " -b11110 b -b1111100100101010101000010100111111 g -#7729 -b1111100100101010101000010101000001 " -b11101 b -b1111100100101010101000010101000000 g -b1111 I" -#7730 -b1111100100101010101000010101000010 " -b11100 b -b1111100100101010101000010101000001 g -b10000 I" -#7731 -b1111100100101010101000010101000011 " -b1111100100101010101000010101000010 g -b0 I" -#7732 -b1111100100101010101000010101000100 " -b1111100100101010101000010101000011 g -#7733 -b1111100100101010101000010101000101 " -b1111100100101010101000010101000100 g -#7734 -b1111100100101010101000010101000110 " -b1111100100101010101000010101000101 g -#7735 -b1111100100101010101000010101000111 " -b1111100100101010101000010101000110 g -#7736 -b1111100100101010101000010101001000 " -b1111100100101010101000010101000111 g -#7737 -b1111100100101010101000010101001001 " -b1111100100101010101000010101001000 g -#7738 -b1111100100101010101000010101001010 " -b1111100100101010101000010101001001 g -#7739 -b1111100100101010101000010101001011 " -b1111100100101010101000010101001010 g -#7740 -b1111100100101010101000010101001100 " -b1111100100101010101000010101001011 g -#7741 -b1111100100101010101000010101001101 " -b1111100100101010101000010101001100 g -#7742 -b1111100100101010101000010101001110 " -b1111100100101010101000010101001101 g -#7743 -b1111100100101010101000010101001111 " -b1111100100101010101000010101001110 g -#7744 -b1111100100101010101000010101010000 " -b11110 b -b1111100100101010101000010101001111 g -#7745 -b1111100100101010101000010101010001 " -b11101 b -b1111100100101010101000010101010000 g -b1111 I" -#7746 -b1111100100101010101000010101010010 " -b11100 b -b1111100100101010101000010101010001 g -b10000 I" -#7747 -b1111100100101010101000010101010011 " -b1111100100101010101000010101010010 g -b0 I" -#7748 -b1111100100101010101000010101010100 " -b1111100100101010101000010101010011 g -#7749 -b1111100100101010101000010101010101 " -b1111100100101010101000010101010100 g -#7750 -b1111100100101010101000010101010110 " -b1111100100101010101000010101010101 g -#7751 -b1111100100101010101000010101010111 " -b1111100100101010101000010101010110 g -#7752 -b1111100100101010101000010101011000 " -b1111100100101010101000010101010111 g -#7753 -b1111100100101010101000010101011001 " -b1111100100101010101000010101011000 g -#7754 -b1111100100101010101000010101011010 " -b1111100100101010101000010101011001 g -#7755 -b1111100100101010101000010101011011 " -b1111100100101010101000010101011010 g -#7756 -b1111100100101010101000010101011100 " -b1111100100101010101000010101011011 g -#7757 -b1111100100101010101000010101011101 " -b1111100100101010101000010101011100 g -#7758 -b1111100100101010101000010101011110 " -b1111100100101010101000010101011101 g -#7759 -b1111100100101010101000010101011111 " -b1111100100101010101000010101011110 g -#7760 -b1111100100101010101000010101100000 " -b11110 b -b1111100100101010101000010101011111 g -#7761 -b1111100100101010101000010101100001 " -b11101 b -b1111100100101010101000010101100000 g -#7762 -b1111100100101010101000010101100010 " -b11100 b -b1111100100101010101000010101100001 g -b10000 I" -#7763 -b1111100100101010101000010101100011 " -b1111100100101010101000010101100010 g -b0 I" -#7764 -b1111100100101010101000010101100100 " -b1111100100101010101000010101100011 g -#7765 -b1111100100101010101000010101100101 " -b1111100100101010101000010101100100 g -#7766 -b1111100100101010101000010101100110 " -b1111100100101010101000010101100101 g -#7767 -b1111100100101010101000010101100111 " -b1111100100101010101000010101100110 g -#7768 -b1111100100101010101000010101101000 " -b1111100100101010101000010101100111 g -#7769 -b1111100100101010101000010101101001 " -b1111100100101010101000010101101000 g -#7770 -b1111100100101010101000010101101010 " -b1111100100101010101000010101101001 g -#7771 -b1111100100101010101000010101101011 " -b1111100100101010101000010101101010 g -#7772 -b1111100100101010101000010101101100 " -b1111100100101010101000010101101011 g -#7773 -b1111100100101010101000010101101101 " -b1111100100101010101000010101101100 g -#7774 -b1111100100101010101000010101101110 " -b1111100100101010101000010101101101 g -#7775 -b1111100100101010101000010101101111 " -b1111100100101010101000010101101110 g -#7776 -b1111100100101010101000010101110000 " -b11110 b -b1111100100101010101000010101101111 g -#7777 -b1111100100101010101000010101110001 " -b11101 b -b1111100100101010101000010101110000 g -#7778 -b1111100100101010101000010101110010 " -b11100 b -b1111100100101010101000010101110001 g -b10000 I" -#7779 -b1111100100101010101000010101110011 " -b1111100100101010101000010101110010 g -b0 I" -#7780 -b1111100100101010101000010101110100 " -b1111100100101010101000010101110011 g -#7781 -b1111100100101010101000010101110101 " -b1111100100101010101000010101110100 g -#7782 -b1111100100101010101000010101110110 " -b1111100100101010101000010101110101 g -#7783 -b1111100100101010101000010101110111 " -b1111100100101010101000010101110110 g -#7784 -b1111100100101010101000010101111000 " -b1111100100101010101000010101110111 g -#7785 -b1111100100101010101000010101111001 " -b1111100100101010101000010101111000 g -#7786 -b1111100100101010101000010101111010 " -b1111100100101010101000010101111001 g -#7787 -b1111100100101010101000010101111011 " -b1111100100101010101000010101111010 g -#7788 -b1111100100101010101000010101111100 " -b1111100100101010101000010101111011 g -#7789 -b1111100100101010101000010101111101 " -b1111100100101010101000010101111100 g -#7790 -b1111100100101010101000010101111110 " -b1111100100101010101000010101111101 g -#7791 -b1111100100101010101000010101111111 " -b1111100100101010101000010101111110 g -#7792 -b1111100100101010101000010110000000 " -b11110 b -b1111100100101010101000010101111111 g -#7793 -b1111100100101010101000010110000001 " -b11101 b -b1111100100101010101000010110000000 g -b1111 I" -#7794 -b1111100100101010101000010110000010 " -b11100 b -b1111100100101010101000010110000001 g -b10000 I" -#7795 -b1111100100101010101000010110000011 " -b1111100100101010101000010110000010 g -b0 I" -#7796 -b1111100100101010101000010110000100 " -b1111100100101010101000010110000011 g -#7797 -b1111100100101010101000010110000101 " -b1111100100101010101000010110000100 g -#7798 -b1111100100101010101000010110000110 " -b1111100100101010101000010110000101 g -#7799 -b1111100100101010101000010110000111 " -b1111100100101010101000010110000110 g -#7800 -b1111100100101010101000010110001000 " -b1111100100101010101000010110000111 g -#7801 -b1111100100101010101000010110001001 " -b1111100100101010101000010110001000 g -#7802 -b1111100100101010101000010110001010 " -b1111100100101010101000010110001001 g -#7803 -b1111100100101010101000010110001011 " -b1111100100101010101000010110001010 g -#7804 -b1111100100101010101000010110001100 " -b1111100100101010101000010110001011 g -#7805 -b1111100100101010101000010110001101 " -b1111100100101010101000010110001100 g -#7806 -b1111100100101010101000010110001110 " -b1111100100101010101000010110001101 g -#7807 -b1111100100101010101000010110001111 " -b1111100100101010101000010110001110 g -#7808 -b1111100100101010101000010110010000 " -b11110 b -b1111100100101010101000010110001111 g -#7809 -b1111100100101010101000010110010001 " -b11101 b -b1111100100101010101000010110010000 g -b1111 I" -#7810 -b1111100100101010101000010110010010 " -b11100 b -b1111100100101010101000010110010001 g -b10000 I" -#7811 -b1111100100101010101000010110010011 " -b1111100100101010101000010110010010 g -b0 I" -#7812 -b1111100100101010101000010110010100 " -b1111100100101010101000010110010011 g -#7813 -b1111100100101010101000010110010101 " -b1111100100101010101000010110010100 g -#7814 -b1111100100101010101000010110010110 " -b1111100100101010101000010110010101 g -#7815 -b1111100100101010101000010110010111 " -b1111100100101010101000010110010110 g -#7816 -b1111100100101010101000010110011000 " -b1111100100101010101000010110010111 g -#7817 -b1111100100101010101000010110011001 " -b1111100100101010101000010110011000 g -#7818 -b1111100100101010101000010110011010 " -b1111100100101010101000010110011001 g -#7819 -b1111100100101010101000010110011011 " -b1111100100101010101000010110011010 g -#7820 -b1111100100101010101000010110011100 " -b1111100100101010101000010110011011 g -#7821 -b1111100100101010101000010110011101 " -b1111100100101010101000010110011100 g -#7822 -b1111100100101010101000010110011110 " -b1111100100101010101000010110011101 g -#7823 -b1111100100101010101000010110011111 " -b1111100100101010101000010110011110 g -#7824 -b1111100100101010101000010110100000 " -b11110 b -b1111100100101010101000010110011111 g -#7825 -b1111100100101010101000010110100001 " -b11101 b -b1111100100101010101000010110100000 g -b1111 I" -#7826 -b1111100100101010101000010110100010 " -b11100 b -b1111100100101010101000010110100001 g -b10000 I" -#7827 -b1111100100101010101000010110100011 " -b1111100100101010101000010110100010 g -b0 I" -#7828 -b1111100100101010101000010110100100 " -b1111100100101010101000010110100011 g -#7829 -b1111100100101010101000010110100101 " -b1111100100101010101000010110100100 g -#7830 -b1111100100101010101000010110100110 " -b1111100100101010101000010110100101 g -#7831 -b1111100100101010101000010110100111 " -b1111100100101010101000010110100110 g -#7832 -b1111100100101010101000010110101000 " -b1111100100101010101000010110100111 g -#7833 -b1111100100101010101000010110101001 " -b1111100100101010101000010110101000 g -#7834 -b1111100100101010101000010110101010 " -b1111100100101010101000010110101001 g -#7835 -b1111100100101010101000010110101011 " -b1111100100101010101000010110101010 g -#7836 -b1111100100101010101000010110101100 " -b1111100100101010101000010110101011 g -#7837 -b1111100100101010101000010110101101 " -b1111100100101010101000010110101100 g -#7838 -b1111100100101010101000010110101110 " -b1111100100101010101000010110101101 g -#7839 -b1111100100101010101000010110101111 " -b1111100100101010101000010110101110 g -#7840 -b1111100100101010101000010110110000 " -b11110 b -b1111100100101010101000010110101111 g -#7841 -b1111100100101010101000010110110001 " -b11101 b -b1111100100101010101000010110110000 g -b1111 I" -#7842 -b1111100100101010101000010110110010 " -b11100 b -#7843 -b1111100100101010101000010110110011 " -#7844 -b1111100100101010101000010110110100 " -#7845 -b1111100100101010101000010110110101 " -#7846 -b1111100100101010101000010110110110 " -#7847 -b1111100100101010101000010110110111 " -#7848 -b1111100100101010101000010110111000 " -#7849 -b1111100100101010101000010110111001 " -#7850 -b1111100100101010101000010110111010 " -#7851 -b1111100100101010101000010110111011 " -#7852 -b1111100100101010101000010110111100 " -#7853 -b1111100100101010101000010110111101 " -#7854 -b1111100100101010101000010110111110 " -#7855 -b1111100100101010101000010110111111 " -#7856 -b1111100100101010101000010111000000 " -b11110 b -b1111100100101010101000010111000001 g -b10000 I" -#7857 -b1111100100101010101000010111000001 " -b11101 b -b1111100100101010101000010111000010 g -b0 I" -#7858 -b1111100100101010101000010111000010 " -b11100 b -b1111100100101010101000010111000011 g -#7859 -b1111100100101010101000010111000011 " -b1111100100101010101000010111000100 g -#7860 -b1111100100101010101000010111000100 " -b1111100100101010101000010111000101 g -#7861 -b1111100100101010101000010111000101 " -b1111100100101010101000010111000110 g -#7862 -b1111100100101010101000010111000110 " -b1111100100101010101000010111000111 g -#7863 -b1111100100101010101000010111000111 " -b1111100100101010101000010111001000 g -#7864 -b1111100100101010101000010111001000 " -b1111100100101010101000010111001001 g -#7865 -b1111100100101010101000010111001001 " -b1111100100101010101000010111001010 g -#7866 -b1111100100101010101000010111001010 " -b1111100100101010101000010111001011 g -#7867 -b1111100100101010101000010111001011 " -b1111100100101010101000010111001100 g -#7868 -b1111100100101010101000010111001100 " -b1111100100101010101000010111001101 g -#7869 -b1111100100101010101000010111001101 " -b1111100100101010101000010111001110 g -#7870 -b1111100100101010101000010111001110 " -b1111100100101010101000010111001111 g -#7871 -b1111100100101010101000010111001111 " -b1111100100101010101000010111010000 g -#7872 -b1111100100101010101000010111010000 " -b11110 b -#7873 -b1111100100101010101000010111010001 " -b11101 b -b1111100100101010101000010111010001 g -b10000 I" -#7874 -b1111100100101010101000010111010010 " -b11100 b -b1111100100101010101000010111010010 g -b0 I" -#7875 -b1111100100101010101000010111010011 " -b1111100100101010101000010111010011 g -#7876 -b1111100100101010101000010111010100 " -b1111100100101010101000010111010100 g -#7877 -b1111100100101010101000010111010101 " -b1111100100101010101000010111010101 g -#7878 -b1111100100101010101000010111010110 " -b1111100100101010101000010111010110 g -#7879 -b1111100100101010101000010111010111 " -b1111100100101010101000010111010111 g -#7880 -b1111100100101010101000010111011000 " -b1111100100101010101000010111011000 g -#7881 -b1111100100101010101000010111011001 " -b1111100100101010101000010111011001 g -#7882 -b1111100100101010101000010111011010 " -b1111100100101010101000010111011010 g -#7883 -b1111100100101010101000010111011011 " -b1111100100101010101000010111011011 g -#7884 -b1111100100101010101000010111011100 " -b1111100100101010101000010111011100 g -#7885 -b1111100100101010101000010111011101 " -b1111100100101010101000010111011101 g -#7886 -b1111100100101010101000010111011110 " -b1111100100101010101000010111011110 g -#7887 -b1111100100101010101000010111011111 " -b1111100100101010101000010111011111 g -#7888 -b1111100100101010101000010111100000 " -b11110 b -b1111100100101010101000010111100000 g -b1111 I" -#7889 -b1111100100101010101000010111100001 " -b11101 b -b1111100100101010101000010111100001 g -b10000 I" -#7890 -b1111100100101010101000010111100010 " -b11100 b -b1111100100101010101000010111100010 g -b0 I" -#7891 -b1111100100101010101000010111100011 " -b1111100100101010101000010111100011 g -#7892 -b1111100100101010101000010111100100 " -b1111100100101010101000010111100100 g -#7893 -b1111100100101010101000010111100101 " -b1111100100101010101000010111100101 g -#7894 -b1111100100101010101000010111100110 " -b1111100100101010101000010111100110 g -#7895 -b1111100100101010101000010111100111 " -b1111100100101010101000010111100111 g -#7896 -b1111100100101010101000010111101000 " -b1111100100101010101000010111101000 g -#7897 -b1111100100101010101000010111101001 " -b1111100100101010101000010111101001 g -#7898 -b1111100100101010101000010111101010 " -b1111100100101010101000010111101010 g -#7899 -b1111100100101010101000010111101011 " -b1111100100101010101000010111101011 g -#7900 -b1111100100101010101000010111101100 " -b1111100100101010101000010111101100 g -#7901 -b1111100100101010101000010111101101 " -b1111100100101010101000010111101101 g -#7902 -b1111100100101010101000010111101110 " -b1111100100101010101000010111101110 g -#7903 -b1111100100101010101000010111101111 " -b1111100100101010101000010111101111 g -#7904 -b1111100100101010101000010111110000 " -b11110 b -b1111100100101010101000010111110000 g -b1111 I" -#7905 -b1111100100101010101000010111110001 " -b11101 b -b1111100100101010101000010111110001 g -b10000 I" -#7906 -b1111100100101010101000010111110010 " -b11100 b -b0 I" -#7907 -b1111100100101010101000010111110011 " -b1111100100101010101000010111110010 g -#7908 -b1111100100101010101000010111110100 " -b1111100100101010101000010111110011 g -#7909 -b1111100100101010101000010111110101 " -b1111100100101010101000010111110100 g -#7910 -b1111100100101010101000010111110110 " -b1111100100101010101000010111110101 g -#7911 -b1111100100101010101000010111110111 " -b1111100100101010101000010111110110 g -#7912 -b1111100100101010101000010111111000 " -b1111100100101010101000010111110111 g -#7913 -b1111100100101010101000010111111001 " -b1111100100101010101000010111111000 g -#7914 -b1111100100101010101000010111111010 " -b1111100100101010101000010111111001 g -#7915 -b1111100100101010101000010111111011 " -b1111100100101010101000010111111010 g -#7916 -b1111100100101010101000010111111100 " -b1111100100101010101000010111111011 g -#7917 -b1111100100101010101000010111111101 " -b1111100100101010101000010111111100 g -#7918 -b1111100100101010101000010111111110 " -b1111100100101010101000010111111101 g -#7919 -b1111100100101010101000010111111111 " -b1111100100101010101000010111111110 g -#7920 -b1111100100101010101000011000000000 " -b11110 b -b1111100100101010101000010111111111 g -#7921 -b1111100100101010101000011000000001 " -b11101 b -b1111100100101010101000011000000000 g -b1111 I" -#7922 -b1111100100101010101000011000000010 " -b11100 b -b1111100100101010101000011000000001 g -b10000 I" -#7923 -b1111100100101010101000011000000011 " -b1111100100101010101000011000000010 g -b0 I" -#7924 -b1111100100101010101000011000000100 " -b1111100100101010101000011000000011 g -#7925 -b1111100100101010101000011000000101 " -b1111100100101010101000011000000100 g -#7926 -b1111100100101010101000011000000110 " -b1111100100101010101000011000000101 g -#7927 -b1111100100101010101000011000000111 " -b1111100100101010101000011000000110 g -#7928 -b1111100100101010101000011000001000 " -b1111100100101010101000011000000111 g -#7929 -b1111100100101010101000011000001001 " -b1111100100101010101000011000001000 g -#7930 -b1111100100101010101000011000001010 " -b1111100100101010101000011000001001 g -#7931 -b1111100100101010101000011000001011 " -b1111100100101010101000011000001010 g -#7932 -b1111100100101010101000011000001100 " -b1111100100101010101000011000001011 g -#7933 -b1111100100101010101000011000001101 " -b1111100100101010101000011000001100 g -#7934 -b1111100100101010101000011000001110 " -b1111100100101010101000011000001101 g -#7935 -b1111100100101010101000011000001111 " -b1111100100101010101000011000001110 g -#7936 -b1111100100101010101000011000010000 " -b11110 b -b1111100100101010101000011000001111 g -#7937 -b1111100100101010101000011000010001 " -b11101 b -b1111100100101010101000011000010000 g -b1111 I" -#7938 -b1111100100101010101000011000010010 " -b11100 b -b1111100100101010101000011000010001 g -b10000 I" -#7939 -b1111100100101010101000011000010011 " -b1111100100101010101000011000010010 g -b0 I" -#7940 -b1111100100101010101000011000010100 " -b1111100100101010101000011000010011 g -#7941 -b1111100100101010101000011000010101 " -b1111100100101010101000011000010100 g -#7942 -b1111100100101010101000011000010110 " -b1111100100101010101000011000010101 g -#7943 -b1111100100101010101000011000010111 " -b1111100100101010101000011000010110 g -#7944 -b1111100100101010101000011000011000 " -b1111100100101010101000011000010111 g -#7945 -b1111100100101010101000011000011001 " -b1111100100101010101000011000011000 g -#7946 -b1111100100101010101000011000011010 " -b1111100100101010101000011000011001 g -#7947 -b1111100100101010101000011000011011 " -b1111100100101010101000011000011010 g -#7948 -b1111100100101010101000011000011100 " -b1111100100101010101000011000011011 g -#7949 -b1111100100101010101000011000011101 " -b1111100100101010101000011000011100 g -#7950 -b1111100100101010101000011000011110 " -b1111100100101010101000011000011101 g -#7951 -b1111100100101010101000011000011111 " -b1111100100101010101000011000011110 g -#7952 -b1111100100101010101000011000100000 " -b11110 b -b1111100100101010101000011000011111 g -#7953 -b1111100100101010101000011000100001 " -b11101 b -b1111100100101010101000011000100000 g -b1111 I" -#7954 -b1111100100101010101000011000100010 " -b11100 b -b1111100100101010101000011000100001 g -b10000 I" -#7955 -b1111100100101010101000011000100011 " -b1111100100101010101000011000100010 g -b0 I" -#7956 -b1111100100101010101000011000100100 " -b1111100100101010101000011000100011 g -#7957 -b1111100100101010101000011000100101 " -b1111100100101010101000011000100100 g -#7958 -b1111100100101010101000011000100110 " -b1111100100101010101000011000100101 g -#7959 -b1111100100101010101000011000100111 " -b1111100100101010101000011000100110 g -#7960 -b1111100100101010101000011000101000 " -b1111100100101010101000011000100111 g -#7961 -b1111100100101010101000011000101001 " -b1111100100101010101000011000101000 g -#7962 -b1111100100101010101000011000101010 " -b1111100100101010101000011000101001 g -#7963 -b1111100100101010101000011000101011 " -b1111100100101010101000011000101010 g -#7964 -b1111100100101010101000011000101100 " -b1111100100101010101000011000101011 g -#7965 -b1111100100101010101000011000101101 " -b1111100100101010101000011000101100 g -#7966 -b1111100100101010101000011000101110 " -b1111100100101010101000011000101101 g -#7967 -b1111100100101010101000011000101111 " -b1111100100101010101000011000101110 g -#7968 -b1111100100101010101000011000110000 " -b11110 b -b1111100100101010101000011000101111 g -#7969 -b1111100100101010101000011000110001 " -b11101 b -b1111100100101010101000011000110000 g -#7970 -b1111100100101010101000011000110010 " -b11100 b -b1111100100101010101000011000110001 g -b10000 I" -#7971 -b1111100100101010101000011000110011 " -b1111100100101010101000011000110010 g -b0 I" -#7972 -b1111100100101010101000011000110100 " -b1111100100101010101000011000110011 g -#7973 -b1111100100101010101000011000110101 " -b1111100100101010101000011000110100 g -#7974 -b1111100100101010101000011000110110 " -b1111100100101010101000011000110101 g -#7975 -b1111100100101010101000011000110111 " -b1111100100101010101000011000110110 g -#7976 -b1111100100101010101000011000111000 " -b1111100100101010101000011000110111 g -#7977 -b1111100100101010101000011000111001 " -b1111100100101010101000011000111000 g -#7978 -b1111100100101010101000011000111010 " -b1111100100101010101000011000111001 g -#7979 -b1111100100101010101000011000111011 " -b1111100100101010101000011000111010 g -#7980 -b1111100100101010101000011000111100 " -b1111100100101010101000011000111011 g -#7981 -b1111100100101010101000011000111101 " -b1111100100101010101000011000111100 g -#7982 -b1111100100101010101000011000111110 " -b1111100100101010101000011000111101 g -#7983 -b1111100100101010101000011000111111 " -b1111100100101010101000011000111110 g -#7984 -b1111100100101010101000011001000000 " -b11110 b -b1111100100101010101000011000111111 g -#7985 -b1111100100101010101000011001000001 " -b11101 b -b1111100100101010101000011001000000 g -b1111 I" -#7986 -b1111100100101010101000011001000010 " -b11100 b -b1111100100101010101000011001000001 g -b10000 I" -#7987 -b1111100100101010101000011001000011 " -b1111100100101010101000011001000010 g -b0 I" -#7988 -b1111100100101010101000011001000100 " -b1111100100101010101000011001000011 g -#7989 -b1111100100101010101000011001000101 " -b1111100100101010101000011001000100 g -#7990 -b1111100100101010101000011001000110 " -b1111100100101010101000011001000101 g -#7991 -b1111100100101010101000011001000111 " -b1111100100101010101000011001000110 g -#7992 -b1111100100101010101000011001001000 " -b1111100100101010101000011001000111 g -#7993 -b1111100100101010101000011001001001 " -b1111100100101010101000011001001000 g -#7994 -b1111100100101010101000011001001010 " -b1111100100101010101000011001001001 g -#7995 -b1111100100101010101000011001001011 " -b1111100100101010101000011001001010 g -#7996 -b1111100100101010101000011001001100 " -b1111100100101010101000011001001011 g -#7997 -b1111100100101010101000011001001101 " -b1111100100101010101000011001001100 g -#7998 -b1111100100101010101000011001001110 " -b1111100100101010101000011001001101 g -#7999 -b1111100100101010101000011001001111 " -b1111100100101010101000011001001110 g -#8000 -b1111100100101010101000011001010000 " -b11110 b -b1111100100101010101000011001001111 g -#8001 -b1111100100101010101000011001010001 " -b11101 b -b1111100100101010101000011001010000 g -b1111 I" -#8002 -b1111100100101010101000011001010010 " -b11100 b -b1111100100101010101000011001010001 g -b10000 I" -#8003 -b1111100100101010101000011001010011 " -b1111100100101010101000011001010010 g -b0 I" -#8004 -b1111100100101010101000011001010100 " -b1111100100101010101000011001010011 g -#8005 -b1111100100101010101000011001010101 " -b1111100100101010101000011001010100 g -#8006 -b1111100100101010101000011001010110 " -b1111100100101010101000011001010101 g -#8007 -b1111100100101010101000011001010111 " -b1111100100101010101000011001010110 g -#8008 -b1111100100101010101000011001011000 " -b1111100100101010101000011001010111 g -#8009 -b1111100100101010101000011001011001 " -b1111100100101010101000011001011000 g -#8010 -b1111100100101010101000011001011010 " -b1111100100101010101000011001011001 g -#8011 -b1111100100101010101000011001011011 " -b1111100100101010101000011001011010 g -#8012 -b1111100100101010101000011001011100 " -b1111100100101010101000011001011011 g -#8013 -b1111100100101010101000011001011101 " -b1111100100101010101000011001011100 g -#8014 -b1111100100101010101000011001011110 " -b1111100100101010101000011001011101 g -#8015 -b1111100100101010101000011001011111 " -b1111100100101010101000011001011110 g -#8016 -b1111100100101010101000011001100000 " -b11110 b -b1111100100101010101000011001011111 g -#8017 -b1111100100101010101000011001100001 " -b11101 b -b1111100100101010101000011001100000 g -b1111 I" -#8018 -b1111100100101010101000011001100010 " -b11100 b -b1111100100101010101000011001100001 g -b10000 I" -#8019 -b1111100100101010101000011001100011 " -b1111100100101010101000011001100010 g -b0 I" -#8020 -b1111100100101010101000011001100100 " -b1111100100101010101000011001100011 g -#8021 -b1111100100101010101000011001100101 " -b1111100100101010101000011001100100 g -#8022 -b1111100100101010101000011001100110 " -b1111100100101010101000011001100101 g -#8023 -b1111100100101010101000011001100111 " -b1111100100101010101000011001100110 g -#8024 -b1111100100101010101000011001101000 " -b1111100100101010101000011001100111 g -#8025 -b1111100100101010101000011001101001 " -b1111100100101010101000011001101000 g -#8026 -b1111100100101010101000011001101010 " -b1111100100101010101000011001101001 g -#8027 -b1111100100101010101000011001101011 " -b1111100100101010101000011001101010 g -#8028 -b1111100100101010101000011001101100 " -b1111100100101010101000011001101011 g -#8029 -b1111100100101010101000011001101101 " -b1111100100101010101000011001101100 g -#8030 -b1111100100101010101000011001101110 " -b1111100100101010101000011001101101 g -#8031 -b1111100100101010101000011001101111 " -b1111100100101010101000011001101110 g -#8032 -b1111100100101010101000011001110000 " -b11110 b -b1111100100101010101000011001101111 g -#8033 -b1111100100101010101000011001110001 " -b11101 b -b1111100100101010101000011001110000 g -#8034 -b1111100100101010101000011001110010 " -b11100 b -b1111100100101010101000011001110001 g -b10000 I" -#8035 -b1111100100101010101000011001110011 " -b1111100100101010101000011001110010 g -b0 I" -#8036 -b1111100100101010101000011001110100 " -b1111100100101010101000011001110011 g -#8037 -b1111100100101010101000011001110101 " -b1111100100101010101000011001110100 g -#8038 -b1111100100101010101000011001110110 " -b1111100100101010101000011001110101 g -#8039 -b1111100100101010101000011001110111 " -b1111100100101010101000011001110110 g -#8040 -b1111100100101010101000011001111000 " -b1111100100101010101000011001110111 g -#8041 -b1111100100101010101000011001111001 " -b1111100100101010101000011001111000 g -#8042 -b1111100100101010101000011001111010 " -b1111100100101010101000011001111001 g -#8043 -b1111100100101010101000011001111011 " -b1111100100101010101000011001111010 g -#8044 -b1111100100101010101000011001111100 " -b1111100100101010101000011001111011 g -#8045 -b1111100100101010101000011001111101 " -b1111100100101010101000011001111100 g -#8046 -b1111100100101010101000011001111110 " -b1111100100101010101000011001111101 g -#8047 -b1111100100101010101000011001111111 " -b1111100100101010101000011001111110 g -#8048 -b1111100100101010101000011010000000 " -b11110 b -b1111100100101010101000011001111111 g -#8049 -b1111100100101010101000011010000001 " -b11101 b -b1111100100101010101000011010000000 g -b1111 I" -#8050 -b1111100100101010101000011010000010 " -b11100 b -b1111100100101010101000011010000001 g -b10000 I" -#8051 -b1111100100101010101000011010000011 " -b1111100100101010101000011010000010 g -b0 I" -#8052 -b1111100100101010101000011010000100 " -b1111100100101010101000011010000011 g -#8053 -b1111100100101010101000011010000101 " -b1111100100101010101000011010000100 g -#8054 -b1111100100101010101000011010000110 " -b1111100100101010101000011010000101 g -#8055 -b1111100100101010101000011010000111 " -b1111100100101010101000011010000110 g -#8056 -b1111100100101010101000011010001000 " -b1111100100101010101000011010000111 g -#8057 -b1111100100101010101000011010001001 " -b1111100100101010101000011010001000 g -#8058 -b1111100100101010101000011010001010 " -b1111100100101010101000011010001001 g -#8059 -b1111100100101010101000011010001011 " -b1111100100101010101000011010001010 g -#8060 -b1111100100101010101000011010001100 " -b1111100100101010101000011010001011 g -#8061 -b1111100100101010101000011010001101 " -b1111100100101010101000011010001100 g -#8062 -b1111100100101010101000011010001110 " -b1111100100101010101000011010001101 g -#8063 -b1111100100101010101000011010001111 " -b1111100100101010101000011010001110 g -#8064 -b1111100100101010101000011010010000 " -b11110 b -b1111100100101010101000011010001111 g -#8065 -b1111100100101010101000011010010001 " -b11101 b -b1111100100101010101000011010010000 g -b1111 I" -#8066 -b1111100100101010101000011010010010 " -b11100 b -b1111100100101010101000011010010001 g -b10000 I" -#8067 -b1111100100101010101000011010010011 " -b0 I" -#8068 -b1111100100101010101000011010010100 " -b1111100100101010101000011010010010 g -#8069 -b1111100100101010101000011010010101 " -b1111100100101010101000011010010011 g -#8070 -b1111100100101010101000011010010110 " -b1111100100101010101000011010010100 g -#8071 -b1111100100101010101000011010010111 " -b1111100100101010101000011010010101 g -#8072 -b1111100100101010101000011010011000 " -b1111100100101010101000011010010110 g -#8073 -b1111100100101010101000011010011001 " -b1111100100101010101000011010010111 g -#8074 -b1111100100101010101000011010011010 " -b1111100100101010101000011010011000 g -#8075 -b1111100100101010101000011010011011 " -b1111100100101010101000011010011001 g -#8076 -b1111100100101010101000011010011100 " -b1111100100101010101000011010011010 g -#8077 -b1111100100101010101000011010011101 " -b1111100100101010101000011010011011 g -#8078 -b1111100100101010101000011010011110 " -b1111100100101010101000011010011100 g -#8079 -b1111100100101010101000011010011111 " -b1111100100101010101000011010011101 g -#8080 -b1111100100101010101000011010100000 " -b11110 b -b1111100100101010101000011010011110 g -#8081 -b1111100100101010101000011010100001 " -b11101 b -b1111100100101010101000011010011111 g -#8082 -b1111100100101010101000011010100010 " -b11100 b -b1111100100101010101000011010100000 g -b1111 I" -#8083 -b1111100100101010101000011010100011 " -b1111100100101010101000011010100001 g -b10000 I" -#8084 -b1111100100101010101000011010100100 " -b1111100100101010101000011010100010 g -b0 I" -#8085 -b1111100100101010101000011010100101 " -b1111100100101010101000011010100011 g -#8086 -b1111100100101010101000011010100110 " -b1111100100101010101000011010100100 g -#8087 -b1111100100101010101000011010100111 " -b1111100100101010101000011010100101 g -#8088 -b1111100100101010101000011010101000 " -b1111100100101010101000011010100110 g -#8089 -b1111100100101010101000011010101001 " -b1111100100101010101000011010100111 g -#8090 -b1111100100101010101000011010101010 " -b1111100100101010101000011010101000 g -#8091 -b1111100100101010101000011010101011 " -b1111100100101010101000011010101001 g -#8092 -b1111100100101010101000011010101100 " -b1111100100101010101000011010101010 g -#8093 -b1111100100101010101000011010101101 " -b1111100100101010101000011010101011 g -#8094 -b1111100100101010101000011010101110 " -b1111100100101010101000011010101100 g -#8095 -b1111100100101010101000011010101111 " -b1111100100101010101000011010101101 g -#8096 -b1111100100101010101000011010110000 " -b11110 b -b1111100100101010101000011010101110 g -#8097 -b1111100100101010101000011010110001 " -b11101 b -b1111100100101010101000011010101111 g -#8098 -b1111100100101010101000011010110010 " -b11100 b -b1111100100101010101000011010110000 g -#8099 -b1111100100101010101000011010110011 " -b1111100100101010101000011010110001 g -b10000 I" -#8100 -b1111100100101010101000011010110100 " -b1111100100101010101000011010110010 g -b0 I" -#8101 -b1111100100101010101000011010110101 " -b1111100100101010101000011010110011 g -#8102 -b1111100100101010101000011010110110 " -b1111100100101010101000011010110100 g -#8103 -b1111100100101010101000011010110111 " -b1111100100101010101000011010110101 g -#8104 -b1111100100101010101000011010111000 " -b1111100100101010101000011010110110 g -#8105 -b1111100100101010101000011010111001 " -b1111100100101010101000011010110111 g -#8106 -b1111100100101010101000011010111010 " -b1111100100101010101000011010111000 g -#8107 -b1111100100101010101000011010111011 " -b1111100100101010101000011010111001 g -#8108 -b1111100100101010101000011010111100 " -b1111100100101010101000011010111010 g -#8109 -b1111100100101010101000011010111101 " -b1111100100101010101000011010111011 g -#8110 -b1111100100101010101000011010111110 " -b1111100100101010101000011010111100 g -#8111 -b1111100100101010101000011010111111 " -b1111100100101010101000011010111101 g -#8112 -b1111100100101010101000011011000000 " -b11110 b -b1111100100101010101000011010111110 g -#8113 -b1111100100101010101000011011000001 " -b11101 b -b1111100100101010101000011010111111 g -#8114 -b1111100100101010101000011011000010 " -b11100 b -b1111100100101010101000011011000000 g -b1111 I" -#8115 -b1111100100101010101000011011000011 " -b1111100100101010101000011011000001 g -b10000 I" -#8116 -b1111100100101010101000011011000100 " -b1111100100101010101000011011000010 g -b0 I" -#8117 -b1111100100101010101000011011000101 " -b1111100100101010101000011011000011 g -#8118 -b1111100100101010101000011011000110 " -b1111100100101010101000011011000100 g -#8119 -b1111100100101010101000011011000111 " -b1111100100101010101000011011000101 g -#8120 -b1111100100101010101000011011001000 " -b1111100100101010101000011011000110 g -#8121 -b1111100100101010101000011011001001 " -b1111100100101010101000011011000111 g -#8122 -b1111100100101010101000011011001010 " -b1111100100101010101000011011001000 g -#8123 -b1111100100101010101000011011001011 " -b1111100100101010101000011011001001 g -#8124 -b1111100100101010101000011011001100 " -b1111100100101010101000011011001010 g -#8125 -b1111100100101010101000011011001101 " -b1111100100101010101000011011001011 g -#8126 -b1111100100101010101000011011001110 " -b1111100100101010101000011011001100 g -#8127 -b1111100100101010101000011011001111 " -b1111100100101010101000011011001101 g -#8128 -b1111100100101010101000011011010000 " -b11110 b -b1111100100101010101000011011001110 g -#8129 -b1111100100101010101000011011010001 " -b11101 b -b1111100100101010101000011011001111 g -#8130 -b1111100100101010101000011011010010 " -b11100 b -b1111100100101010101000011011010000 g -b1111 I" -#8131 -b1111100100101010101000011011010011 " -b1111100100101010101000011011010001 g -b10000 I" -#8132 -b1111100100101010101000011011010100 " -b1111100100101010101000011011010010 g -b0 I" -#8133 -b1111100100101010101000011011010101 " -b1111100100101010101000011011010011 g -#8134 -b1111100100101010101000011011010110 " -b1111100100101010101000011011010100 g -#8135 -b1111100100101010101000011011010111 " -b1111100100101010101000011011010101 g -#8136 -b1111100100101010101000011011011000 " -b1111100100101010101000011011010110 g -#8137 -b1111100100101010101000011011011001 " -b1111100100101010101000011011010111 g -#8138 -b1111100100101010101000011011011010 " -b1111100100101010101000011011011000 g -#8139 -b1111100100101010101000011011011011 " -b1111100100101010101000011011011001 g -#8140 -b1111100100101010101000011011011100 " -b1111100100101010101000011011011010 g -#8141 -b1111100100101010101000011011011101 " -b1111100100101010101000011011011011 g -#8142 -b1111100100101010101000011011011110 " -b1111100100101010101000011011011100 g -#8143 -b1111100100101010101000011011011111 " -b1111100100101010101000011011011101 g -#8144 -b1111100100101010101000011011100000 " -b11110 b -b1111100100101010101000011011011110 g -#8145 -b1111100100101010101000011011100001 " -b11101 b -b1111100100101010101000011011011111 g -#8146 -b1111100100101010101000011011100010 " -b11100 b -b1111100100101010101000011011100000 g -b1111 I" -#8147 -b1111100100101010101000011011100011 " -b1111100100101010101000011011100001 g -b10000 I" -#8148 -b1111100100101010101000011011100100 " -b1111100100101010101000011011100010 g -b0 I" -#8149 -b1111100100101010101000011011100101 " -b1111100100101010101000011011100011 g -#8150 -b1111100100101010101000011011100110 " -b1111100100101010101000011011100100 g -#8151 -b1111100100101010101000011011100111 " -b1111100100101010101000011011100101 g -#8152 -b1111100100101010101000011011101000 " -b1111100100101010101000011011100110 g -#8153 -b1111100100101010101000011011101001 " -b1111100100101010101000011011100111 g -#8154 -b1111100100101010101000011011101010 " -b1111100100101010101000011011101000 g -#8155 -b1111100100101010101000011011101011 " -b1111100100101010101000011011101001 g -#8156 -b1111100100101010101000011011101100 " -b1111100100101010101000011011101010 g -#8157 -b1111100100101010101000011011101101 " -b1111100100101010101000011011101011 g -#8158 -b1111100100101010101000011011101110 " -b1111100100101010101000011011101100 g -#8159 -b1111100100101010101000011011101111 " -b1111100100101010101000011011101101 g -#8160 -b1111100100101010101000011011110000 " -b11110 b -b1111100100101010101000011011101110 g -#8161 -b1111100100101010101000011011110001 " -b11101 b -b1111100100101010101000011011101111 g -#8162 -b1111100100101010101000011011110010 " -b11100 b -b1111100100101010101000011011110000 g -#8163 -b1111100100101010101000011011110011 " -b1111100100101010101000011011110001 g -b10000 I" -#8164 -b1111100100101010101000011011110100 " -b1111100100101010101000011011110010 g -b0 I" -#8165 -b1111100100101010101000011011110101 " -b1111100100101010101000011011110011 g -#8166 -b1111100100101010101000011011110110 " -b1111100100101010101000011011110100 g -#8167 -b1111100100101010101000011011110111 " -b1111100100101010101000011011110101 g -#8168 -b1111100100101010101000011011111000 " -b1111100100101010101000011011110110 g -#8169 -b1111100100101010101000011011111001 " -b1111100100101010101000011011110111 g -#8170 -b1111100100101010101000011011111010 " -b1111100100101010101000011011111000 g -#8171 -b1111100100101010101000011011111011 " -b1111100100101010101000011011111001 g -#8172 -b1111100100101010101000011011111100 " -b1111100100101010101000011011111010 g -#8173 -b1111100100101010101000011011111101 " -b1111100100101010101000011011111011 g -#8174 -b1111100100101010101000011011111110 " -b1111100100101010101000011011111100 g -#8175 -b1111100100101010101000011011111111 " -b1111100100101010101000011011111101 g -#8176 -b1111100100101010101000011100000000 " -b11110 b -b1111100100101010101000011011111110 g -#8177 -b1111100100101010101000011100000001 " -b11101 b -b1111100100101010101000011011111111 g -#8178 -b1111100100101010101000011100000010 " -b11100 b -b1111100100101010101000011100000000 g -b1111 I" -#8179 -b1111100100101010101000011100000011 " -b1111100100101010101000011100000001 g -b10000 I" -#8180 -b1111100100101010101000011100000100 " -b1111100100101010101000011100000010 g -b0 I" -#8181 -b1111100100101010101000011100000101 " -b1111100100101010101000011100000011 g -#8182 -b1111100100101010101000011100000110 " -b1111100100101010101000011100000100 g -#8183 -b1111100100101010101000011100000111 " -b1111100100101010101000011100000101 g -#8184 -b1111100100101010101000011100001000 " -b1111100100101010101000011100000110 g -#8185 -b1111100100101010101000011100001001 " -b1111100100101010101000011100000111 g -#8186 -b1111100100101010101000011100001010 " -b1111100100101010101000011100001000 g -#8187 -b1111100100101010101000011100001011 " -b1111100100101010101000011100001001 g -#8188 -b1111100100101010101000011100001100 " -b1111100100101010101000011100001010 g -#8189 -b1111100100101010101000011100001101 " -b1111100100101010101000011100001011 g -#8190 -b1111100100101010101000011100001110 " -b1111100100101010101000011100001100 g -#8191 -b1111100100101010101000011100001111 " -b1111100100101010101000011100001101 g diff --git a/simulation/.Xil/Vivado-17517-franss-Vostro-460/realtime/ILA_Data_stub.vhdl b/simulation/.Xil/Vivado-17517-franss-Vostro-460/realtime/ILA_Data_stub.vhdl deleted file mode 100755 index 4eebc5a3d6a2f133a2b14797f2810bc006130403..0000000000000000000000000000000000000000 --- a/simulation/.Xil/Vivado-17517-franss-Vostro-460/realtime/ILA_Data_stub.vhdl +++ /dev/null @@ -1,23 +0,0 @@ --- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; - -entity ILA_Data is - Port ( - clk : in STD_LOGIC; - probe0 : in STD_LOGIC_VECTOR ( 63 downto 0 ); - probe1 : in STD_LOGIC_VECTOR ( 4 downto 0 ); - probe2 : in STD_LOGIC_VECTOR ( 63 downto 0 ); - probe3 : in STD_LOGIC_VECTOR ( 4 downto 0 ) - ); - -end ILA_Data; - -architecture stub of ILA_Data is -attribute syn_black_box : boolean; -attribute black_box_pad_pin : string; -attribute syn_black_box of stub : architecture is true; -attribute x_core_info : string; -attribute x_core_info of stub : architecture is "ila,Vivado 2016.4"; -begin -end; diff --git a/simulation/.Xil/Vivado-17517-franss-Vostro-460/realtime/Interface_Test.tcl b/simulation/.Xil/Vivado-17517-franss-Vostro-460/realtime/Interface_Test.tcl deleted file mode 100644 index 79f160f044617dbc721c12cbbffa8668f92dae1c..0000000000000000000000000000000000000000 --- a/simulation/.Xil/Vivado-17517-franss-Vostro-460/realtime/Interface_Test.tcl +++ /dev/null @@ -1,118 +0,0 @@ -# -# Synthesis run script generated by Vivado -# - -namespace eval rt { - variable rc -} -set rt::rc [catch { - uplevel #0 { - set ::env(BUILTIN_SYNTH) true - source $::env(HRT_TCL_PATH)/rtSynthPrep.tcl - rt::HARTNDb_resetJobStats - rt::HARTNDb_startJobStats - set rt::cmdEcho 0 - rt::set_parameter writeXmsg true - rt::set_parameter enableParallelHelperSpawn true - set ::env(RT_TMP) "./.Xil/Vivado-17517-franss-Vostro-460/realtime/tmp" - if { [ info exists ::env(RT_TMP) ] } { - file delete -force $::env(RT_TMP) - file mkdir $::env(RT_TMP) - } - - rt::delete_design - - set rt::partid xc7vx485tffg1761-2 - - set rt::multiChipSynthesisFlow false - source $::env(SYNTH_COMMON)/common_vhdl.tcl - set rt::defaultWorkLibName work - - set rt::enableVHDL2008 1 - set rt::useElabCache false - if {$rt::useElabCache == false} { - rt::read_verilog -sv { - /opt/Xilinx/Vivado/2016.4/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv - /opt/Xilinx/Vivado/2016.4/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv - } - rt::read_vhdl -lib work { - ./.Xil/Vivado-17517-franss-Vostro-460/realtime/vio_0_stub.vhdl - ./.Xil/Vivado-17517-franss-Vostro-460/realtime/ILA_Data_stub.vhdl - ./.Xil/Vivado-17517-franss-Vostro-460/realtime/TX_FIFO_stub.vhdl - ./.Xil/Vivado-17517-franss-Vostro-460/realtime/Transceiver_10g_64b67b_stub.vhdl - ./.Xil/Vivado-17517-franss-Vostro-460/realtime/clk_40MHz_stub.vhdl - ./.Xil/Vivado-17517-franss-Vostro-460/realtime/RX_FIFO_stub.vhdl - /home/nayibb/Desktop/report/Code/Core1990/sources/crc/crc-32.vhd - /home/nayibb/Desktop/report/Code/Core1990/sources/crc/crc-24.vhd - /home/nayibb/Desktop/report/Code/Core1990/sources/transmitter/scrambler.vhd - /home/nayibb/Desktop/report/Code/Core1990/sources/transmitter/framing_meta.vhd - /home/nayibb/Desktop/report/Code/Core1990/sources/transmitter/framing_burst.vhd - /home/nayibb/Desktop/report/Code/Core1990/sources/transmitter/encoder.vhd - /home/nayibb/Desktop/report/Code/Core1990/sources/receiver/descrambler.vhd - /home/nayibb/Desktop/report/Code/Core1990/sources/receiver/deframing_meta.vhd - /home/nayibb/Desktop/report/Code/Core1990/sources/receiver/decoder.vhd - /home/nayibb/Desktop/report/Code/Core1990/sources/receiver/deframing_burst.vhd - /home/nayibb/Desktop/report/Code/Core1990/sources/transmitter/interlaken_transmitter.vhd - /home/nayibb/Desktop/report/Code/Core1990/sources/receiver/interlaken_receiver.vhd - /home/nayibb/Desktop/report/Code/Core1990/sources/test/pipeline.vhd - /home/nayibb/Desktop/report/Code/Core1990/sources/interlaken_interface.vhd - /home/nayibb/Desktop/report/Code/Core1990/sources/test/data_generator.vhd - } - rt::read_vhdl -lib xpm /opt/Xilinx/Vivado/2016.4/data/ip/xpm/xpm_VCOMP.vhd - rt::read_vhdl -vhdl2008 -lib work /home/nayibb/Desktop/report/Code/Core1990/sources/test/Core1990_Test.vhd - rt::filesetChecksum - } - rt::set_parameter usePostFindUniquification false - set rt::top Interface_Test - set rt::reportTiming false - rt::set_parameter elaborateOnly true - rt::set_parameter elaborateRtl true - rt::set_parameter eliminateRedundantBitOperator false - rt::set_parameter writeBlackboxInterface true - rt::set_parameter merge_flipflops true - rt::set_parameter srlDepthThreshold 3 - rt::set_parameter rstSrlDepthThreshold 4 -# MODE: - rt::set_parameter webTalkPath {} - rt::set_parameter enableSplitFlowPath "./.Xil/Vivado-17517-franss-Vostro-460/" - set ok_to_delete_rt_tmp true - if { [rt::get_parameter parallelDebug] } { - set ok_to_delete_rt_tmp false - } - if {$rt::useElabCache == false} { - set oldMIITMVal [rt::get_parameter maxInputIncreaseToMerge]; rt::set_parameter maxInputIncreaseToMerge 1000 - set oldCDPCRL [rt::get_parameter createDfgPartConstrRecurLimit]; rt::set_parameter createDfgPartConstrRecurLimit 1 - rt::run_rtlelab -module $rt::top - rt::set_parameter maxInputIncreaseToMerge $oldMIITMVal - rt::set_parameter createDfgPartConstrRecurLimit $oldCDPCRL - } - - set rt::flowresult [ source $::env(SYNTH_COMMON)/flow.tcl ] - rt::HARTNDb_stopJobStats - if { $rt::flowresult == 1 } { return -code error } - - if { [ info exists ::env(RT_TMP) ] } { - if { [info exists ok_to_delete_rt_tmp] && $ok_to_delete_rt_tmp } { - file delete -force $::env(RT_TMP) - } - } - - - set hsKey [rt::get_parameter helper_shm_key] - if { $hsKey != "" && [info exists ::env(BUILTIN_SYNTH)] && [rt::get_parameter enableParallelHelperSpawn] && [info exists rt::doParallel] && $rt::doParallel} { - $rt::db killSynthHelper $hsKey - } - rt::set_parameter helper_shm_key "" - source $::env(HRT_TCL_PATH)/rtSynthCleanup.tcl - } ; #end uplevel -} rt::result] - -if { $rt::rc } { - $rt::db resetHdlParse - set hsKey [rt::get_parameter helper_shm_key] - if { $hsKey != "" && [info exists ::env(BUILTIN_SYNTH)] && [rt::get_parameter enableParallelHelperSpawn] && [info exists rt::doParallel] && $rt::doParallel} { - $rt::db killSynthHelper $hsKey - } - source $::env(HRT_TCL_PATH)/rtSynthCleanup.tcl - return -code "error" $rt::result -} diff --git a/simulation/.Xil/Vivado-17517-franss-Vostro-460/realtime/RX_FIFO_stub.vhdl b/simulation/.Xil/Vivado-17517-franss-Vostro-460/realtime/RX_FIFO_stub.vhdl deleted file mode 100755 index 19a268669f64c7b0bebf3f33af336008f59f3d16..0000000000000000000000000000000000000000 --- a/simulation/.Xil/Vivado-17517-franss-Vostro-460/realtime/RX_FIFO_stub.vhdl +++ /dev/null @@ -1,31 +0,0 @@ --- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; - -entity RX_FIFO is - Port ( - rst : in STD_LOGIC; - wr_clk : in STD_LOGIC; - rd_clk : in STD_LOGIC; - din : in STD_LOGIC_VECTOR ( 68 downto 0 ); - wr_en : in STD_LOGIC; - rd_en : in STD_LOGIC; - dout : out STD_LOGIC_VECTOR ( 68 downto 0 ); - full : out STD_LOGIC; - empty : out STD_LOGIC; - rd_data_count : out STD_LOGIC_VECTOR ( 5 downto 0 ); - wr_data_count : out STD_LOGIC_VECTOR ( 5 downto 0 ); - prog_full : out STD_LOGIC; - prog_empty : out STD_LOGIC - ); - -end RX_FIFO; - -architecture stub of RX_FIFO is -attribute syn_black_box : boolean; -attribute black_box_pad_pin : string; -attribute syn_black_box of stub : architecture is true; -attribute x_core_info : string; -attribute x_core_info of stub : architecture is "fifo_generator_v13_1_3,Vivado 2016.4"; -begin -end; diff --git a/simulation/.Xil/Vivado-17517-franss-Vostro-460/realtime/TX_FIFO_stub.vhdl b/simulation/.Xil/Vivado-17517-franss-Vostro-460/realtime/TX_FIFO_stub.vhdl deleted file mode 100755 index b66ffcbf1080eaa8c823ed35262ef32cf4a2d847..0000000000000000000000000000000000000000 --- a/simulation/.Xil/Vivado-17517-franss-Vostro-460/realtime/TX_FIFO_stub.vhdl +++ /dev/null @@ -1,32 +0,0 @@ --- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; - -entity TX_FIFO is - Port ( - rst : in STD_LOGIC; - wr_clk : in STD_LOGIC; - rd_clk : in STD_LOGIC; - din : in STD_LOGIC_VECTOR ( 68 downto 0 ); - wr_en : in STD_LOGIC; - rd_en : in STD_LOGIC; - dout : out STD_LOGIC_VECTOR ( 68 downto 0 ); - full : out STD_LOGIC; - empty : out STD_LOGIC; - valid : out STD_LOGIC; - rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); - wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); - prog_full : out STD_LOGIC; - prog_empty : out STD_LOGIC - ); - -end TX_FIFO; - -architecture stub of TX_FIFO is -attribute syn_black_box : boolean; -attribute black_box_pad_pin : string; -attribute syn_black_box of stub : architecture is true; -attribute x_core_info : string; -attribute x_core_info of stub : architecture is "fifo_generator_v13_1_3,Vivado 2016.4"; -begin -end; diff --git a/simulation/.Xil/Vivado-17517-franss-Vostro-460/realtime/Transceiver_10g_64b67b_stub.vhdl b/simulation/.Xil/Vivado-17517-franss-Vostro-460/realtime/Transceiver_10g_64b67b_stub.vhdl deleted file mode 100755 index 8b7595f77db278e902a319b4d3f9f3dc358adc25..0000000000000000000000000000000000000000 --- a/simulation/.Xil/Vivado-17517-franss-Vostro-460/realtime/Transceiver_10g_64b67b_stub.vhdl +++ /dev/null @@ -1,73 +0,0 @@ --- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; - -entity Transceiver_10g_64b67b is - Port ( - SOFT_RESET_TX_IN : in STD_LOGIC; - SOFT_RESET_RX_IN : in STD_LOGIC; - DONT_RESET_ON_DATA_ERROR_IN : in STD_LOGIC; - Q0_CLK0_GTREFCLK_PAD_N_IN : in STD_LOGIC; - Q0_CLK0_GTREFCLK_PAD_P_IN : in STD_LOGIC; - GT0_TX_FSM_RESET_DONE_OUT : out STD_LOGIC; - GT0_RX_FSM_RESET_DONE_OUT : out STD_LOGIC; - GT0_DATA_VALID_IN : in STD_LOGIC; - GT0_TX_MMCM_LOCK_OUT : out STD_LOGIC; - GT0_RX_MMCM_LOCK_OUT : out STD_LOGIC; - GT0_TXUSRCLK_OUT : out STD_LOGIC; - GT0_TXUSRCLK2_OUT : out STD_LOGIC; - GT0_RXUSRCLK_OUT : out STD_LOGIC; - GT0_RXUSRCLK2_OUT : out STD_LOGIC; - gt0_drpaddr_in : in STD_LOGIC_VECTOR ( 8 downto 0 ); - gt0_drpdi_in : in STD_LOGIC_VECTOR ( 15 downto 0 ); - gt0_drpdo_out : out STD_LOGIC_VECTOR ( 15 downto 0 ); - gt0_drpen_in : in STD_LOGIC; - gt0_drprdy_out : out STD_LOGIC; - gt0_drpwe_in : in STD_LOGIC; - gt0_dmonitorout_out : out STD_LOGIC_VECTOR ( 7 downto 0 ); - gt0_eyescanreset_in : in STD_LOGIC; - gt0_rxuserrdy_in : in STD_LOGIC; - gt0_eyescandataerror_out : out STD_LOGIC; - gt0_eyescantrigger_in : in STD_LOGIC; - gt0_rxdata_out : out STD_LOGIC_VECTOR ( 63 downto 0 ); - gt0_gtxrxp_in : in STD_LOGIC; - gt0_gtxrxn_in : in STD_LOGIC; - gt0_rxdfelpmreset_in : in STD_LOGIC; - gt0_rxmonitorout_out : out STD_LOGIC_VECTOR ( 6 downto 0 ); - gt0_rxmonitorsel_in : in STD_LOGIC_VECTOR ( 1 downto 0 ); - gt0_rxoutclkfabric_out : out STD_LOGIC; - gt0_rxdatavalid_out : out STD_LOGIC; - gt0_rxheader_out : out STD_LOGIC_VECTOR ( 2 downto 0 ); - gt0_rxheadervalid_out : out STD_LOGIC; - gt0_rxgearboxslip_in : in STD_LOGIC; - gt0_gtrxreset_in : in STD_LOGIC; - gt0_rxpmareset_in : in STD_LOGIC; - gt0_rxresetdone_out : out STD_LOGIC; - gt0_gttxreset_in : in STD_LOGIC; - gt0_txuserrdy_in : in STD_LOGIC; - gt0_txdata_in : in STD_LOGIC_VECTOR ( 63 downto 0 ); - gt0_gtxtxn_out : out STD_LOGIC; - gt0_gtxtxp_out : out STD_LOGIC; - gt0_txoutclkfabric_out : out STD_LOGIC; - gt0_txoutclkpcs_out : out STD_LOGIC; - gt0_txgearboxready_out : out STD_LOGIC; - gt0_txheader_in : in STD_LOGIC_VECTOR ( 2 downto 0 ); - gt0_txstartseq_in : in STD_LOGIC; - gt0_txresetdone_out : out STD_LOGIC; - GT0_QPLLLOCK_OUT : out STD_LOGIC; - GT0_QPLLREFCLKLOST_OUT : out STD_LOGIC; - GT0_QPLLOUTCLK_OUT : out STD_LOGIC; - GT0_QPLLOUTREFCLK_OUT : out STD_LOGIC; - sysclk_in : in STD_LOGIC - ); - -end Transceiver_10g_64b67b; - -architecture stub of Transceiver_10g_64b67b is -attribute syn_black_box : boolean; -attribute black_box_pad_pin : string; -attribute syn_black_box of stub : architecture is true; -attribute x_core_info : string; -attribute x_core_info of stub : architecture is "Transceiver_10g_64b67b,gtwizard_v3_6_5,{protocol_file=Start_from_scratch}"; -begin -end; diff --git a/simulation/.Xil/Vivado-17517-franss-Vostro-460/realtime/clk_40MHz_stub.vhdl b/simulation/.Xil/Vivado-17517-franss-Vostro-460/realtime/clk_40MHz_stub.vhdl deleted file mode 100755 index fde4f2151b03bc9ebe3ee06c8ea4e0bac89f03c7..0000000000000000000000000000000000000000 --- a/simulation/.Xil/Vivado-17517-franss-Vostro-460/realtime/clk_40MHz_stub.vhdl +++ /dev/null @@ -1,21 +0,0 @@ --- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; - -entity clk_40MHz is - Port ( - clk_out1 : out STD_LOGIC; - reset : in STD_LOGIC; - locked : out STD_LOGIC; - clk_in1_p : in STD_LOGIC; - clk_in1_n : in STD_LOGIC - ); - -end clk_40MHz; - -architecture stub of clk_40MHz is -attribute syn_black_box : boolean; -attribute black_box_pad_pin : string; -attribute syn_black_box of stub : architecture is true; -begin -end; diff --git a/simulation/.Xil/Vivado-17517-franss-Vostro-460/realtime/dupFiles.rpt b/simulation/.Xil/Vivado-17517-franss-Vostro-460/realtime/dupFiles.rpt deleted file mode 100644 index 05ad2dae5bde700beec0e72de0fbb1c57d91e1e4..0000000000000000000000000000000000000000 --- a/simulation/.Xil/Vivado-17517-franss-Vostro-460/realtime/dupFiles.rpt +++ /dev/null @@ -1 +0,0 @@ -CRC performance measure: elapsed=00:00:00s;;memory_peak=8322.367MB;;memory_gain=0.000MB diff --git a/simulation/.Xil/Vivado-17517-franss-Vostro-460/realtime/vio_0_stub.vhdl b/simulation/.Xil/Vivado-17517-franss-Vostro-460/realtime/vio_0_stub.vhdl deleted file mode 100755 index e5115ebc8729ddd50379ebb00bc401d7584e8ca3..0000000000000000000000000000000000000000 --- a/simulation/.Xil/Vivado-17517-franss-Vostro-460/realtime/vio_0_stub.vhdl +++ /dev/null @@ -1,20 +0,0 @@ --- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; - -entity vio_0 is - Port ( - clk : in STD_LOGIC; - probe_out0 : out STD_LOGIC_VECTOR ( 5 downto 0 ) - ); - -end vio_0; - -architecture stub of vio_0 is -attribute syn_black_box : boolean; -attribute black_box_pad_pin : string; -attribute syn_black_box of stub : architecture is true; -attribute x_core_info : string; -attribute x_core_info of stub : architecture is "vio,Vivado 2016.4"; -begin -end; diff --git a/simulation/gtnlv.log b/simulation/gtnlv.log deleted file mode 100644 index 25a4363d3593c6b230a55709db92a1031b03d70e..0000000000000000000000000000000000000000 --- a/simulation/gtnlv.log +++ /dev/null @@ -1,411 +0,0 @@ -# Copyright (C) 1994-2016, Concept Engineering GmbH. -# All Rights Reserved. Cmd=logfile. -# Nlview 6.6.5b 2016-09-06 bk=1.3687 VDI=39 GEI=35 GUI=JA:1.6 -# License cookie [G|T|S|*] for HR="Xilinx Inc." -# ----------------------------------------------------------------------------- -# ----------------------------------------------------------------------------- -property showattribute 1 -property showcellname true -property shadowstyle 1 -property gatecellname 2 -property showpinname 2 -property showhierpinname 2 -property showinstname false -property boxpinsquare 2 -property boxhierpins 2 -property backgroundcolor #F8F8FF -property boxinstcolor #000000 -property boxcolor0 #000000 -property boxpincolor #000000 -property netcolor #000000 -property buscolor #000000 -property portcolor #000000 -property portnamecolor #000000 -property boxminwidth 50 -property boxminheight 40 -module new gtmodule -# * Current module is gtmodule -load symbol QUAD v HIERBOX port i0 in port i1 in port i2 in port i3 in port i4 in -load symbol {CHANNEL PLL} syn BOX port In in port Out out text CPLL -cc 25 0 12 -load symbol {QUAD PLL} syn BOX port In in port Out out text QPLL -cc 25 0 12 -load port REFCLK1_Q6 in -pg 1 -y 121 -x 1 -attr @fillcolor #3a5fcd -load port REFCLK0_Q6 in -pg 1 -y 191 -x 1 -attr @fillcolor #3a5fcd -load inst Quad6 QUAD v -pg 1 -y 1 -x 2000 -autohide -attr @cell Quad6 -attr @name {} -attr @fillcolor #d9d9d9 -attribute {pin Quad6 i0 } -attr @name {} -attribute {pin Quad6 i1 } -attr @name {} -attribute {pin Quad6 i2 } -attr @name {} -attribute {pin Quad6 i3 } -attr @name {} -attribute {pin Quad6 i4 } -attr @name {} -attribute {hierPin Quad6 i0 } -attr @name {} -attribute {hierPin Quad6 i1 } -attr @name {} -attribute {hierPin Quad6 i2 } -attr @name {} -attribute {hierPin Quad6 i3 } -attr @name {} -attribute {hierPin Quad6 i4 } -attr @name {} -load inst cpll.6.3 {CHANNEL PLL} syn -hier Quad6 -pg 1 -y 2 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.6.3 In} -attr @name {} -attribute {pin cpll.6.3 Out} -attr @name {} -load symbol GTX_X1Y27 syn HIERGEN port TX in port RX in -load inst GT.6.3 GTX_X1Y27 syn -hier Quad6 -pg 1 -y 2 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load inst cpll.6.2 {CHANNEL PLL} syn -hier Quad6 -pg 1 -y 87 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.6.2 In} -attr @name {} -attribute {pin cpll.6.2 Out} -attr @name {} -load symbol GTX_X1Y26 syn HIERGEN port TX in port RX in -load inst GT.6.2 GTX_X1Y26 syn -hier Quad6 -pg 1 -y 87 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load inst qpll.6 {QUAD PLL} syn -hier Quad6 -pg 1 -y 172 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin qpll.6 In} -attr @name {} -attribute {pin qpll.6 Out} -attr @name {} -load inst cpll.6.1 {CHANNEL PLL} syn -hier Quad6 -pg 1 -y 257 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.6.1 In} -attr @name {} -attribute {pin cpll.6.1 Out} -attr @name {} -load symbol GTX_X1Y25 syn HIERGEN port TX in port RX in -load inst GT.6.1 GTX_X1Y25 syn -hier Quad6 -pg 1 -y 257 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load inst cpll.6.0 {CHANNEL PLL} syn -hier Quad6 -pg 1 -y 342 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.6.0 In} -attr @name {} -attribute {pin cpll.6.0 Out} -attr @name {} -load symbol GTX_X1Y24 syn HIERGEN port TX in port RX in -load inst GT.6.0 GTX_X1Y24 syn -hier Quad6 -pg 1 -y 342 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load port REFCLK1_Q5 in -pg 1 -y 581 -x 1 -attr @fillcolor #3a5fcd -load port REFCLK0_Q5 in -pg 1 -y 651 -x 1 -attr @fillcolor #3a5fcd -load inst Quad5 QUAD v -pg 1 -y 461 -x 2000 -autohide -attr @cell Quad5 -attr @name {} -attr @fillcolor #d9d9d9 -attribute {pin Quad5 i0 } -attr @name {} -attribute {pin Quad5 i1 } -attr @name {} -attribute {pin Quad5 i2 } -attr @name {} -attribute {pin Quad5 i3 } -attr @name {} -attribute {pin Quad5 i4 } -attr @name {} -attribute {hierPin Quad5 i0 } -attr @name {} -attribute {hierPin Quad5 i1 } -attr @name {} -attribute {hierPin Quad5 i2 } -attr @name {} -attribute {hierPin Quad5 i3 } -attr @name {} -attribute {hierPin Quad5 i4 } -attr @name {} -load inst cpll.5.3 {CHANNEL PLL} syn -hier Quad5 -pg 1 -y 462 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.5.3 In} -attr @name {} -attribute {pin cpll.5.3 Out} -attr @name {} -load symbol GTX_X1Y23 syn HIERGEN port TX in port RX in -load inst GT.5.3 GTX_X1Y23 syn -hier Quad5 -pg 1 -y 462 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load inst cpll.5.2 {CHANNEL PLL} syn -hier Quad5 -pg 1 -y 547 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.5.2 In} -attr @name {} -attribute {pin cpll.5.2 Out} -attr @name {} -load symbol GTX_X1Y22 syn HIERGEN port TX in port RX in -load inst GT.5.2 GTX_X1Y22 syn -hier Quad5 -pg 1 -y 547 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load inst qpll.5 {QUAD PLL} syn -hier Quad5 -pg 1 -y 632 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin qpll.5 In} -attr @name {} -attribute {pin qpll.5 Out} -attr @name {} -load inst cpll.5.1 {CHANNEL PLL} syn -hier Quad5 -pg 1 -y 717 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.5.1 In} -attr @name {} -attribute {pin cpll.5.1 Out} -attr @name {} -load symbol GTX_X1Y21 syn HIERGEN port TX in port RX in -load inst GT.5.1 GTX_X1Y21 syn -hier Quad5 -pg 1 -y 717 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load inst cpll.5.0 {CHANNEL PLL} syn -hier Quad5 -pg 1 -y 802 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.5.0 In} -attr @name {} -attribute {pin cpll.5.0 Out} -attr @name {} -load symbol GTX_X1Y20 syn HIERGEN port TX in port RX in -load inst GT.5.0 GTX_X1Y20 syn -hier Quad5 -pg 1 -y 802 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load port REFCLK1_Q4 in -pg 1 -y 1041 -x 1 -attr @fillcolor #3a5fcd -load port REFCLK0_Q4 in -pg 1 -y 1111 -x 1 -attr @fillcolor #3a5fcd -load inst Quad4 QUAD v -pg 1 -y 921 -x 2000 -autohide -attr @cell Quad4 -attr @name {} -attr @fillcolor #d9d9d9 -attribute {pin Quad4 i0 } -attr @name {} -attribute {pin Quad4 i1 } -attr @name {} -attribute {pin Quad4 i2 } -attr @name {} -attribute {pin Quad4 i3 } -attr @name {} -attribute {pin Quad4 i4 } -attr @name {} -attribute {hierPin Quad4 i0 } -attr @name {} -attribute {hierPin Quad4 i1 } -attr @name {} -attribute {hierPin Quad4 i2 } -attr @name {} -attribute {hierPin Quad4 i3 } -attr @name {} -attribute {hierPin Quad4 i4 } -attr @name {} -load inst cpll.4.3 {CHANNEL PLL} syn -hier Quad4 -pg 1 -y 922 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.4.3 In} -attr @name {} -attribute {pin cpll.4.3 Out} -attr @name {} -load symbol GTX_X1Y19 syn HIERGEN port TX in port RX in -load inst GT.4.3 GTX_X1Y19 syn -hier Quad4 -pg 1 -y 922 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load inst cpll.4.2 {CHANNEL PLL} syn -hier Quad4 -pg 1 -y 1007 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.4.2 In} -attr @name {} -attribute {pin cpll.4.2 Out} -attr @name {} -load symbol GTX_X1Y18 syn HIERGEN port TX in port RX in -load inst GT.4.2 GTX_X1Y18 syn -hier Quad4 -pg 1 -y 1007 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load inst qpll.4 {QUAD PLL} syn -hier Quad4 -pg 1 -y 1092 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin qpll.4 In} -attr @name {} -attribute {pin qpll.4 Out} -attr @name {} -load inst cpll.4.1 {CHANNEL PLL} syn -hier Quad4 -pg 1 -y 1177 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.4.1 In} -attr @name {} -attribute {pin cpll.4.1 Out} -attr @name {} -load symbol GTX_X1Y17 syn HIERGEN port TX in port RX in -load inst GT.4.1 GTX_X1Y17 syn -hier Quad4 -pg 1 -y 1177 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load inst cpll.4.0 {CHANNEL PLL} syn -hier Quad4 -pg 1 -y 1262 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.4.0 In} -attr @name {} -attribute {pin cpll.4.0 Out} -attr @name {} -load symbol GTX_X1Y16 syn HIERGEN port TX in port RX in -load inst GT.4.0 GTX_X1Y16 syn -hier Quad4 -pg 1 -y 1262 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load port REFCLK1_Q3 in -pg 1 -y 1501 -x 1 -attr @fillcolor #3a5fcd -load port REFCLK0_Q3 in -pg 1 -y 1571 -x 1 -attr @fillcolor #3a5fcd -load inst Quad3 QUAD v -pg 1 -y 1381 -x 2000 -autohide -attr @cell Quad3 -attr @name {} -attr @fillcolor #d9d9d9 -attribute {pin Quad3 i0 } -attr @name {} -attribute {pin Quad3 i1 } -attr @name {} -attribute {pin Quad3 i2 } -attr @name {} -attribute {pin Quad3 i3 } -attr @name {} -attribute {pin Quad3 i4 } -attr @name {} -attribute {hierPin Quad3 i0 } -attr @name {} -attribute {hierPin Quad3 i1 } -attr @name {} -attribute {hierPin Quad3 i2 } -attr @name {} -attribute {hierPin Quad3 i3 } -attr @name {} -attribute {hierPin Quad3 i4 } -attr @name {} -load inst cpll.3.3 {CHANNEL PLL} syn -hier Quad3 -pg 1 -y 1382 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.3.3 In} -attr @name {} -attribute {pin cpll.3.3 Out} -attr @name {} -load symbol GTX_X1Y15 syn HIERGEN port TX in port RX in -load inst GT.3.3 GTX_X1Y15 syn -hier Quad3 -pg 1 -y 1382 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load inst cpll.3.2 {CHANNEL PLL} syn -hier Quad3 -pg 1 -y 1467 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.3.2 In} -attr @name {} -attribute {pin cpll.3.2 Out} -attr @name {} -load symbol GTX_X1Y14 syn HIERGEN port TX in port RX in -load inst GT.3.2 GTX_X1Y14 syn -hier Quad3 -pg 1 -y 1467 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load inst qpll.3 {QUAD PLL} syn -hier Quad3 -pg 1 -y 1552 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin qpll.3 In} -attr @name {} -attribute {pin qpll.3 Out} -attr @name {} -load inst cpll.3.1 {CHANNEL PLL} syn -hier Quad3 -pg 1 -y 1637 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.3.1 In} -attr @name {} -attribute {pin cpll.3.1 Out} -attr @name {} -load symbol GTX_X1Y13 syn HIERGEN port TX in port RX in -load inst GT.3.1 GTX_X1Y13 syn -hier Quad3 -pg 1 -y 1637 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load inst cpll.3.0 {CHANNEL PLL} syn -hier Quad3 -pg 1 -y 1722 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.3.0 In} -attr @name {} -attribute {pin cpll.3.0 Out} -attr @name {} -load symbol GTX_X1Y12 syn HIERGEN port TX in port RX in -load inst GT.3.0 GTX_X1Y12 syn -hier Quad3 -pg 1 -y 1722 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load port REFCLK1_Q2 in -pg 1 -y 1961 -x 1 -attr @fillcolor #3a5fcd -load port REFCLK0_Q2 in -pg 1 -y 2031 -x 1 -attr @fillcolor #3a5fcd -load inst Quad2 QUAD v -pg 1 -y 1841 -x 2000 -autohide -attr @cell Quad2 -attr @name {} -attr @fillcolor #d9d9d9 -attribute {pin Quad2 i0 } -attr @name {} -attribute {pin Quad2 i1 } -attr @name {} -attribute {pin Quad2 i2 } -attr @name {} -attribute {pin Quad2 i3 } -attr @name {} -attribute {pin Quad2 i4 } -attr @name {} -attribute {hierPin Quad2 i0 } -attr @name {} -attribute {hierPin Quad2 i1 } -attr @name {} -attribute {hierPin Quad2 i2 } -attr @name {} -attribute {hierPin Quad2 i3 } -attr @name {} -attribute {hierPin Quad2 i4 } -attr @name {} -load inst cpll.2.3 {CHANNEL PLL} syn -hier Quad2 -pg 1 -y 1842 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.2.3 In} -attr @name {} -attribute {pin cpll.2.3 Out} -attr @name {} -load symbol GTX_X1Y11 syn HIERGEN port TX in port RX in -load inst GT.2.3 GTX_X1Y11 syn -hier Quad2 -pg 1 -y 1842 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load inst cpll.2.2 {CHANNEL PLL} syn -hier Quad2 -pg 1 -y 1927 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.2.2 In} -attr @name {} -attribute {pin cpll.2.2 Out} -attr @name {} -load symbol GTX_X1Y10 syn HIERGEN port TX in port RX in -load inst GT.2.2 GTX_X1Y10 syn -hier Quad2 -pg 1 -y 1927 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load inst qpll.2 {QUAD PLL} syn -hier Quad2 -pg 1 -y 2012 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin qpll.2 In} -attr @name {} -attribute {pin qpll.2 Out} -attr @name {} -load inst cpll.2.1 {CHANNEL PLL} syn -hier Quad2 -pg 1 -y 2097 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.2.1 In} -attr @name {} -attribute {pin cpll.2.1 Out} -attr @name {} -load symbol GTX_X1Y9 syn HIERGEN port TX in port RX in -load inst GT.2.1 GTX_X1Y9 syn -hier Quad2 -pg 1 -y 2097 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load inst cpll.2.0 {CHANNEL PLL} syn -hier Quad2 -pg 1 -y 2182 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.2.0 In} -attr @name {} -attribute {pin cpll.2.0 Out} -attr @name {} -load symbol GTX_X1Y8 syn HIERGEN port TX in port RX in -load inst GT.2.0 GTX_X1Y8 syn -hier Quad2 -pg 1 -y 2182 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load port REFCLK1_Q1 in -pg 1 -y 2421 -x 1 -attr @fillcolor #3a5fcd -load port REFCLK0_Q1 in -pg 1 -y 2491 -x 1 -attr @fillcolor #3a5fcd -load inst Quad1 QUAD v -pg 1 -y 2301 -x 2000 -autohide -attr @cell Quad1 -attr @name {} -attr @fillcolor #d9d9d9 -attribute {pin Quad1 i0 } -attr @name {} -attribute {pin Quad1 i1 } -attr @name {} -attribute {pin Quad1 i2 } -attr @name {} -attribute {pin Quad1 i3 } -attr @name {} -attribute {pin Quad1 i4 } -attr @name {} -attribute {hierPin Quad1 i0 } -attr @name {} -attribute {hierPin Quad1 i1 } -attr @name {} -attribute {hierPin Quad1 i2 } -attr @name {} -attribute {hierPin Quad1 i3 } -attr @name {} -attribute {hierPin Quad1 i4 } -attr @name {} -load inst cpll.1.3 {CHANNEL PLL} syn -hier Quad1 -pg 1 -y 2302 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.1.3 In} -attr @name {} -attribute {pin cpll.1.3 Out} -attr @name {} -load symbol GTX_X1Y7 syn HIERGEN port TX in port RX in -load inst GT.1.3 GTX_X1Y7 syn -hier Quad1 -pg 1 -y 2302 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load inst cpll.1.2 {CHANNEL PLL} syn -hier Quad1 -pg 1 -y 2387 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.1.2 In} -attr @name {} -attribute {pin cpll.1.2 Out} -attr @name {} -load symbol GTX_X1Y6 syn HIERGEN port TX in port RX in -load inst GT.1.2 GTX_X1Y6 syn -hier Quad1 -pg 1 -y 2387 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load inst qpll.1 {QUAD PLL} syn -hier Quad1 -pg 1 -y 2472 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin qpll.1 In} -attr @name {} -attribute {pin qpll.1 Out} -attr @name {} -load inst cpll.1.1 {CHANNEL PLL} syn -hier Quad1 -pg 1 -y 2557 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.1.1 In} -attr @name {} -attribute {pin cpll.1.1 Out} -attr @name {} -load symbol GTX_X1Y5 syn HIERGEN port TX in port RX in -load inst GT.1.1 GTX_X1Y5 syn -hier Quad1 -pg 1 -y 2557 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load inst cpll.1.0 {CHANNEL PLL} syn -hier Quad1 -pg 1 -y 2642 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.1.0 In} -attr @name {} -attribute {pin cpll.1.0 Out} -attr @name {} -load symbol GTX_X1Y4 syn HIERGEN port TX in port RX in -load inst GT.1.0 GTX_X1Y4 syn -hier Quad1 -pg 1 -y 2642 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load port REFCLK1_Q0 in -pg 1 -y 2881 -x 1 -attr @fillcolor #3a5fcd -load port REFCLK0_Q0 in -pg 1 -y 2951 -x 1 -attr @fillcolor #3a5fcd -load inst Quad0 QUAD v -pg 1 -y 2761 -x 2000 -autohide -attr @cell Quad0 -attr @name {} -attr @fillcolor #d9d9d9 -attribute {pin Quad0 i0 } -attr @name {} -attribute {pin Quad0 i1 } -attr @name {} -attribute {pin Quad0 i2 } -attr @name {} -attribute {pin Quad0 i3 } -attr @name {} -attribute {pin Quad0 i4 } -attr @name {} -attribute {hierPin Quad0 i0 } -attr @name {} -attribute {hierPin Quad0 i1 } -attr @name {} -attribute {hierPin Quad0 i2 } -attr @name {} -attribute {hierPin Quad0 i3 } -attr @name {} -attribute {hierPin Quad0 i4 } -attr @name {} -load inst cpll.0.3 {CHANNEL PLL} syn -hier Quad0 -pg 1 -y 2762 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.0.3 In} -attr @name {} -attribute {pin cpll.0.3 Out} -attr @name {} -load symbol GTX_X1Y3 syn HIERGEN port TX in port RX in -load inst GT.0.3 GTX_X1Y3 syn -hier Quad0 -pg 1 -y 2762 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load inst cpll.0.2 {CHANNEL PLL} syn -hier Quad0 -pg 1 -y 2847 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.0.2 In} -attr @name {} -attribute {pin cpll.0.2 Out} -attr @name {} -load symbol GTX_X1Y2 syn HIERGEN port TX in port RX in -load inst GT.0.2 GTX_X1Y2 syn -hier Quad0 -pg 1 -y 2847 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load inst qpll.0 {QUAD PLL} syn -hier Quad0 -pg 1 -y 2932 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin qpll.0 In} -attr @name {} -attribute {pin qpll.0 Out} -attr @name {} -load inst cpll.0.1 {CHANNEL PLL} syn -hier Quad0 -pg 1 -y 3017 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.0.1 In} -attr @name {} -attribute {pin cpll.0.1 Out} -attr @name {} -load symbol GTX_X1Y1 syn HIERGEN port TX in port RX in -load inst GT.0.1 GTX_X1Y1 syn -hier Quad0 -pg 1 -y 3017 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load inst cpll.0.0 {CHANNEL PLL} syn -hier Quad0 -pg 1 -y 3102 -x 1 -autohide -attr @name {} -attr @cell {} -attr @fillcolor #00868b -attribute {pin cpll.0.0 In} -attr @name {} -attribute {pin cpll.0.0 Out} -attr @name {} -load symbol GTX_X1Y0 syn HIERGEN port TX in port RX in -load inst GT.0.0 GTX_X1Y0 syn -hier Quad0 -pg 1 -y 3102 -x 2 -attr @name {} -attr @fillcolor #7a7a7a -load net net.REFCLK0_Q0 -port REFCLK0_Q0 -pin Quad0 i4 -load net net.Quad0.qpll -hierPin Quad0 i4 -pin qpll.0 In -load net net.quadpll.0 -pin qpll.0 Out -pin GT.0.2 TX -attribute {inst qpll.0} -attr @fillcolor #cccc00 -attribute {inst GT.0.2} -attr @fillcolor #cccc00 -load net net.REFCLK0_Q0 -port REFCLK0_Q0 -pin Quad0 i4 -load net net.Quad0.qpll -hierPin Quad0 i4 -pin qpll.0 In -load net net.quadpll.0 -pin qpll.0 Out -pin GT.0.2 RX -attribute {inst qpll.0} -attr @fillcolor #cccc00 -attribute {inst GT.0.2} -attr @fillcolor #cccc00 -show -# Starting Split Pages 1391.05 -# Split Pages 84 Comps, 3 Nets 0 NetBundles 1391.05 -# KwayPart started for 84 comps, 3 nets 1391.05 -# Init done: 1 nodes (1 locked nodes), 0 edges 1391.05 -# Startpart done: 1 parts 1391.05 -# KwayPart done 1391.05 -# End of Split Pages: 1 pages 1391.05 -# End of Split Pages 1391.05 -# Generating Regions for Page 1: 84 Comps, 3 Nets 1391.05 -# Analyze 1391.05 -# Levelize 1391.05 -# End of LevelAssign mode=I, 3 Levels, 9 Comps, limit=30000 1391.05 -# Nets 1391.05 -# Place 1391.05 -# PlaceNets 1391.05 -# SpaceY 1391.05 -# Track 1391.05 -# SpaceX 1391.05 -# Wire 1391.05 -# End of Wire: 0 nets, 0 ch, wire: 0-->0 (0 with wbits) 1391.05 -# End of doGenerate 1391.05 -# Analyze 1391.06 -# Levelize 1391.06 -# End of LevelAssign mode=I, 3 Levels, 9 Comps, limit=30000 1391.06 -# Nets 1391.06 -# Place 1391.06 -# PlaceNets 1391.06 -# SpaceY 1391.06 -# Track 1391.06 -# SpaceX 1391.06 -# Wire 1391.06 -# End of Wire: 0 nets, 0 ch, wire: 0-->0 (0 with wbits) 1391.06 -# End of doGenerate 1391.06 -# Analyze 1391.06 -# Levelize 1391.06 -# End of LevelAssign mode=I, 3 Levels, 9 Comps, limit=30000 1391.06 -# Nets 1391.06 -# Place 1391.06 -# PlaceNets 1391.06 -# SpaceY 1391.06 -# Track 1391.06 -# SpaceX 1391.06 -# Wire 1391.06 -# End of Wire: 0 nets, 0 ch, wire: 0-->0 (0 with wbits) 1391.06 -# End of doGenerate 1391.06 -# Analyze 1391.06 -# Levelize 1391.06 -# End of LevelAssign mode=I, 3 Levels, 9 Comps, limit=30000 1391.06 -# Nets 1391.06 -# Place 1391.06 -# PlaceNets 1391.06 -# SpaceY 1391.06 -# Track 1391.06 -# SpaceX 1391.06 -# Wire 1391.06 -# End of Wire: 0 nets, 0 ch, wire: 0-->0 (0 with wbits) 1391.06 -# End of doGenerate 1391.06 -# Analyze 1391.06 -# Levelize 1391.06 -# End of LevelAssign mode=I, 3 Levels, 9 Comps, limit=30000 1391.06 -# Nets 1391.06 -# Place 1391.06 -# PlaceNets 1391.06 -# SpaceY 1391.06 -# Track 1391.06 -# SpaceX 1391.06 -# Wire 1391.06 -# End of Wire: 0 nets, 0 ch, wire: 0-->0 (0 with wbits) 1391.06 -# End of doGenerate 1391.06 -# Analyze 1391.06 -# Levelize 1391.06 -# End of LevelAssign mode=I, 3 Levels, 9 Comps, limit=30000 1391.06 -# Nets 1391.06 -# Place 1391.06 -# PlaceNets 1391.06 -# SpaceY 1391.06 -# Track 1391.06 -# SpaceX 1391.06 -# Wire 1391.06 -# End of Wire: 0 nets, 0 ch, wire: 0-->0 (0 with wbits) 1391.06 -# End of doGenerate 1391.06 -# Analyze 1391.06 -# Levelize 1391.06 -# End of LevelAssign mode=I, 3 Levels, 10 Comps, limit=30000 1391.06 -# Nets 1391.06 -# Place 1391.06 -# PlaceNets 1391.06 -# SpaceY 1391.06 -# Track 1391.06 -# SpaceX 1391.06 -# Wire 1391.06 -# End of Wire: 2 nets, 2 ch, wire: 10-->10 (0 with wbits) 1391.06 -# End of doGenerate 1391.06 -# Analyze 1391.06 -# Levelize 1391.06 -# End of LevelAssign mode=I, 2 Levels, 21 Comps, limit=30000 1391.06 -# Nets 1391.06 -# Place 1391.06 -# PlaceNets 1391.06 -# SpaceY 1391.06 -# Track 1391.06 -# SpaceX 1391.06 -# Wire 1391.06 -# End of Wire: 1 nets, 1 ch, wire: 4-->4 (0 with wbits) 1391.06 -# End of doGenerate 1391.06 -# End of Generating Regions for Page 1 1391.06 -scrollpos 84 1360 -zoom -x 0 -y 0 0.68 -scrollpos 71 1156 -#R 0.68 -center_objects -itemized {inst GT.0.2} -scrollpos 176 2058 -#CMD scrollpos -#R 176 2058 -#CMD scrollpos -10 2058 -scrollpos -10 2058 -selection -itemized {inst GT.0.0} -scrollpos -10 2058 diff --git a/sources/interlaken/receiver/deframing_meta.vhd b/sources/interlaken/receiver/deframing_meta.vhd index ac0cc0e7febd11a38e798ce744fa75c5332fe24a..6eea107a95ca2520217d241a3f03ba7cfb79bd27 100644 --- a/sources/interlaken/receiver/deframing_meta.vhd +++ b/sources/interlaken/receiver/deframing_meta.vhd @@ -132,7 +132,7 @@ begin CRC32_In(57 downto 0) <= (others => '0'); -- CRC was generated with field padded with zeros --if(Packet_Counter = 23) then - elsif(Data_In(65 downto 58) = "10"&"0"&META_TYPE_DIAGNOSTIC or Data_In(65 downto 58) = "10"&"0"&"00110") then + elsif(Data_In(65 downto 58) = "10"&"0"&META_TYPE_DIAGNOSTIC) then -- or Data_In(65 downto 58) = "10"&"1"&"00110") then -- if(Data_In(65 downto 34) = "10"&DIAGNOSTIC ) then CRC32_Value <= Data_In(31 downto 0); CRC32_In(63 downto 58) <= Data_In(63 downto 58); diff --git a/sources/interlaken/receiver/descrambler.vhd b/sources/interlaken/receiver/descrambler.vhd index c29154b0dbe7e782d007f5ee10bd83d44f3961c8..1be173a6b4a660169cac3d16b5a31c7c453b6660 100644 --- a/sources/interlaken/receiver/descrambler.vhd +++ b/sources/interlaken/receiver/descrambler.vhd @@ -256,6 +256,7 @@ begin when others => -- @suppress "Case statement contains all choices explicitly. You can safely remove the redundant 'others'" pres_state <= IDLE; end case; + pres_state <= IDLE when Reset = '1'; -- fbonini 2022-10-28 : A reset condition alone - regardless of `if (Data_Valid_In_p1 = '1')` - should reset the signals (Descrambler lock signal stays high when core is reset) end if; end process output;