From 28c288ebaec50fd9b3d97a650e1a182a3c091f0e Mon Sep 17 00:00:00 2001
From: Frans Schreuder <f.schreuder@nikhef.nl>
Date: Fri, 26 Nov 2021 10:40:06 +0100
Subject: [PATCH] Applied license headers to all .vhd files

---
 NOTICE                                        |  2 +
 simulation/FELIX_Top/CRresetManager.vhd       | 19 ++++
 simulation/FELIX_Top/EPATH_FIFO_WRAP.vhd      | 19 ++++
 .../ISRAELGR_felix_top_bnl711_tb.vhd          | 17 ++++
 .../FELIX_Top/ISRAELGR_felix_top_tb.vhd       | 17 ++++
 simulation/FELIX_Top/RxMux24.vhd              | 19 ++++
 simulation/FELIX_Top/ToHostPCIeManager.vhd    | 19 ++++
 simulation/FELIX_Top/centralRouter.vhd        | 21 ++++
 simulation/FELIX_Top/dataMUX24_256bit.vhd     | 19 ++++
 simulation/FELIX_Top/dma_read_write.vhd       | 33 ++++---
 simulation/FELIX_Top/felig_sim_top_bnl712.vhd | 18 ++++
 simulation/FELIX_Top/felix_top_tb.vhd         | 32 +++---
 simulation/FELIX_Top/pcie_ep_wrap.vhd         | 17 ++++
 simulation/FELIX_Top/tb_TTCtoHost_channel.vhd | 17 ++++
 .../FELIX_Top/ttc_fmc_wrapper_xilinx.vhd      | 20 ++++
 simulation/FMEmu/FMEmu_top_bnl711_tb.vhd      | 19 ++++
 simulation/FMEmu/FMEmu_top_tb.vhd             | 19 ++++
 simulation/FMEmu/XoffDecoder_tb.vhd           | 18 ++++
 simulation/FMEmu/pcie_package_sim.vhd         | 41 +++++---
 simulation/ItkStrip/bypass_data_parser.vhd    | 17 ++++
 simulation/ItkStrip/decoder_queue_pkg.vhd     | 17 ++++
 simulation/ItkStrip/elink_data_parser.vhd     | 17 ++++
 simulation/ItkStrip/itk_frame_decoder.vhd     | 17 ++++
 .../ItkStrip/tb_EPROC_OUT4_lcb_phase1.vhd     | 17 ++++
 .../ItkStrip/tb_EPROC_OUT4_r3l1_phase1.vhd    | 17 ++++
 .../tb_EPROC_OUT8_strips_idle_inserter.vhd    | 17 ++++
 .../ItkStrip/tb_EPROC_OUT8_strips_phase1.vhd  | 17 ++++
 .../ItkStrip/tb_bypass_frame_aggregator.vhd   | 17 ++++
 simulation/ItkStrip/tb_bypass_frame_vvc.vhd   | 17 ++++
 .../tb_bypass_scheduler_continuous_write.vhd  | 17 ++++
 .../ItkStrip/tb_l0a_frame_generator.vhd       | 17 ++++
 simulation/ItkStrip/tb_lcb_axi_encoder.vhd    | 17 ++++
 .../ItkStrip/tb_lcb_command_decoder.vhd       | 17 ++++
 .../ItkStrip/tb_lcb_frame_generator.vhd       | 17 ++++
 simulation/ItkStrip/tb_lcb_mux.vhd            | 17 ++++
 .../ItkStrip/tb_lcb_scheduler_encoder.vhd     | 17 ++++
 .../ItkStrip/tb_playback_controller.vhd       | 17 ++++
 simulation/ItkStrip/tb_r3l1_axi_encoder.vhd   | 17 ++++
 .../ItkStrip/tb_r3l1_frame_generator.vhd      | 17 ++++
 .../ItkStrip/tb_r3l1_frame_synchronizer.vhd   | 17 ++++
 .../ItkStrip/tb_r3l1_scheduler_encoder.vhd    | 17 ++++
 simulation/ItkStrip/tb_regmap.vhd             | 17 ++++
 simulation/ItkStrip/tb_trickle_trigger.vhd    | 17 ++++
 simulation/ItkStrip/ttc_l0a_data_parser.vhd   | 17 ++++
 simulation/MROD_top_sim/clk_sim.vhd           | 18 ++++
 simulation/MROD_top_sim/mrod_top_sim.vhd      | 18 ++++
 simulation/TTC_emulator/file_reader_hex.vhd   | 17 ++++
 .../TTC_emulator/fmc_wrapper_tb_forEmu.vhd    | 17 ++++
 simulation/TTC_emulator/simulation_basics.vhd | 17 ++++
 simulation/TTC_emulator/ttc_emulator_tb.vhd   | 18 ++++
 .../UVVMExample/sim/vip_egr/egr_bfm_pkg.vhd   | 18 ++++
 .../UVVMExample/sim/vip_egr/egr_vvc.vhd       | 18 ++++
 .../UVVMExample/sim/vip_egr/td_queue_pkg.vhd  | 18 ++++
 .../sim/vip_egr/td_target_support_pkg.vhd     | 18 ++++
 .../sim/vip_egr/td_vvc_entity_support_pkg.vhd | 18 ++++
 .../td_vvc_framework_common_methods_pkg.vhd   | 18 ++++
 .../UVVMExample/sim/vip_egr/vvc_cmd_pkg.vhd   | 18 ++++
 .../sim/vip_egr/vvc_methods_pkg.vhd           | 18 ++++
 simulation/UVVMExample/src/Egroup.vhd         | 19 ++++
 simulation/UVVMExample/tb/Egroup_tb.vhd       | 18 ++++
 simulation/UVVMExample/tb/Egroup_th.vhd       | 19 ++++
 .../UVVMExample/tb/FULLModeToHost_tb.vhd      | 17 ++++
 .../UVVMExample/tb/centralRouter_tb.vhd       | 17 ++++
 simulation/UVVMExample/tb/crc20_datagen.vhd   | 17 ++++
 simulation/UVVMExample/tb/crc20_tb.vhd        | 17 ++++
 simulation/UVVMExample/tb/lookup_8b10b.vhd    | 17 ++++
 .../UVVMExample/tb/validate_8b10b_tb.vhd      | 17 ++++
 .../UVVMExample/tb/validate_wrap_8b10b_tb.vhd | 17 ++++
 simulation/Wupper/pcie_ep_sim_model.vhd       | 17 ++++
 .../Wupper/pcie_ep_wrap_sim_circularDMA.vhd   | 32 +++---
 simulation/Wupper/wupper_tb.vhd               | 32 +++---
 simulation/centralRouter/EGROUP_Reader.vhd    | 17 ++++
 .../ISRAELGR_TB_centralRouter_TOP.vhd         | 19 ++++
 simulation/centralRouter/TB_CRFM_TOP.vhd      | 18 ++++
 .../centralRouter/TB_EPROC_OUTx_HDLC.vhd      | 17 ++++
 .../centralRouter/TB_EPROC_to_EPROC.vhd       | 17 ++++
 .../centralRouter/TB_GBT_DATA_MANAGER_TOP.vhd | 17 ++++
 .../centralRouter/TB_centralRouter_TOP.vhd    | 18 ++++
 simulation/centralRouter/tb_EGROUP.vhd        | 18 ++++
 .../tb_upstreamEpathFifoWrap.vhd              | 17 ++++
 sources/AxisUtils/Axis32Fifo.vhd              | 17 ++++
 sources/CRC20/crc.vhd                         | 18 ++++
 sources/CRC20/crc20.vhd                       | 18 ++++
 sources/CRC32/CRC32_v2.vhd                    | 17 ++++
 .../CRToHost/ToHostAxiStreamController.vhd    | 17 ++++
 .../FanoutSelectors/GbtSmaOutputSelector.vhd  | 18 ++++
 .../downstream_fanout_selector.vhd            | 19 ++++
 .../fromfrontend_fanout_selector_FM.vhd       | 19 ++++
 .../upstream_fanout_selector.vhd              | 19 ++++
 sources/FelixMROD/Busy_TTC.vhd                | 17 ++++
 sources/FelixMROD/CRFM_felixmrod.vhd          | 22 +++++
 sources/FelixMROD/CR_Wupper.vhd               | 19 ++++
 sources/FelixMROD/CSMHandler.vhd              | 17 ++++
 sources/FelixMROD/CareTaker.vhd               | 18 ++++
 sources/FelixMROD/Clks_Reset.vhd              | 17 ++++
 sources/FelixMROD/DataEmu.vhd                 | 17 ++++
 sources/FelixMROD/EmptySup.vhd                | 17 ++++
 sources/FelixMROD/EvtMux.vhd                  | 17 ++++
 sources/FelixMROD/FSM_Align.vhd               | 18 ++++
 sources/FelixMROD/FSMux.vhd                   | 17 ++++
 sources/FelixMROD/Fifo4096w.vhd               | 17 ++++
 sources/FelixMROD/Fifo512x32s.vhd             | 17 ++++
 sources/FelixMROD/FifoCSMdata.vhd             | 17 ++++
 sources/FelixMROD/GetControls.vhd             | 18 ++++
 sources/FelixMROD/GetTRXControl.vhd           | 18 ++++
 sources/FelixMROD/GlueBox.vhd                 | 18 ++++
 sources/FelixMROD/GlueBox_EMU.vhd             | 18 ++++
 sources/FelixMROD/MakeBlocks.vhd              | 17 ++++
 sources/FelixMROD/PrepaChunk.vhd              | 18 ++++
 sources/FelixMROD/ReadFHFifo.vhd              | 17 ++++
 sources/FelixMROD/SyncTTC.vhd                 | 17 ++++
 sources/FelixMROD/TXCVR_1CH.vhd               | 18 ++++
 sources/FelixMROD/Transceiver.vhd             | 18 ++++
 sources/FelixMROD/Transceiver_Quad.vhd        | 18 ++++
 sources/FelixMROD/TrigCnt.vhd                 | 17 ++++
 sources/FelixMROD/TrxBuffer.vhd               | 18 ++++
 sources/FelixMROD/TrxBuffer_1CH.vhd           | 18 ++++
 sources/FelixMROD/TxDriver.vhd                | 18 ++++
 sources/FelixMROD/clock_and_reset.vhd         | 38 +++++---
 sources/FelixMROD/felix_mrod_package.vhd      | 19 ++++
 sources/FelixMROD/felix_mrod_top.vhd          | 19 ++++
 sources/FelixMROD/felix_mrod_top_EMU.vhd      | 18 ++++
 sources/FelixMROD/register_map_sync.vhd       | 20 ++++
 sources/FelixTop/felig_top_bnl712.vhd         | 35 ++++---
 sources/FelixTop/felix_fullmode_top.vhd       | 38 +++++---
 .../FelixTop/felix_fullmode_top_bnl711.vhd    | 41 +++++---
 sources/FelixTop/felix_top.vhd                | 42 +++++---
 sources/FelixTop/felix_top_bnl711.vhd         | 42 +++++---
 .../FullModeDataEmulator.vhd                  | 21 ++++
 sources/FullModeEmulator/FIFO34to34b.vhd      | 19 ++++
 .../FullModeEmulator/FIFOfromHost_256to32.vhd | 18 ++++
 .../FullModeEmulator/FIFOtoHost_32to256.vhd   | 18 ++++
 sources/FullModeEmulator/FMEmu_FSM.vhd        | 19 ++++
 sources/FullModeEmulator/FMEmu_FSM_mealy.vhd  | 18 ++++
 sources/FullModeEmulator/FMEmu_top.vhd        | 97 ++++---------------
 sources/FullModeEmulator/FMEmu_top_bnl711.vhd | 35 ++++---
 .../FMEmu_top_bnl711_debug.vhd                | 35 ++++---
 sources/FullModeEmulator/FMemuRAM.vhd         | 35 ++++---
 sources/FullModeEmulator/OUTPUTctrl.vhd       | 20 ++++
 sources/FullModeEmulator/OUTPUTctrl_emu.vhd   | 18 ++++
 sources/FullModeEmulator/TX_CLOCK_CHECK.vhd   | 17 ++++
 .../FullModeTransmitter/FMchannelTXctrl.vhd   | 19 ++++
 .../FMchannelTXctrl_emu.vhd                   | 19 ++++
 .../FullModeTransmitter/FullModeUserLogic.vhd | 17 ++++
 .../FullModeWrapper/FELIX_FM_gbt_wrapper.vhd  | 20 ++++
 .../FELIX_FM_gbt_wrapper_ku.vhd               | 22 +++++
 .../FullModeWrapper/fullmode_auto_rxreset.vhd | 17 ++++
 .../gth_fullmode_wrapper_ku.vhd               | 20 ++++
 .../gth_fullmode_wrapper_v7.vhd               | 18 ++++
 .../standalone_test/bit_err_calc.vhd          | 18 ++++
 .../standalone_test/gtx_one_prbs.vhd          | 18 ++++
 .../standalone_test/gtx_one_prbs_chk.vhd      | 18 ++++
 .../standalone_test/gtx_one_prbs_chknew.vhd   | 18 ++++
 .../standalone_test/prbs_any.vhd              | 17 ++++
 .../standalone_test/prbs_any_rx.vhd           | 17 ++++
 .../standalone_test/prbs_top.vhd              | 17 ++++
 .../support/prbs8b10b_9p6g_common.vhd         | 17 ++++
 .../support/prbs8b10b_9p6g_common_reset.vhd   | 17 ++++
 .../prbs8b10b_9p6g_gt_usrclk_source.vhd       | 17 ++++
 .../support/prbs8b10b_9p6g_support.vhd        | 17 ++++
 .../GBT/gbt_code/FELIX_GBT_RXSLIDE_FSM.vhd    | 17 ++++
 .../GBT/gbt_code/FELIX_GBT_RX_ALIGN_FSM.vhd   | 18 ++++
 .../GBT/gbt_code/FELIX_GBT_RX_AUTO_RST.vhd    | 18 ++++
 .../gbt_code/FELIX_gbt_wrapper_FELIGKCU.vhd   | 24 +++++
 .../GBT/gbt_code/FELIX_gbt_wrapper_KCU.vhd    | 23 +++++
 sources/GBT/gbt_code/FELIX_gbt_wrapper_V7.vhd | 21 ++++
 .../FELIX_gbt_wrapper_V7_low_latency.vhd      | 18 ++++
 .../GBT/gbt_code/FELIX_gbt_wrapper_no_gth.vhd | 19 ++++
 .../FELIX_gbt_wrapper_xoff_L1t_det.vhd        | 19 ++++
 sources/GBT/gbt_code/gbtRx_FELIX.vhd          | 19 ++++
 sources/GBT/gbt_code/gbtRx_wrap_FELIX.vhd     | 19 ++++
 sources/GBT/gbt_code/gbtTxRx_FELIX.vhd        | 19 ++++
 sources/GBT/gbt_code/gbtTx_FELIX.vhd          | 18 ++++
 sources/GBT/gbt_code/gbt_rx_decoder_FELIX.vhd | 18 ++++
 .../gbt_rx_decoder_gbtframe_chnsrch.vhd       | 18 ++++
 .../gbt_rx_decoder_gbtframe_deintlver.vhd     | 17 ++++
 .../gbt_rx_decoder_gbtframe_elpeval.vhd       | 17 ++++
 .../gbt_rx_decoder_gbtframe_errlcpoly.vhd     | 17 ++++
 .../gbt_rx_decoder_gbtframe_lmbddet.vhd       | 17 ++++
 .../gbt_rx_decoder_gbtframe_rs2errcor.vhd     | 17 ++++
 .../gbt_rx_decoder_gbtframe_rsdec.vhd         | 17 ++++
 .../gbt_rx_decoder_gbtframe_rsdec_sync.vhd    | 17 ++++
 .../gbt_rx_decoder_gbtframe_syndrom.vhd       | 17 ++++
 .../GBT/gbt_code/gbt_rx_descrambler_16bit.vhd | 18 ++++
 .../GBT/gbt_code/gbt_rx_descrambler_21bit.vhd | 18 ++++
 .../GBT/gbt_code/gbt_rx_descrambler_FELIX.vhd | 18 ++++
 .../gbt_rx_gearbox_FELIX_wi_rxbuffer.vhd      | 19 ++++
 .../gbt_rx_gearbox_FELIX_wo_rxbuffer.vhd      | 18 ++++
 sources/GBT/gbt_code/gbt_tx_encoder_FELIX.vhd | 18 ++++
 .../gbt_tx_encoder_gbtframe_intlver.vhd       | 17 ++++
 .../gbt_tx_encoder_gbtframe_polydiv.vhd       | 17 ++++
 .../gbt_tx_encoder_gbtframe_polydiv_sim.vhd   | 17 ++++
 .../gbt_tx_encoder_gbtframe_rsencode.vhd      | 17 ++++
 sources/GBT/gbt_code/gbt_tx_gearbox_FELIX.vhd | 18 ++++
 .../GBT/gbt_code/gbt_tx_scrambler_16bit.vhd   | 18 ++++
 .../GBT/gbt_code/gbt_tx_scrambler_21bit.vhd   | 18 ++++
 .../GBT/gbt_code/gbt_tx_scrambler_FELIX.vhd   | 18 ++++
 .../gbt_tx_timedomaincrossing_FELIX.vhd       | 18 ++++
 .../cpll4p8g1ch_KCU/GTH_CPLL_Wrapper.vhd      | 18 ++++
 .../cpll4p8g1ch_V7/GTH_CPLL_Wrapper_V7.vhd    | 17 ++++
 .../cpll4p8g4ch/gth_quad_4p8g_cpll_exdes.vhd  | 18 ++++
 .../gth_quad_4p8g_cpll_manual_gt.vhd          | 18 ++++
 .../gth_quad_4p8g_cpll_manual_init.vhd        | 18 ++++
 .../gth_quad_4p8g_cpll_manual_multi_gt.vhd    | 18 ++++
 ...4p8g_cpll_manual_rx_manual_phase_align.vhd | 17 ++++
 ...h_quad_4p8g_cpll_manual_rx_startup_fsm.vhd | 17 ++++
 .../gth_quad_4p8g_cpll_manual_sync_block.vhd  | 17 ++++
 .../gth_quad_4p8g_cpll_manual_sync_pulse.vhd  | 17 ++++
 ...4p8g_cpll_manual_tx_manual_phase_align.vhd | 17 ++++
 ...h_quad_4p8g_cpll_manual_tx_startup_fsm.vhd | 17 ++++
 sources/GBT/gth_code/gth_top.vhd              | 18 ++++
 sources/GBT/gth_code/gth_usrclk_gen.vhd       | 18 ++++
 .../qpll4p8g4ch/gtwizard_qpll_4p8g_4ch.vhd    | 18 ++++
 .../qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_gt.vhd | 18 ++++
 .../gtwizard_qpll_4p8g_4ch_gtrxreset_seq.vhd  | 17 ++++
 .../gtwizard_qpll_4p8g_4ch_init.vhd           | 18 ++++
 .../gtwizard_qpll_4p8g_4ch_multi_gt.vhd       | 18 ++++
 ...rd_qpll_4p8g_4ch_rx_manual_phase_align.vhd | 17 ++++
 .../gtwizard_qpll_4p8g_4ch_rx_startup_fsm.vhd | 17 ++++
 .../gtwizard_qpll_4p8g_4ch_sync_block.vhd     | 17 ++++
 .../gtwizard_qpll_4p8g_4ch_sync_pulse.vhd     | 17 ++++
 ...rd_qpll_4p8g_4ch_tx_manual_phase_align.vhd | 17 ++++
 .../gtwizard_qpll_4p8g_4ch_tx_startup_fsm.vhd | 17 ++++
 .../qpll4p8g4ch_KCU/GTH_QPLL_Wrapper.vhd      | 21 ++++
 .../GTH_QPLL_Wrapper_FELIG.vhd                | 22 +++++
 .../qpll4p8g4ch_V7/GTH_QPLL_Wrapper_V7.vhd    | 17 ++++
 .../GBTlinksDataEmulator/GBTdataEmulator.vhd  | 19 ++++
 .../IG_GBTdataEmulator.vhd                    | 20 ++++
 sources/ItkPixel/upstream_fanout_selector.vhd | 17 ++++
 ...am_fanout_selector_(telescope_readout).vhd | 17 ++++
 sources/ItkStrip/EPROC_OUT4_idle_inserter.vhd | 19 ++++
 .../EPROC_OUT4_itk_strip_lcb_phase1.vhd       | 19 ++++
 .../EPROC_OUT4_itk_strip_r3l1_phase1.vhd      | 19 ++++
 sources/ItkStrip/EPROC_OUT8_idle_inserter.vhd | 19 ++++
 sources/ItkStrip/EPROC_OUT8_strips_phase1.vhd | 19 ++++
 sources/ItkStrip/l0a_frame_generator.vhd      | 17 ++++
 sources/ItkStrip/lcb_axi_encoder.vhd          | 17 ++++
 sources/ItkStrip/lcb_command_decoder.vhd      | 17 ++++
 sources/ItkStrip/lcb_frame_generator.vhd      | 17 ++++
 sources/ItkStrip/lcb_mux.vhd                  | 17 ++++
 sources/ItkStrip/lcb_mux_reg.vhd              | 17 ++++
 sources/ItkStrip/lcb_regmap.vhd               | 17 ++++
 sources/ItkStrip/lcb_regmap_package.vhd       | 17 ++++
 sources/ItkStrip/lcb_scheduler_encoder.vhd    | 17 ++++
 sources/ItkStrip/lcb_trickle_trigger.vhd      | 17 ++++
 sources/ItkStrip/lcb_wrapper.vhd              | 17 ++++
 sources/ItkStrip/playback_controller.vhd      | 17 ++++
 sources/ItkStrip/r3l1_axi_encoder.vhd         | 17 ++++
 sources/ItkStrip/r3l1_frame_generator.vhd     | 17 ++++
 sources/ItkStrip/r3l1_frame_synchronizer.vhd  | 17 ++++
 sources/ItkStrip/r3l1_scheduler_encoder.vhd   | 17 ++++
 sources/ItkStrip/r3l1_wrapper.vhd             | 17 ++++
 .../strips_bypass_frame_aggregator.vhd        | 17 ++++
 sources/ItkStrip/strips_config_map.vhd        | 17 ++++
 sources/ItkStrip/strips_idle_inserter.vhd     | 17 ++++
 sources/ItkStrip/strips_package.vhd           | 17 ++++
 sources/PRandomDGen/randomd_gen.vhd           | 19 ++++
 sources/TTCdataEmulator/TTC_Emulator.vhd      | 22 +++++
 sources/TTCdataEmulator/delay_chain.vhd       | 19 ++++
 .../deprecated/TTC_Emulator.vhd               | 20 ++++
 .../deprecated/TTCdataSwitch.vhd              | 20 ++++
 sources/TTCdataEmulator/hilo_detect.vhd       | 18 ++++
 sources/TTCdataEmulator/pulse_extender.vhd    | 19 ++++
 sources/TTCdataEmulator/signal_delay.vhd      | 17 ++++
 sources/Xoff_decoder/8b10_dec.vhd             | 18 ++++
 sources/Xoff_decoder/8b10_dec_wrap.vhd        | 20 ++++
 sources/Xoff_decoder/EPROC_IN.vhd             | 18 ++++
 sources/Xoff_decoder/EPROC_IN_dec8b10b.vhd    | 18 ++++
 sources/Xoff_decoder/InputShifterNb.vhd       | 18 ++++
 sources/Xoff_decoder/Xoff_decoder_top.vhd     | 18 ++++
 sources/centralRouter/8b10_dec.vhd            | 18 ++++
 sources/centralRouter/8b10_dec_wrap.vhd       | 20 ++++
 sources/centralRouter/BLOCK_WORD_COUNTER.vhd  | 19 ++++
 sources/centralRouter/CD_COUNTER.vhd          | 19 ++++
 sources/centralRouter/CRFM.vhd                | 25 +++++
 sources/centralRouter/CRFM_TOPtest.vhd        | 18 ++++
 sources/centralRouter/CRFMmonitorMUX.vhd      | 19 ++++
 sources/centralRouter/CRresetManager.vhd      | 19 ++++
 sources/centralRouter/DEMUX32.vhd             | 17 ++++
 sources/centralRouter/DEMUX8.vhd              | 17 ++++
 .../DOWNSTREAM_TRANSFER_MANAGER.vhd           | 21 ++++
 sources/centralRouter/DownstreamEgroup.vhd    | 20 ++++
 .../centralRouter/DownstreamMiniEgroup.vhd    | 20 ++++
 sources/centralRouter/EGROUP_ID_LUT.vhd       | 17 ++++
 sources/centralRouter/EPATH_FIFO_WRAP.vhd     | 20 ++++
 .../centralRouter/EPATH_FIFO_WRAP_BNL711.vhd  | 20 ++++
 sources/centralRouter/EPROC_FIFO_DRIVER.vhd   | 22 +++++
 sources/centralRouter/EPROC_IN16.vhd          | 20 ++++
 .../centralRouter/EPROC_IN16_ALIGN_BLOCK.vhd  | 18 ++++
 sources/centralRouter/EPROC_IN16_DEC8b10b.vhd | 20 ++++
 sources/centralRouter/EPROC_IN16_direct.vhd   | 18 ++++
 sources/centralRouter/EPROC_IN2.vhd           | 20 ++++
 .../centralRouter/EPROC_IN2_ALIGN_BLOCK.vhd   | 18 ++++
 sources/centralRouter/EPROC_IN2_DEC8b10b.vhd  | 22 +++++
 sources/centralRouter/EPROC_IN2_HDLC.vhd      | 19 ++++
 sources/centralRouter/EPROC_IN2_direct.vhd    | 18 ++++
 sources/centralRouter/EPROC_IN4.vhd           | 20 ++++
 .../centralRouter/EPROC_IN4_ALIGN_BLOCK.vhd   | 18 ++++
 sources/centralRouter/EPROC_IN4_DEC8b10b.vhd  | 20 ++++
 sources/centralRouter/EPROC_IN4_direct.vhd    | 18 ++++
 sources/centralRouter/EPROC_IN8.vhd           | 20 ++++
 .../centralRouter/EPROC_IN8_ALIGN_BLOCK.vhd   | 18 ++++
 sources/centralRouter/EPROC_IN8_DEC8b10b.vhd  | 20 ++++
 sources/centralRouter/EPROC_IN8_direct.vhd    | 18 ++++
 sources/centralRouter/EPROC_OUT2.vhd          | 19 ++++
 sources/centralRouter/EPROC_OUT2_ENC8b10b.vhd | 19 ++++
 sources/centralRouter/EPROC_OUT2_HDLC.vhd     | 20 ++++
 sources/centralRouter/EPROC_OUT2_direct.vhd   | 18 ++++
 sources/centralRouter/EPROC_OUT4.vhd          | 20 ++++
 sources/centralRouter/EPROC_OUT4_ENC8b10b.vhd | 19 ++++
 sources/centralRouter/EPROC_OUT4_direct.vhd   | 19 ++++
 sources/centralRouter/EPROC_OUT8.vhd          | 20 ++++
 sources/centralRouter/EPROC_OUT8_ENC8b10b.vhd | 19 ++++
 sources/centralRouter/EprocDin.vhd            | 18 ++++
 sources/centralRouter/ExtendedTestPulse.vhd   | 18 ++++
 sources/centralRouter/GBT_DATA_MANAGER.vhd    | 24 +++++
 sources/centralRouter/GBTdmDownstream.vhd     | 22 +++++
 sources/centralRouter/GBTdmUpstream.vhd       | 21 ++++
 sources/centralRouter/KcharTest.vhd           | 19 ++++
 sources/centralRouter/MUX16.vhd               | 18 ++++
 sources/centralRouter/MUX16_Nbit.vhd          | 18 ++++
 sources/centralRouter/MUX2.vhd                | 18 ++++
 sources/centralRouter/MUX2_Nbit.vhd           | 18 ++++
 sources/centralRouter/MUX32.vhd               | 18 ++++
 sources/centralRouter/MUX4.vhd                | 18 ++++
 sources/centralRouter/MUX4_Nbit.vhd           | 18 ++++
 sources/centralRouter/MUX8.vhd                | 18 ++++
 sources/centralRouter/MUX8_16bit_sync.vhd     | 18 ++++
 sources/centralRouter/MUX8_Nbit.vhd           | 18 ++++
 sources/centralRouter/MUX8_sync.vhd           | 18 ++++
 sources/centralRouter/MUXn_d256b.vhd          | 18 ++++
 sources/centralRouter/PATH_ID_LUT.vhd         | 17 ++++
 sources/centralRouter/ReMux.vhd               | 17 ++++
 sources/centralRouter/ReMux24.vhd             | 19 ++++
 sources/centralRouter/ReMux7.vhd              | 18 ++++
 sources/centralRouter/ReMuxN.vhd              | 18 ++++
 sources/centralRouter/SCDataMANAGER.vhd       | 19 ++++
 sources/centralRouter/SCd32b_manager.vhd      | 18 ++++
 .../SixteenToTwoFiftySixTranslator.vhd        | 17 ++++
 sources/centralRouter/TTCtoHost_channel.vhd   | 23 +++++
 sources/centralRouter/ToHostPCIeManager.vhd   | 19 ++++
 .../UPSTREAM_TRANSFER_MANAGER.vhd             | 20 ++++
 sources/centralRouter/UpstreamEgroup.vhd      | 21 ++++
 sources/centralRouter/UpstreamMiniEgroup.vhd  | 21 ++++
 sources/centralRouter/XoffMapping.vhd         | 17 ++++
 sources/centralRouter/XoffMonitoring.vhd      | 17 ++++
 sources/centralRouter/block32word_counter.vhd | 17 ++++
 sources/centralRouter/centralRouter.vhd       | 27 ++++++
 .../centralRouter/centralRouter_TOPtest.vhd   | 19 ++++
 sources/centralRouter/clock_and_reset_cr.vhd  | 32 +++---
 sources/centralRouter/counterNbit.vhd         | 18 ++++
 sources/centralRouter/crConfigMap.vhd         | 22 +++++
 sources/centralRouter/crConfigMap_serial.vhd  | 17 ++++
 sources/centralRouter/crFHconfigMap.vhd       | 18 ++++
 sources/centralRouter/crTHFMconfigMap.vhd     | 18 ++++
 sources/centralRouter/dataMUX24_256bit.vhd    | 19 ++++
 sources/centralRouter/egroupSelector.vhd      | 18 ++++
 sources/centralRouter/enc8b10_wrap.vhd        | 20 ++++
 sources/centralRouter/enc_8b10.vhd            | 19 ++++
 sources/centralRouter/epathFIFOreadCTRL.vhd   | 19 ++++
 .../eproc_upgrade/DownstreamEgroupNew.vhd     | 17 ++++
 .../eproc_upgrade/DownstreamMiniEgroupNew.vhd | 18 ++++
 .../eproc_upgrade/EPATH_FIFO_DRIVER.vhd       | 18 ++++
 .../centralRouter/eproc_upgrade/EPROC_IN.vhd  | 17 ++++
 .../eproc_upgrade/EPROC_IN_HDLC.vhd           | 18 ++++
 .../eproc_upgrade/EPROC_IN_dec8b10b.vhd       | 17 ++++
 .../eproc_upgrade/GBTdmDownstream.vhd         | 18 ++++
 .../eproc_upgrade/InputShifter16b.vhd         | 17 ++++
 .../eproc_upgrade/InputShifterNb.vhd          | 17 ++++
 .../eproc_upgrade/epathFIFOreadCTRL.vhd       | 18 ++++
 .../eproc_upgrade/mux40to80MHz.vhd            | 17 ++++
 .../eproc_upgrade/synt_DownstreamEgroups.vhd  | 17 ++++
 .../centralRouter/eproc_upgrade/tb_EGROUP.vhd | 17 ++++
 .../centralRouter/eproc_upgrade/tb_EPROC.vhd  | 17 ++++
 .../centralRouter/fifo16KB_256to32_bit.vhd    | 17 ++++
 sources/centralRouter/fifo_16to256_bit.vhd    | 19 ++++
 sources/centralRouter/fifo_256to16_bit.vhd    | 18 ++++
 .../israelgr/BLOCK_WORD_COUNTER.vhd           | 18 ++++
 .../israelgr/DownstreamEgroup.vhd             | 17 ++++
 .../israelgr/DownstreamMiniEgroup.vhd         | 18 ++++
 .../israelgr/EPROC_FIFO_DRIVER.vhd            | 18 ++++
 sources/centralRouter/israelgr/EprocDin.vhd   | 17 ++++
 sources/centralRouter/israelgr/EprocHDLC.vhd  | 17 ++++
 .../israelgr/GBTdmDownstream.vhd              | 18 ++++
 .../israelgr/epathFIFOreadCTRL.vhd            | 18 ++++
 sources/centralRouter/monitorMUX.vhd          | 20 ++++
 sources/centralRouter/pulse_fall_pw01.vhd     | 17 ++++
 sources/centralRouter/pulse_pdxx_pwxx.vhd     | 18 ++++
 sources/centralRouter/re56demux.vhd           | 17 ++++
 sources/centralRouter/re64demux.vhd           | 18 ++++
 sources/centralRouter/reg16to32bit.vhd        | 18 ++++
 sources/centralRouter/reg8to16bit.vhd         | 19 ++++
 sources/centralRouter/selector24bit.vhd       | 17 ++++
 sources/centralRouter/thFMch_fifo_driver.vhd  | 22 +++++
 sources/centralRouter/thFMdm.vhd              | 21 ++++
 sources/centralRouter/thfmPCIeManager.vhd     | 21 ++++
 .../centralRouter/upstreamDataSelector.vhd    | 17 ++++
 .../centralRouter/upstreamEpathFifoWrap.vhd   | 20 ++++
 .../upstreamEpathFifoWrap_bnl711.vhd          | 20 ++++
 sources/decoding/FullToAxis.vhd               | 17 ++++
 .../feligHG710/PRandomDGen/randomd_gen.vhd    | 17 ++++
 .../feligHG710/checkers/gbtword_checker.vhd   | 18 ++++
 .../data_generator/elink_data_emulator.vhd    | 20 ++++
 .../data_generator/elink_packet_generator.vhd | 18 ++++
 .../data_generator/elink_printer.vhd          | 19 ++++
 .../elink_printer_bit_feeder.vhd              | 18 ++++
 .../elink_printer_bit_feeder_v2.vhd           | 18 ++++
 .../elink_printer_printhead.vhd               | 17 ++++
 .../data_generator/elink_printer_v2.vhd       | 17 ++++
 .../feligHG710/data_generator/prbs_16bit.vhd  | 17 ++++
 sources/feligHG710/emulator/Emulator.vhd      | 20 ++++
 .../feligHG710/emulator/EmulatorWrapper.vhd   | 19 ++++
 .../feligHG710/emulator/FELIG_gbt_wrapper.vhd | 17 ++++
 .../feligHG710/emulator/bert_frame_check.vhd  | 17 ++++
 sources/feligHG710/emulator/freq_counter.vhd  | 17 ++++
 .../emulator/gt_core_gt_frame_check.vhd       | 17 ++++
 .../emulator/gt_core_gt_frame_gen.vhd         | 17 ++++
 sources/feligHG710/emulator/mux_128_sync.vhd  | 17 ++++
 sources/feligHG710/emulator/mux_16.vhd        | 17 ++++
 sources/feligHG710/emulator/mux_8.vhd         | 17 ++++
 .../feligHG710/emulator/prbs_frame_gen.vhd    | 17 ++++
 sources/feligHG710/emulator/prbs_word_gen.vhd | 17 ++++
 .../centralRouter/upstreamEpathFifoWrap.vhd   | 19 ++++
 .../felix_modified/spi/LMK03200_spi.vhd       | 18 ++++
 .../felix_modified/spi/LMK03200_wrapper.vhd   | 21 ++++
 .../ttc/ttc_decoder/ttc_decode_wrapper.vhd    | 17 ++++
 .../ttc/ttc_decoder/ttc_decoder_core.vhd      | 20 ++++
 sources/feligHG710/packages/function_lib.vhd  | 17 ++++
 sources/feligHG710/packages/ip_lib.vhd        | 17 ++++
 sources/feligHG710/packages/sim_lib.vhd       | 17 ++++
 sources/feligHG710/packages/type_lib.vhd      | 18 ++++
 .../templates/LaneRegisterRemapper.vhd        | 18 ++++
 sources/felixUserSupport/FM_UserExample.vhd   | 78 ++++-----------
 .../felixUserSupport/FM_example_FIFOctrl.vhd  | 18 ++++
 .../felixUserSupport/FM_example_clocking.vhd  | 33 ++++---
 .../felixUserSupport/FM_example_emuram.vhd    | 18 ++++
 .../gth_qpll_wrapper_proca.vhd                | 18 ++++
 .../elinkInterface/Elink2FIFO.vhd             | 18 ++++
 .../elinkInterface/FIFO2Elink.vhd             | 18 ++++
 .../elinkInterface/TB_elinkInterface_top.vhd  | 18 ++++
 .../elinkInterface/elinkInterface_package.vhd | 17 ++++
 .../elinkInterface/elinkInterface_top.vhd     | 18 ++++
 .../FM_transceiver_BNL711_GBTin_FMout.vhd     | 19 ++++
 ...M_transceiver_BNL711_GBTin_FMout_inOne.vhd | 18 ++++
 .../FM_transceiver_BNL712_GBTin_FMout.vhd     | 19 ++++
 .../fullmodetransceiver.vhd                   | 18 ++++
 .../fullmodetransceiver_reset_fsm.vhd         | 17 ++++
 .../packages/FMTransceiverPackage.vhd         | 18 ++++
 sources/flash/flash_ipcore_bnl.vhd            | 18 ++++
 sources/flash/flash_wrapper.vhd               | 19 ++++
 sources/flash/flash_wrapper_stub.vhd          | 17 ++++
 .../housekeeping/GenericConstantsToRegs.vhd   | 24 +++++
 sources/housekeeping/clock_and_reset.vhd      | 40 +++++---
 sources/housekeeping/debug_port_module.vhd    | 19 ++++
 .../gc_multichannel_frequency_meter.vhd       | 17 ++++
 .../housekeeping/gc_pulse_synchronizer.vhd    | 17 ++++
 .../housekeeping/gc_pulse_synchronizer2.vhd   | 17 ++++
 sources/housekeeping/gc_sync_ffs.vhd          | 17 ++++
 sources/housekeeping/housekeeping_control.vhd | 18 ++++
 sources/housekeeping/housekeeping_module.vhd  | 23 +++++
 sources/housekeeping/housekeeping_monitor.vhd | 18 ++++
 sources/housekeeping/i2c_interface.vhd        | 19 ++++
 sources/housekeeping/si5324_init.vhd          | 19 ++++
 sources/housekeeping/spi_interface.vhd        | 19 ++++
 sources/i2c_master/I2C_Master_PEX.vhd         | 18 ++++
 sources/i2c_master/clkcfg.vhd                 | 17 ++++
 sources/i2c_master/i2c.vhd                    | 18 ++++
 sources/i2c_master/i2c_clk_gen.vhd            | 17 ++++
 sources/i2c_master/i2c_master.vhd             | 17 ++++
 sources/opencores/application.vhd             | 35 ++++---
 sources/opencores/wupper_oc_top.vhd           | 33 ++++---
 sources/packages/FELIX_gbt_package.vhd        | 20 ++++
 sources/packages/FELIX_package.vhd            | 19 ++++
 sources/packages/IG_centralRouter_package.vhd | 19 ++++
 sources/packages/axi_stream_package.vhd       | 17 ++++
 sources/packages/centralRouterTOPpackage.vhd  | 19 ++++
 sources/packages/centralRouter_package.vhd    | 25 +++++
 sources/packages/txt_util.vhd                 | 17 ++++
 sources/pcie/WupperFifos.vhd                  | 17 ++++
 sources/pcie/data_width_package_256.vhd       | 17 ++++
 sources/pcie/data_width_package_512.vhd       | 17 ++++
 sources/pcie/dma_read_write.vhd               | 20 ++++
 sources/pcie/intr_ctrl.vhd                    | 33 ++++---
 sources/pcie/pcie_clocking.vhd                | 32 +++---
 sources/pcie/pcie_ep_wrap.vhd                 | 37 ++++---
 sources/pcie/pcie_init.vhd                    | 33 ++++---
 sources/pcie/pcie_slow_clock.vhd              | 33 ++++---
 sources/pcie/pcie_versal_0_support.vhd        | 17 ++++
 sources/pcie/wupper_core.vhd                  | 36 ++++---
 sources/shared/card_type_specific_ios.vhd     | 33 ++++---
 sources/shared/dna.vhd                        | 17 ++++
 sources/shared/pex_init.vhd                   | 19 ++++
 sources/shared/standard_mux16.vhd             | 17 ++++
 sources/shared/xadc_drp.vhd                   | 20 ++++
 sources/spi/LMK03200_spi.vhd                  | 18 ++++
 sources/spi/LMK03200_wrapper.vhd              | 21 ++++
 sources/templates/dma_control.vhd             | 50 +++++++---
 sources/templates/dma_control.vhd.template    | 49 +++++++---
 sources/templates/pcie_package.vhd            | 48 ++++++---
 sources/templates/pcie_package.vhd.template   | 47 ++++++---
 sources/templates/register_map_sync.vhd       | 38 ++++++++
 .../templates/register_map_sync.vhd.template  | 19 +++-
 sources/templates/strips_config_package.vhd   | 21 ++++
 .../strips_config_package.vhd.template        | 21 ++++
 .../strips_phase1_long_stave_mapping.vhd      | 21 ++++
 ...ips_phase1_long_stave_mapping.vhd.template | 23 ++++-
 .../strips_phase1_unknown_mapping.vhd         | 21 ++++
 ...strips_phase1_unknown_mapping.vhd.template | 21 ++++
 sources/templates/wupper.vhd                  | 38 +++++---
 sources/templates/wupper.vhd.template         | 37 ++++---
 sources/ttc/ttc_busy/ttc_busy_limit_timer.vhd | 17 ++++
 sources/ttc/ttc_busy/ttc_busy_limiter.vhd     | 19 ++++
 sources/ttc/ttc_busy/ttc_busy_or.vhd          | 20 ++++
 sources/ttc/ttc_busy/ttc_busy_package.vhd     | 17 ++++
 .../ttc_decoder/TTC_hamming_decoder_alme.vhd  | 17 ++++
 sources/ttc/ttc_decoder/cdr2a_b_clk.vhd       | 21 ++++
 sources/ttc/ttc_decoder/pll_160MHz.vhd        | 17 ++++
 sources/ttc/ttc_decoder/ttc_decoder_core.vhd  | 19 ++++
 .../ttc_decoder/ttc_fmc_wrapper_xilinx.vhd    | 26 +++++
 sources/ttc/ttc_emulator.vhd                  | 19 ++++
 sources/ttc/ttc_fmc_top.vhd                   | 17 ++++
 521 files changed, 9630 insertions(+), 616 deletions(-)
 mode change 100755 => 100644 simulation/FMEmu/FMEmu_top_bnl711_tb.vhd
 mode change 100755 => 100644 simulation/ItkStrip/bypass_data_parser.vhd
 mode change 100755 => 100644 simulation/ItkStrip/decoder_queue_pkg.vhd
 mode change 100755 => 100644 simulation/ItkStrip/elink_data_parser.vhd
 mode change 100755 => 100644 simulation/ItkStrip/itk_frame_decoder.vhd
 mode change 100755 => 100644 simulation/ItkStrip/tb_EPROC_OUT4_r3l1_phase1.vhd
 mode change 100755 => 100644 simulation/ItkStrip/tb_EPROC_OUT8_strips_idle_inserter.vhd
 mode change 100755 => 100644 simulation/ItkStrip/tb_EPROC_OUT8_strips_phase1.vhd
 mode change 100755 => 100644 simulation/ItkStrip/tb_bypass_frame_vvc.vhd
 mode change 100755 => 100644 simulation/ItkStrip/tb_bypass_scheduler_continuous_write.vhd
 mode change 100755 => 100644 simulation/ItkStrip/tb_lcb_axi_encoder.vhd
 mode change 100755 => 100644 simulation/ItkStrip/tb_lcb_mux.vhd
 mode change 100755 => 100644 simulation/ItkStrip/tb_r3l1_axi_encoder.vhd
 mode change 100755 => 100644 simulation/ItkStrip/tb_r3l1_frame_generator.vhd
 mode change 100755 => 100644 simulation/ItkStrip/tb_r3l1_frame_synchronizer.vhd
 mode change 100755 => 100644 simulation/ItkStrip/tb_r3l1_scheduler_encoder.vhd
 mode change 100755 => 100644 simulation/ItkStrip/ttc_l0a_data_parser.vhd
 mode change 100755 => 100644 sources/FullModeEmulator/FMEmu_FSM_mealy.vhd
 mode change 100755 => 100644 sources/FullModeEmulator/FMEmu_top_bnl711.vhd
 mode change 100755 => 100644 sources/FullModeEmulator/FMEmu_top_bnl711_debug.vhd
 mode change 100755 => 100644 sources/FullModeEmulator/FMemuRAM.vhd
 mode change 100755 => 100644 sources/FullModeEmulator/OUTPUTctrl_emu.vhd
 mode change 100755 => 100644 sources/FullModeEmulator/TX_CLOCK_CHECK.vhd
 mode change 100755 => 100644 sources/GBT/gbt_code/FELIX_gbt_wrapper_no_gth.vhd
 mode change 100755 => 100644 sources/ItkStrip/EPROC_OUT4_idle_inserter.vhd
 mode change 100755 => 100644 sources/ItkStrip/EPROC_OUT4_itk_strip_r3l1_phase1.vhd
 mode change 100755 => 100644 sources/ItkStrip/EPROC_OUT8_idle_inserter.vhd
 mode change 100755 => 100644 sources/ItkStrip/EPROC_OUT8_strips_phase1.vhd
 mode change 100755 => 100644 sources/ItkStrip/lcb_mux.vhd
 mode change 100755 => 100644 sources/ItkStrip/lcb_mux_reg.vhd
 mode change 100755 => 100644 sources/ItkStrip/r3l1_axi_encoder.vhd
 mode change 100755 => 100644 sources/ItkStrip/r3l1_frame_generator.vhd
 mode change 100755 => 100644 sources/ItkStrip/r3l1_frame_synchronizer.vhd
 mode change 100755 => 100644 sources/ItkStrip/r3l1_scheduler_encoder.vhd
 mode change 100755 => 100644 sources/ItkStrip/r3l1_wrapper.vhd
 mode change 100755 => 100644 sources/ItkStrip/strips_config_map.vhd
 mode change 100755 => 100644 sources/ItkStrip/strips_idle_inserter.vhd
 mode change 100755 => 100644 sources/PRandomDGen/randomd_gen.vhd
 mode change 100755 => 100644 sources/feligHG710/PRandomDGen/randomd_gen.vhd
 mode change 100755 => 100644 sources/feligHG710/packages/sim_lib.vhd
 mode change 100755 => 100644 sources/felixUserSupport/fullmodetransceiver_gth_gth/FM_transceiver_BNL712_GBTin_FMout.vhd

diff --git a/NOTICE b/NOTICE
index cc31119da..ee0875dd7 100644
--- a/NOTICE
+++ b/NOTICE
@@ -41,3 +41,5 @@ Thei Wijnen <t.wijnen@hef.ru.nl>
 Tong Xu <xut@felix02.hep.anl.gov>
 Weihao Wu <weihaowu@bnl.gov>
 William Wulff <william.wulff@cern.ch>
+
+Files under sources/spi are distributed under the GNU Lesser General Public License, but are not used in FELIX anymore
diff --git a/simulation/FELIX_Top/CRresetManager.vhd b/simulation/FELIX_Top/CRresetManager.vhd
index f46f9f282..d2f05961b 100644
--- a/simulation/FELIX_Top/CRresetManager.vhd
+++ b/simulation/FELIX_Top/CRresetManager.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Israel Grayzman
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/simulation/FELIX_Top/EPATH_FIFO_WRAP.vhd b/simulation/FELIX_Top/EPATH_FIFO_WRAP.vhd
index ff738579b..19d7c98c7 100644
--- a/simulation/FELIX_Top/EPATH_FIFO_WRAP.vhd
+++ b/simulation/FELIX_Top/EPATH_FIFO_WRAP.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Julia Narevicius
+--!               Israel Grayzman
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/simulation/FELIX_Top/ISRAELGR_felix_top_bnl711_tb.vhd b/simulation/FELIX_Top/ISRAELGR_felix_top_bnl711_tb.vhd
index 9fd91663a..8003bc8b6 100644
--- a/simulation/FELIX_Top/ISRAELGR_felix_top_bnl711_tb.vhd
+++ b/simulation/FELIX_Top/ISRAELGR_felix_top_bnl711_tb.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Israel Grayzman
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: Israel Grayzman (israel.grayzman@weizmann.ac.il)
diff --git a/simulation/FELIX_Top/ISRAELGR_felix_top_tb.vhd b/simulation/FELIX_Top/ISRAELGR_felix_top_tb.vhd
index b95e84319..6524edf95 100644
--- a/simulation/FELIX_Top/ISRAELGR_felix_top_tb.vhd
+++ b/simulation/FELIX_Top/ISRAELGR_felix_top_tb.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Israel Grayzman
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: Israel Grayzman (israel.grayzman@weizmann.ac.il)
diff --git a/simulation/FELIX_Top/RxMux24.vhd b/simulation/FELIX_Top/RxMux24.vhd
index 9057efdf3..e8d23aeec 100644
--- a/simulation/FELIX_Top/RxMux24.vhd
+++ b/simulation/FELIX_Top/RxMux24.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Julia Narevicius
+--!               Israel Grayzman
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/simulation/FELIX_Top/ToHostPCIeManager.vhd b/simulation/FELIX_Top/ToHostPCIeManager.vhd
index 4637f5ad0..5675ff93c 100644
--- a/simulation/FELIX_Top/ToHostPCIeManager.vhd
+++ b/simulation/FELIX_Top/ToHostPCIeManager.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Julia Narevicius
+--!               Israel Grayzman
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/simulation/FELIX_Top/centralRouter.vhd b/simulation/FELIX_Top/centralRouter.vhd
index 6bc4e5ea2..bbf6ae27d 100644
--- a/simulation/FELIX_Top/centralRouter.vhd
+++ b/simulation/FELIX_Top/centralRouter.vhd
@@ -1,3 +1,24 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Andrea Borga
+--!               Kai Chen
+--!               Julia Narevicius
+--!               Frans Schreuder
+--!               Israel Grayzman
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.
 --! Engineer: juna
diff --git a/simulation/FELIX_Top/dataMUX24_256bit.vhd b/simulation/FELIX_Top/dataMUX24_256bit.vhd
index 871c9185d..95b8624a3 100644
--- a/simulation/FELIX_Top/dataMUX24_256bit.vhd
+++ b/simulation/FELIX_Top/dataMUX24_256bit.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Julia Narevicius
+--!               Israel Grayzman
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/simulation/FELIX_Top/dma_read_write.vhd b/simulation/FELIX_Top/dma_read_write.vhd
index 8f7516eaa..cc5731dd4 100644
--- a/simulation/FELIX_Top/dma_read_write.vhd
+++ b/simulation/FELIX_Top/dma_read_write.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Israel Grayzman
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 --!------------------------------------------------------------------------------
 --!                                                             
@@ -29,21 +47,6 @@
 --!  
 --!
 --! ------------------------------------------------------------------------------
---! Virtex7 PCIe Gen3 DMA Core
---! 
---! \copyright GNU LGPL License
---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br>
---! This library is free software; you can redistribute it and/or
---! modify it under the terms of the GNU Lesser General Public
---! License as published by the Free Software Foundation; either
---! version 3.0 of the License, or (at your option) any later version.
---! This library is distributed in the hope that it will be useful,
---! but WITHOUT ANY WARRANTY; without even the implied warranty of
---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
---! Lesser General Public License for more details.<br>
---! You should have received a copy of the GNU Lesser General Public
---! License along with this library.
---! 
 -- 
 --! @brief ieee
 
diff --git a/simulation/FELIX_Top/felig_sim_top_bnl712.vhd b/simulation/FELIX_Top/felig_sim_top_bnl712.vhd
index 24d2bbdec..4587cedda 100644
--- a/simulation/FELIX_Top/felig_sim_top_bnl712.vhd
+++ b/simulation/FELIX_Top/felig_sim_top_bnl712.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               mtrovato
+--!               Ricardo Luz
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --TO DO: embed trigger in gbt_rx_data_120b once every X kHZ. For now simulation
 --works only with internal triggering
 --==============================================================================
diff --git a/simulation/FELIX_Top/felix_top_tb.vhd b/simulation/FELIX_Top/felix_top_tb.vhd
index b2587e8be..58beb7b92 100644
--- a/simulation/FELIX_Top/felix_top_tb.vhd
+++ b/simulation/FELIX_Top/felix_top_tb.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 --!------------------------------------------------------------------------------
 --!                                                             
@@ -29,21 +46,6 @@
 --!  
 --!
 --! ------------------------------------------------------------------------------
---! Virtex7 PCIe Gen3 DMA Core
---! 
---! \copyright GNU LGPL License
---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br>
---! This library is free software; you can redistribute it and/or
---! modify it under the terms of the GNU Lesser General Public
---! License as published by the Free Software Foundation; either
---! version 3.0 of the License, or (at your option) any later version.
---! This library is distributed in the hope that it will be useful,
---! but WITHOUT ANY WARRANTY; without even the implied warranty of
---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
---! Lesser General Public License for more details.<br>
---! You should have received a copy of the GNU Lesser General Public
---! License along with this library.
---! 
 -- 
 --! @brief ieee
 
diff --git a/simulation/FELIX_Top/pcie_ep_wrap.vhd b/simulation/FELIX_Top/pcie_ep_wrap.vhd
index e77c0d8c4..c97155dd0 100644
--- a/simulation/FELIX_Top/pcie_ep_wrap.vhd
+++ b/simulation/FELIX_Top/pcie_ep_wrap.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 -- Empty wrapper for the simulation of the pcie core, force will be used to make the PCIe commands.
 
 
diff --git a/simulation/FELIX_Top/tb_TTCtoHost_channel.vhd b/simulation/FELIX_Top/tb_TTCtoHost_channel.vhd
index 4d70624c2..983e1051b 100644
--- a/simulation/FELIX_Top/tb_TTCtoHost_channel.vhd
+++ b/simulation/FELIX_Top/tb_TTCtoHost_channel.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 library work, ieee;
 use ieee.std_logic_1164.all;
 use ieee.numeric_std.all;
diff --git a/simulation/FELIX_Top/ttc_fmc_wrapper_xilinx.vhd b/simulation/FELIX_Top/ttc_fmc_wrapper_xilinx.vhd
index 8b58548f0..8f7ac5ac7 100644
--- a/simulation/FELIX_Top/ttc_fmc_wrapper_xilinx.vhd
+++ b/simulation/FELIX_Top/ttc_fmc_wrapper_xilinx.vhd
@@ -1,3 +1,23 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Soo Ryu
+--!               Israel Grayzman
+--!               Alessandra Camplani
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 library ieee;
 use ieee.std_logic_1164.all;
 use ieee.std_logic_arith.all;
diff --git a/simulation/FMEmu/FMEmu_top_bnl711_tb.vhd b/simulation/FMEmu/FMEmu_top_bnl711_tb.vhd
old mode 100755
new mode 100644
index b4747836a..cc2477fd9
--- a/simulation/FMEmu/FMEmu_top_bnl711_tb.vhd
+++ b/simulation/FMEmu/FMEmu_top_bnl711_tb.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               RHabraken
+--!               Mesfin Gebyehu
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 ----------------------------------------------------------------------------------
 -- Company: Radboud University Nijmegen
diff --git a/simulation/FMEmu/FMEmu_top_tb.vhd b/simulation/FMEmu/FMEmu_top_tb.vhd
index 5301c8f41..616c9a7b0 100644
--- a/simulation/FMEmu/FMEmu_top_tb.vhd
+++ b/simulation/FMEmu/FMEmu_top_tb.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               RHabraken
+--!               Mesfin Gebyehu
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 ----------------------------------------------------------------------------------
 -- Company: Radboud University Nijmegen
diff --git a/simulation/FMEmu/XoffDecoder_tb.vhd b/simulation/FMEmu/XoffDecoder_tb.vhd
index bd4cc20ba..7672dc390 100644
--- a/simulation/FMEmu/XoffDecoder_tb.vhd
+++ b/simulation/FMEmu/XoffDecoder_tb.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Mesfin Gebyehu
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 -- Company: 
 -- Engineer: 
diff --git a/simulation/FMEmu/pcie_package_sim.vhd b/simulation/FMEmu/pcie_package_sim.vhd
index 8d132cf8d..5fb041099 100644
--- a/simulation/FMEmu/pcie_package_sim.vhd
+++ b/simulation/FMEmu/pcie_package_sim.vhd
@@ -1,3 +1,27 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Mark Donszelmann
+--!               Andrea Borga
+--!               Rene Habraken
+--!               Soo Ryu
+--!               Kai Chen
+--!               Israel Grayzman
+--!               Frans Schreuder
+--!               Mesfin Gebyehu
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 -- ***************************************************************************
 -- ***************************************************************************
 -- ***************************************************************************
@@ -51,21 +75,6 @@
 --!
 --!
 --! ------------------------------------------------------------------------------
---! Virtex7 PCIe Gen3 DMA Core
---!
---! \copyright GNU LGPL License
---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br>
---! This library is free software; you can redistribute it and/or
---! modify it under the terms of the GNU Lesser General Public
---! License as published by the Free Software Foundation; either
---! version 3.0 of the License, or (at your option) any later version.
---! This library is distributed in the hope that it will be useful,
---! but WITHOUT ANY WARRANTY; without even the implied warranty of
---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
---! Lesser General Public License for more details.<br>
---! You should have received a copy of the GNU Lesser General Public
---! License along with this library.
---!
 
 --! @brief ieee
 
@@ -8367,4 +8376,4 @@ package body pcie_package is
     begin
         return A(A'low);
     end function to_sl;
-end pcie_package;
\ No newline at end of file
+end pcie_package;
diff --git a/simulation/ItkStrip/bypass_data_parser.vhd b/simulation/ItkStrip/bypass_data_parser.vhd
old mode 100755
new mode 100644
index 2cee9552e..2b4d1a263
--- a/simulation/ItkStrip/bypass_data_parser.vhd
+++ b/simulation/ItkStrip/bypass_data_parser.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --------------------------------------------------------------------------------
 -- Title       : Testbench helper module for sending elink data to Strips modules
 -- Project     : FELIX
diff --git a/simulation/ItkStrip/decoder_queue_pkg.vhd b/simulation/ItkStrip/decoder_queue_pkg.vhd
old mode 100755
new mode 100644
index df1797ec7..da63cda51
--- a/simulation/ItkStrip/decoder_queue_pkg.vhd
+++ b/simulation/ItkStrip/decoder_queue_pkg.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 library uvvm_vvc_framework;
 use uvvm_vvc_framework.ti_generic_queue_pkg;
 
diff --git a/simulation/ItkStrip/elink_data_parser.vhd b/simulation/ItkStrip/elink_data_parser.vhd
old mode 100755
new mode 100644
index d0e026a17..30fd35aae
--- a/simulation/ItkStrip/elink_data_parser.vhd
+++ b/simulation/ItkStrip/elink_data_parser.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --------------------------------------------------------------------------------
 -- Title       : Testbench helper module for sending elink data to Strips modules
 -- Project     : FELIX
diff --git a/simulation/ItkStrip/itk_frame_decoder.vhd b/simulation/ItkStrip/itk_frame_decoder.vhd
old mode 100755
new mode 100644
index db6107d60..30c7dc4d2
--- a/simulation/ItkStrip/itk_frame_decoder.vhd
+++ b/simulation/ItkStrip/itk_frame_decoder.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --------------------------------------------------------------------------------
 -- Title       : Testbench helper module for decoding ITK Strips data
 -- Project     : FELIX
diff --git a/simulation/ItkStrip/tb_EPROC_OUT4_lcb_phase1.vhd b/simulation/ItkStrip/tb_EPROC_OUT4_lcb_phase1.vhd
index 29a54ced4..126323f7d 100644
--- a/simulation/ItkStrip/tb_EPROC_OUT4_lcb_phase1.vhd
+++ b/simulation/ItkStrip/tb_EPROC_OUT4_lcb_phase1.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --------------------------------------------------------------------------------
 -- Title       : tb_EPROC_OUT4_phase1
 -- Project     : Default Project Name
diff --git a/simulation/ItkStrip/tb_EPROC_OUT4_r3l1_phase1.vhd b/simulation/ItkStrip/tb_EPROC_OUT4_r3l1_phase1.vhd
old mode 100755
new mode 100644
index 2719a30bb..38dc4812c
--- a/simulation/ItkStrip/tb_EPROC_OUT4_r3l1_phase1.vhd
+++ b/simulation/ItkStrip/tb_EPROC_OUT4_r3l1_phase1.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --------------------------------------------------------------------------------
 -- Title       : tb_EPROC_OUT4_r3l1_phase1
 -- Project     : Default Project Name
diff --git a/simulation/ItkStrip/tb_EPROC_OUT8_strips_idle_inserter.vhd b/simulation/ItkStrip/tb_EPROC_OUT8_strips_idle_inserter.vhd
old mode 100755
new mode 100644
index 46b9aec51..e1f6789fd
--- a/simulation/ItkStrip/tb_EPROC_OUT8_strips_idle_inserter.vhd
+++ b/simulation/ItkStrip/tb_EPROC_OUT8_strips_idle_inserter.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --------------------------------------------------------------------------------
 -- Title       : tb_EPROC_OUT8_strips_idle_inserter
 -- Project     : Default Project Name
diff --git a/simulation/ItkStrip/tb_EPROC_OUT8_strips_phase1.vhd b/simulation/ItkStrip/tb_EPROC_OUT8_strips_phase1.vhd
old mode 100755
new mode 100644
index c4aa96e4f..e7c4c6c54
--- a/simulation/ItkStrip/tb_EPROC_OUT8_strips_phase1.vhd
+++ b/simulation/ItkStrip/tb_EPROC_OUT8_strips_phase1.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --------------------------------------------------------------------------------
 -- Title       : tb_EPROC_OUT8_strips_phase1
 -- Project     : Default Project Name
diff --git a/simulation/ItkStrip/tb_bypass_frame_aggregator.vhd b/simulation/ItkStrip/tb_bypass_frame_aggregator.vhd
index cb3d285e6..13478daff 100644
--- a/simulation/ItkStrip/tb_bypass_frame_aggregator.vhd
+++ b/simulation/ItkStrip/tb_bypass_frame_aggregator.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --------------------------------------------------------------------------------
 -- Title       : ITk Strips package
 -- Project     : FELIX
diff --git a/simulation/ItkStrip/tb_bypass_frame_vvc.vhd b/simulation/ItkStrip/tb_bypass_frame_vvc.vhd
old mode 100755
new mode 100644
index fdf3aeb83..cdc509d75
--- a/simulation/ItkStrip/tb_bypass_frame_vvc.vhd
+++ b/simulation/ItkStrip/tb_bypass_frame_vvc.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --------------------------------------------------------------------------------
 -- Title       : Testbench simulation for interaction between 
 -- 				 bypass_aggregator and lcb_scheduler_encoder modules
diff --git a/simulation/ItkStrip/tb_bypass_scheduler_continuous_write.vhd b/simulation/ItkStrip/tb_bypass_scheduler_continuous_write.vhd
old mode 100755
new mode 100644
index ceb3d0992..2e75681f1
--- a/simulation/ItkStrip/tb_bypass_scheduler_continuous_write.vhd
+++ b/simulation/ItkStrip/tb_bypass_scheduler_continuous_write.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --------------------------------------------------------------------------------
 -- Title       : Testbench simulation for interaction between 
 -- 				 bypass_aggregator and lcb_scheduler_encoder modules
diff --git a/simulation/ItkStrip/tb_l0a_frame_generator.vhd b/simulation/ItkStrip/tb_l0a_frame_generator.vhd
index 2029b0cab..a947e9c2a 100644
--- a/simulation/ItkStrip/tb_l0a_frame_generator.vhd
+++ b/simulation/ItkStrip/tb_l0a_frame_generator.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --------------------------------------------------------------------------------
 -- Title       : ITk Strips package
 -- Project     : FELIX
diff --git a/simulation/ItkStrip/tb_lcb_axi_encoder.vhd b/simulation/ItkStrip/tb_lcb_axi_encoder.vhd
old mode 100755
new mode 100644
index aa38b0b06..a05d233e2
--- a/simulation/ItkStrip/tb_lcb_axi_encoder.vhd
+++ b/simulation/ItkStrip/tb_lcb_axi_encoder.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --------------------------------------------------------------------------------
 -- Title       : Testbench simulation for LCB encoder
 -- Project     : FELIX
diff --git a/simulation/ItkStrip/tb_lcb_command_decoder.vhd b/simulation/ItkStrip/tb_lcb_command_decoder.vhd
index 7316375ef..ac2dc8280 100644
--- a/simulation/ItkStrip/tb_lcb_command_decoder.vhd
+++ b/simulation/ItkStrip/tb_lcb_command_decoder.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 library ieee;
 use ieee.std_logic_1164.all;
 use ieee.numeric_std.all;
diff --git a/simulation/ItkStrip/tb_lcb_frame_generator.vhd b/simulation/ItkStrip/tb_lcb_frame_generator.vhd
index 4422ff0ab..15d3c790d 100644
--- a/simulation/ItkStrip/tb_lcb_frame_generator.vhd
+++ b/simulation/ItkStrip/tb_lcb_frame_generator.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --------------------------------------------------------------------------------
 -- Title       : Testbench simulation for lcb_frame_generator
 -- Project     : FELIX
diff --git a/simulation/ItkStrip/tb_lcb_mux.vhd b/simulation/ItkStrip/tb_lcb_mux.vhd
old mode 100755
new mode 100644
index a1932a6f6..a76ade64a
--- a/simulation/ItkStrip/tb_lcb_mux.vhd
+++ b/simulation/ItkStrip/tb_lcb_mux.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --------------------------------------------------------------------------------
 -- Title       : Testbench simulation for LCB MUX (phase1 only)
 -- Project     : FELIX
diff --git a/simulation/ItkStrip/tb_lcb_scheduler_encoder.vhd b/simulation/ItkStrip/tb_lcb_scheduler_encoder.vhd
index c8c8bd02a..6423e2ebb 100644
--- a/simulation/ItkStrip/tb_lcb_scheduler_encoder.vhd
+++ b/simulation/ItkStrip/tb_lcb_scheduler_encoder.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --------------------------------------------------------------------------------
 -- Title       : Testbench simulation for lcb_scheduler_encoder
 -- Project     : FELIX
diff --git a/simulation/ItkStrip/tb_playback_controller.vhd b/simulation/ItkStrip/tb_playback_controller.vhd
index 6aa3c667b..81d44ad19 100644
--- a/simulation/ItkStrip/tb_playback_controller.vhd
+++ b/simulation/ItkStrip/tb_playback_controller.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 library ieee;
 use ieee.std_logic_1164.all;
 use ieee.numeric_std.all;
diff --git a/simulation/ItkStrip/tb_r3l1_axi_encoder.vhd b/simulation/ItkStrip/tb_r3l1_axi_encoder.vhd
old mode 100755
new mode 100644
index b2ec2727b..6f257636a
--- a/simulation/ItkStrip/tb_r3l1_axi_encoder.vhd
+++ b/simulation/ItkStrip/tb_r3l1_axi_encoder.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --------------------------------------------------------------------------------
 -- Title       : Testbench simulation for R3L1 encoder
 -- Project     : FELIX
diff --git a/simulation/ItkStrip/tb_r3l1_frame_generator.vhd b/simulation/ItkStrip/tb_r3l1_frame_generator.vhd
old mode 100755
new mode 100644
index dfe8f43d7..82f41d002
--- a/simulation/ItkStrip/tb_r3l1_frame_generator.vhd
+++ b/simulation/ItkStrip/tb_r3l1_frame_generator.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --------------------------------------------------------------------------------
 -- Title       : ITk Strips package
 -- Project     : FELIX
diff --git a/simulation/ItkStrip/tb_r3l1_frame_synchronizer.vhd b/simulation/ItkStrip/tb_r3l1_frame_synchronizer.vhd
old mode 100755
new mode 100644
index f47b6576d..bcb7c7f5f
--- a/simulation/ItkStrip/tb_r3l1_frame_synchronizer.vhd
+++ b/simulation/ItkStrip/tb_r3l1_frame_synchronizer.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --------------------------------------------------------------------------------
 -- Title       : ITk Strips package
 -- Project     : FELIX
diff --git a/simulation/ItkStrip/tb_r3l1_scheduler_encoder.vhd b/simulation/ItkStrip/tb_r3l1_scheduler_encoder.vhd
old mode 100755
new mode 100644
index 1fddc8bf3..3deb186af
--- a/simulation/ItkStrip/tb_r3l1_scheduler_encoder.vhd
+++ b/simulation/ItkStrip/tb_r3l1_scheduler_encoder.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --------------------------------------------------------------------------------
 -- Title       : Testbench simulation for r3l1_scheduler_encoder
 -- Project     : FELIX
diff --git a/simulation/ItkStrip/tb_regmap.vhd b/simulation/ItkStrip/tb_regmap.vhd
index 9d953d3ff..30f6cdf45 100644
--- a/simulation/ItkStrip/tb_regmap.vhd
+++ b/simulation/ItkStrip/tb_regmap.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 library ieee;
 use ieee.std_logic_1164.all;
 use ieee.numeric_std.all;
diff --git a/simulation/ItkStrip/tb_trickle_trigger.vhd b/simulation/ItkStrip/tb_trickle_trigger.vhd
index e207840d5..f8fe17aff 100644
--- a/simulation/ItkStrip/tb_trickle_trigger.vhd
+++ b/simulation/ItkStrip/tb_trickle_trigger.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 library ieee;
 use ieee.std_logic_1164.all;
 use ieee.numeric_std.all;
diff --git a/simulation/ItkStrip/ttc_l0a_data_parser.vhd b/simulation/ItkStrip/ttc_l0a_data_parser.vhd
old mode 100755
new mode 100644
index 920cc0b28..63e75dfc0
--- a/simulation/ItkStrip/ttc_l0a_data_parser.vhd
+++ b/simulation/ItkStrip/ttc_l0a_data_parser.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --------------------------------------------------------------------------------
 -- Title       : Testbench helper module for sending L0A data to Strips modules
 -- Project     : FELIX
diff --git a/simulation/MROD_top_sim/clk_sim.vhd b/simulation/MROD_top_sim/clk_sim.vhd
index d26a6de79..0845bc51e 100644
--- a/simulation/MROD_top_sim/clk_sim.vhd
+++ b/simulation/MROD_top_sim/clk_sim.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Rene
+--!               Thei Wijnen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 library ieee, work;
 use ieee.std_logic_1164.all;
 use ieee.numeric_std.all;
diff --git a/simulation/MROD_top_sim/mrod_top_sim.vhd b/simulation/MROD_top_sim/mrod_top_sim.vhd
index 09ba60bc1..b441bbb49 100644
--- a/simulation/MROD_top_sim/mrod_top_sim.vhd
+++ b/simulation/MROD_top_sim/mrod_top_sim.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Rene
+--!               Thei Wijnen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --! @authors    R. Habraken, T. Wijnen
 --! @company    Radboud University Nijmegen
diff --git a/simulation/TTC_emulator/file_reader_hex.vhd b/simulation/TTC_emulator/file_reader_hex.vhd
index ebf27b090..23d57c697 100644
--- a/simulation/TTC_emulator/file_reader_hex.vhd
+++ b/simulation/TTC_emulator/file_reader_hex.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Alessandra Camplani
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --------------------------------------------------------------------------------
 -- Design     : file_reader_hex 
 -- Author     : Steffen Staerz
diff --git a/simulation/TTC_emulator/fmc_wrapper_tb_forEmu.vhd b/simulation/TTC_emulator/fmc_wrapper_tb_forEmu.vhd
index 012337510..f37c23fdf 100644
--- a/simulation/TTC_emulator/fmc_wrapper_tb_forEmu.vhd
+++ b/simulation/TTC_emulator/fmc_wrapper_tb_forEmu.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Alessandra Camplani
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --------------------------------------------------------------------------------
 -- Design     : fmc_wrapper_tb_forEmu  
 -- Author     : Alessandra Camplani
diff --git a/simulation/TTC_emulator/simulation_basics.vhd b/simulation/TTC_emulator/simulation_basics.vhd
index 9ca1dfd29..ece2cb4a6 100644
--- a/simulation/TTC_emulator/simulation_basics.vhd
+++ b/simulation/TTC_emulator/simulation_basics.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Alessandra Camplani
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 -------------------------------------------------------------------------------
 -- Design     : simulation_basics.vhd
 -- Author     : Steffen Staerz
diff --git a/simulation/TTC_emulator/ttc_emulator_tb.vhd b/simulation/TTC_emulator/ttc_emulator_tb.vhd
index 85098b3fa..3b5a657b2 100644
--- a/simulation/TTC_emulator/ttc_emulator_tb.vhd
+++ b/simulation/TTC_emulator/ttc_emulator_tb.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Alessandra Camplani
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --------------------------------------------------------------------------------
 -- Design     : ttc_emulator_tb  
 -- Author     : Alessandra Camplani
diff --git a/simulation/UVVMExample/sim/vip_egr/egr_bfm_pkg.vhd b/simulation/UVVMExample/sim/vip_egr/egr_bfm_pkg.vhd
index f82b1a213..b5240ac70 100644
--- a/simulation/UVVMExample/sim/vip_egr/egr_bfm_pkg.vhd
+++ b/simulation/UVVMExample/sim/vip_egr/egr_bfm_pkg.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Ali Skaf
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --========================================================================================================================
 -- ATLAS 
 -- FELIX UVVM test automation project
diff --git a/simulation/UVVMExample/sim/vip_egr/egr_vvc.vhd b/simulation/UVVMExample/sim/vip_egr/egr_vvc.vhd
index a80ae54ce..660495c79 100644
--- a/simulation/UVVMExample/sim/vip_egr/egr_vvc.vhd
+++ b/simulation/UVVMExample/sim/vip_egr/egr_vvc.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Ali Skaf
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --========================================================================================================================
 -- ATLAS 
 -- FELIX UVVM test automation project
diff --git a/simulation/UVVMExample/sim/vip_egr/td_queue_pkg.vhd b/simulation/UVVMExample/sim/vip_egr/td_queue_pkg.vhd
index 899fa3c18..13166bf15 100644
--- a/simulation/UVVMExample/sim/vip_egr/td_queue_pkg.vhd
+++ b/simulation/UVVMExample/sim/vip_egr/td_queue_pkg.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Ali Skaf
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --========================================================================================================================
 -- Copyright (c) 2017 by Bitvis AS.  All rights reserved.
 -- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not, 
diff --git a/simulation/UVVMExample/sim/vip_egr/td_target_support_pkg.vhd b/simulation/UVVMExample/sim/vip_egr/td_target_support_pkg.vhd
index 3aec7708c..55150ff56 100644
--- a/simulation/UVVMExample/sim/vip_egr/td_target_support_pkg.vhd
+++ b/simulation/UVVMExample/sim/vip_egr/td_target_support_pkg.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Ali Skaf
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --========================================================================================================================
 -- Copyright (c) 2017 by Bitvis AS.  All rights reserved.
 -- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not,
diff --git a/simulation/UVVMExample/sim/vip_egr/td_vvc_entity_support_pkg.vhd b/simulation/UVVMExample/sim/vip_egr/td_vvc_entity_support_pkg.vhd
index 680126a55..09e91029d 100644
--- a/simulation/UVVMExample/sim/vip_egr/td_vvc_entity_support_pkg.vhd
+++ b/simulation/UVVMExample/sim/vip_egr/td_vvc_entity_support_pkg.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Ali Skaf
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --========================================================================================================================
 -- Copyright (c) 2017 by Bitvis AS.  All rights reserved.
 -- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not,
diff --git a/simulation/UVVMExample/sim/vip_egr/td_vvc_framework_common_methods_pkg.vhd b/simulation/UVVMExample/sim/vip_egr/td_vvc_framework_common_methods_pkg.vhd
index 141bccf6f..60c886209 100644
--- a/simulation/UVVMExample/sim/vip_egr/td_vvc_framework_common_methods_pkg.vhd
+++ b/simulation/UVVMExample/sim/vip_egr/td_vvc_framework_common_methods_pkg.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Ali Skaf
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --========================================================================================================================
 -- Copyright (c) 2017 by Bitvis AS.  All rights reserved.
 -- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not,
diff --git a/simulation/UVVMExample/sim/vip_egr/vvc_cmd_pkg.vhd b/simulation/UVVMExample/sim/vip_egr/vvc_cmd_pkg.vhd
index 50d041af1..d89eadd75 100644
--- a/simulation/UVVMExample/sim/vip_egr/vvc_cmd_pkg.vhd
+++ b/simulation/UVVMExample/sim/vip_egr/vvc_cmd_pkg.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Ali Skaf
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --========================================================================================================================
 -- ATLAS 
 -- FELIX UVVM test automation project
diff --git a/simulation/UVVMExample/sim/vip_egr/vvc_methods_pkg.vhd b/simulation/UVVMExample/sim/vip_egr/vvc_methods_pkg.vhd
index ac59c729b..f689b29c9 100644
--- a/simulation/UVVMExample/sim/vip_egr/vvc_methods_pkg.vhd
+++ b/simulation/UVVMExample/sim/vip_egr/vvc_methods_pkg.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Ali Skaf
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --========================================================================================================================
 -- ATLAS 
 -- FELIX UVVM test automation project
diff --git a/simulation/UVVMExample/src/Egroup.vhd b/simulation/UVVMExample/src/Egroup.vhd
index 0021c8953..34171f6a9 100644
--- a/simulation/UVVMExample/src/Egroup.vhd
+++ b/simulation/UVVMExample/src/Egroup.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Israel Grayzman
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 -- ATLAS 
 -- FELIX UVVM test automation project
diff --git a/simulation/UVVMExample/tb/Egroup_tb.vhd b/simulation/UVVMExample/tb/Egroup_tb.vhd
index 3f8d8e37d..2f3fee88c 100644
--- a/simulation/UVVMExample/tb/Egroup_tb.vhd
+++ b/simulation/UVVMExample/tb/Egroup_tb.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Ali Skaf
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --  ATLAS 
 --  FELIX UVVM test automation project
diff --git a/simulation/UVVMExample/tb/Egroup_th.vhd b/simulation/UVVMExample/tb/Egroup_th.vhd
index fc3da6e04..02781592c 100644
--- a/simulation/UVVMExample/tb/Egroup_th.vhd
+++ b/simulation/UVVMExample/tb/Egroup_th.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Ali Skaf
+--!               Ohad Shaked
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ---------------------------------------------------------------------------------
 -- ATLAS 
 -- FELIX UVVM test automation project
diff --git a/simulation/UVVMExample/tb/FULLModeToHost_tb.vhd b/simulation/UVVMExample/tb/FULLModeToHost_tb.vhd
index 9901581af..b4ed927df 100644
--- a/simulation/UVVMExample/tb/FULLModeToHost_tb.vhd
+++ b/simulation/UVVMExample/tb/FULLModeToHost_tb.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --  ATLAS 
 --  FELIX UVVM test automation project
diff --git a/simulation/UVVMExample/tb/centralRouter_tb.vhd b/simulation/UVVMExample/tb/centralRouter_tb.vhd
index 5ebb03561..cc0e7b3ed 100644
--- a/simulation/UVVMExample/tb/centralRouter_tb.vhd
+++ b/simulation/UVVMExample/tb/centralRouter_tb.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --  ATLAS 
 --  FELIX UVVM test automation project
diff --git a/simulation/UVVMExample/tb/crc20_datagen.vhd b/simulation/UVVMExample/tb/crc20_datagen.vhd
index 3c104fab2..9c205aa99 100644
--- a/simulation/UVVMExample/tb/crc20_datagen.vhd
+++ b/simulation/UVVMExample/tb/crc20_datagen.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 -- Company: Nikhef
 -- Engineer: Frans Schreuder
diff --git a/simulation/UVVMExample/tb/crc20_tb.vhd b/simulation/UVVMExample/tb/crc20_tb.vhd
index 9d4c9db91..498dcceec 100644
--- a/simulation/UVVMExample/tb/crc20_tb.vhd
+++ b/simulation/UVVMExample/tb/crc20_tb.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
   --========================================================================================================================
   -- Copyright (c) 2017 by Bitvis AS.  All rights reserved.
   -- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not,
diff --git a/simulation/UVVMExample/tb/lookup_8b10b.vhd b/simulation/UVVMExample/tb/lookup_8b10b.vhd
index eb771f647..11d51c92c 100644
--- a/simulation/UVVMExample/tb/lookup_8b10b.vhd
+++ b/simulation/UVVMExample/tb/lookup_8b10b.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Ohad Shaked
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 library ieee;
 use ieee.std_logic_1164.all;
 
diff --git a/simulation/UVVMExample/tb/validate_8b10b_tb.vhd b/simulation/UVVMExample/tb/validate_8b10b_tb.vhd
index 913088ee7..fc160491c 100644
--- a/simulation/UVVMExample/tb/validate_8b10b_tb.vhd
+++ b/simulation/UVVMExample/tb/validate_8b10b_tb.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Ohad Shaked
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 -- Chuck Benz, Hollis, NH   Copyright (c)2002
 --
 -- The information and description contained herein is the
diff --git a/simulation/UVVMExample/tb/validate_wrap_8b10b_tb.vhd b/simulation/UVVMExample/tb/validate_wrap_8b10b_tb.vhd
index 4dab41c79..d16db1730 100644
--- a/simulation/UVVMExample/tb/validate_wrap_8b10b_tb.vhd
+++ b/simulation/UVVMExample/tb/validate_wrap_8b10b_tb.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Ohad Shaked
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 -- Chuck Benz, Hollis, NH   Copyright (c)2002
 --
 -- The information and description contained herein is the
diff --git a/simulation/Wupper/pcie_ep_sim_model.vhd b/simulation/Wupper/pcie_ep_sim_model.vhd
index 36fc204b6..451141379 100644
--- a/simulation/Wupper/pcie_ep_sim_model.vhd
+++ b/simulation/Wupper/pcie_ep_sim_model.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!------------------------------------------------------------------------------
 --!                                                             
 --!           NIKHEF - National Institute for Subatomic Physics 
diff --git a/simulation/Wupper/pcie_ep_wrap_sim_circularDMA.vhd b/simulation/Wupper/pcie_ep_wrap_sim_circularDMA.vhd
index f6ca889c6..a2f8978ce 100644
--- a/simulation/Wupper/pcie_ep_wrap_sim_circularDMA.vhd
+++ b/simulation/Wupper/pcie_ep_wrap_sim_circularDMA.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 --!------------------------------------------------------------------------------
 --!                                                             
@@ -27,21 +44,6 @@
 --!  
 --!
 --! ------------------------------------------------------------------------------
---! Virtex7 PCIe Gen3 DMA Core
---! 
---! \copyright GNU LGPL License
---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br>
---! This library is free software; you can redistribute it and/or
---! modify it under the terms of the GNU Lesser General Public
---! License as published by the Free Software Foundation; either
---! version 3.0 of the License, or (at your option) any later version.
---! This library is distributed in the hope that it will be useful,
---! but WITHOUT ANY WARRANTY; without even the implied warranty of
---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
---! Lesser General Public License for more details.<br>
---! You should have received a copy of the GNU Lesser General Public
---! License along with this library.
---! 
 -- 
 --! @brief ieee
 
diff --git a/simulation/Wupper/wupper_tb.vhd b/simulation/Wupper/wupper_tb.vhd
index b651805cd..fc44681dc 100644
--- a/simulation/Wupper/wupper_tb.vhd
+++ b/simulation/Wupper/wupper_tb.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 --!------------------------------------------------------------------------------
 --!                                                             
@@ -29,21 +46,6 @@
 --!  
 --!
 --! ------------------------------------------------------------------------------
---! Virtex7 PCIe Gen3 DMA Core
---! 
---! \copyright GNU LGPL License
---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br>
---! This library is free software; you can redistribute it and/or
---! modify it under the terms of the GNU Lesser General Public
---! License as published by the Free Software Foundation; either
---! version 3.0 of the License, or (at your option) any later version.
---! This library is distributed in the hope that it will be useful,
---! but WITHOUT ANY WARRANTY; without even the implied warranty of
---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
---! Lesser General Public License for more details.<br>
---! You should have received a copy of the GNU Lesser General Public
---! License along with this library.
---! 
 -- 
 --! @brief ieee
 
diff --git a/simulation/centralRouter/EGROUP_Reader.vhd b/simulation/centralRouter/EGROUP_Reader.vhd
index ff0ab7ce7..1d323ed89 100644
--- a/simulation/centralRouter/EGROUP_Reader.vhd
+++ b/simulation/centralRouter/EGROUP_Reader.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 -- Company: 
 -- Engineer: 
diff --git a/simulation/centralRouter/ISRAELGR_TB_centralRouter_TOP.vhd b/simulation/centralRouter/ISRAELGR_TB_centralRouter_TOP.vhd
index f0067a10e..ccf058c2b 100644
--- a/simulation/centralRouter/ISRAELGR_TB_centralRouter_TOP.vhd
+++ b/simulation/centralRouter/ISRAELGR_TB_centralRouter_TOP.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Julia Narevicius
+--!               Israel Grayzman
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/simulation/centralRouter/TB_CRFM_TOP.vhd b/simulation/centralRouter/TB_CRFM_TOP.vhd
index 1568eb8ea..9a75b6a4b 100644
--- a/simulation/centralRouter/TB_CRFM_TOP.vhd
+++ b/simulation/centralRouter/TB_CRFM_TOP.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/simulation/centralRouter/TB_EPROC_OUTx_HDLC.vhd b/simulation/centralRouter/TB_EPROC_OUTx_HDLC.vhd
index c8ad52b58..48a501398 100644
--- a/simulation/centralRouter/TB_EPROC_OUTx_HDLC.vhd
+++ b/simulation/centralRouter/TB_EPROC_OUTx_HDLC.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 -- Company: 
 -- Engineer: 
diff --git a/simulation/centralRouter/TB_EPROC_to_EPROC.vhd b/simulation/centralRouter/TB_EPROC_to_EPROC.vhd
index aa5c5a56d..4a52eeade 100644
--- a/simulation/centralRouter/TB_EPROC_to_EPROC.vhd
+++ b/simulation/centralRouter/TB_EPROC_to_EPROC.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 -- Company: 
 -- Engineer: 
diff --git a/simulation/centralRouter/TB_GBT_DATA_MANAGER_TOP.vhd b/simulation/centralRouter/TB_GBT_DATA_MANAGER_TOP.vhd
index 9dea76ae2..8229da2f4 100644
--- a/simulation/centralRouter/TB_GBT_DATA_MANAGER_TOP.vhd
+++ b/simulation/centralRouter/TB_GBT_DATA_MANAGER_TOP.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/simulation/centralRouter/TB_centralRouter_TOP.vhd b/simulation/centralRouter/TB_centralRouter_TOP.vhd
index 8a067b843..b4d21ee33 100644
--- a/simulation/centralRouter/TB_centralRouter_TOP.vhd
+++ b/simulation/centralRouter/TB_centralRouter_TOP.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Julia Narevicius
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/simulation/centralRouter/tb_EGROUP.vhd b/simulation/centralRouter/tb_EGROUP.vhd
index f637b63f3..399939737 100644
--- a/simulation/centralRouter/tb_EGROUP.vhd
+++ b/simulation/centralRouter/tb_EGROUP.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Marco Trovato
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 -- Company: 
 -- Engineer: 
diff --git a/simulation/centralRouter/tb_upstreamEpathFifoWrap.vhd b/simulation/centralRouter/tb_upstreamEpathFifoWrap.vhd
index 31230b862..9c44ea530 100644
--- a/simulation/centralRouter/tb_upstreamEpathFifoWrap.vhd
+++ b/simulation/centralRouter/tb_upstreamEpathFifoWrap.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 library ieee,work;
 use ieee.std_logic_1164.all;
 use ieee.numeric_std.all;
diff --git a/sources/AxisUtils/Axis32Fifo.vhd b/sources/AxisUtils/Axis32Fifo.vhd
index 495e61dd2..1f71b491b 100644
--- a/sources/AxisUtils/Axis32Fifo.vhd
+++ b/sources/AxisUtils/Axis32Fifo.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 library IEEE;
 use IEEE.STD_LOGIC_1164.ALL;
 use IEEE.NUMERIC_STD.ALL;
diff --git a/sources/CRC20/crc.vhd b/sources/CRC20/crc.vhd
index b26e23d87..b469d80b3 100644
--- a/sources/CRC20/crc.vhd
+++ b/sources/CRC20/crc.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Mesfin Gebyehu
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 -------------------------------------------------------------------------------
 -- Copyright (C) 2009 OutputLogic.com
 -- This source file may be used and distributed without restriction
diff --git a/sources/CRC20/crc20.vhd b/sources/CRC20/crc20.vhd
index 3a8ff893d..aa915a574 100644
--- a/sources/CRC20/crc20.vhd
+++ b/sources/CRC20/crc20.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Mesfin Gebyehu
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 --******************************************************************************
 --*                                                                            *
diff --git a/sources/CRC32/CRC32_v2.vhd b/sources/CRC32/CRC32_v2.vhd
index f699b3741..2fba8f4ba 100644
--- a/sources/CRC32/CRC32_v2.vhd
+++ b/sources/CRC32/CRC32_v2.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ---------------------------------------------------------------------------
 --
 -- Designed by : Peter Jansweijer.
diff --git a/sources/CRToHost/ToHostAxiStreamController.vhd b/sources/CRToHost/ToHostAxiStreamController.vhd
index 8e113abdb..c5325d502 100644
--- a/sources/CRToHost/ToHostAxiStreamController.vhd
+++ b/sources/CRToHost/ToHostAxiStreamController.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  Nikhef
 --! Engineer: Frans Schreuder
diff --git a/sources/FanoutSelectors/GbtSmaOutputSelector.vhd b/sources/FanoutSelectors/GbtSmaOutputSelector.vhd
index 966e1a138..027deb19f 100644
--- a/sources/FanoutSelectors/GbtSmaOutputSelector.vhd
+++ b/sources/FanoutSelectors/GbtSmaOutputSelector.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               RHabraken
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 
 
diff --git a/sources/FanoutSelectors/downstream_fanout_selector.vhd b/sources/FanoutSelectors/downstream_fanout_selector.vhd
index e914c1b3c..6fce430ee 100644
--- a/sources/FanoutSelectors/downstream_fanout_selector.vhd
+++ b/sources/FanoutSelectors/downstream_fanout_selector.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Andrea Borga
+--!               Frans Schreuder
+--!               RHabraken
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 
 
diff --git a/sources/FanoutSelectors/fromfrontend_fanout_selector_FM.vhd b/sources/FanoutSelectors/fromfrontend_fanout_selector_FM.vhd
index ccdcd3e4c..0b7f7b561 100644
--- a/sources/FanoutSelectors/fromfrontend_fanout_selector_FM.vhd
+++ b/sources/FanoutSelectors/fromfrontend_fanout_selector_FM.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Andrea Borga
+--!               RHabraken
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 
 
diff --git a/sources/FanoutSelectors/upstream_fanout_selector.vhd b/sources/FanoutSelectors/upstream_fanout_selector.vhd
index 490133b08..98836f148 100644
--- a/sources/FanoutSelectors/upstream_fanout_selector.vhd
+++ b/sources/FanoutSelectors/upstream_fanout_selector.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Andrea Borga
+--!               Frans Schreuder
+--!               RHabraken
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 
 
diff --git a/sources/FelixMROD/Busy_TTC.vhd b/sources/FelixMROD/Busy_TTC.vhd
index d18a0da2e..6474312bc 100644
--- a/sources/FelixMROD/Busy_TTC.vhd
+++ b/sources/FelixMROD/Busy_TTC.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Thei Wijnen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --! @authors    R. Habraken, T. Wijnen
 --! @company    Radboud University Nijmegen
diff --git a/sources/FelixMROD/CRFM_felixmrod.vhd b/sources/FelixMROD/CRFM_felixmrod.vhd
index 449e3c0b8..ee2ce9d87 100644
--- a/sources/FelixMROD/CRFM_felixmrod.vhd
+++ b/sources/FelixMROD/CRFM_felixmrod.vhd
@@ -1,3 +1,25 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Andrea Borga
+--!               Enrico Gamberini
+--!               Rene
+--!               Thei Wijnen
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS, Nikhef.  
 --! Engineer: juna, fschreud
diff --git a/sources/FelixMROD/CR_Wupper.vhd b/sources/FelixMROD/CR_Wupper.vhd
index 98ba1fb7a..985d32e85 100644
--- a/sources/FelixMROD/CR_Wupper.vhd
+++ b/sources/FelixMROD/CR_Wupper.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Rene
+--!               Thei Wijnen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --! @authors    R. Habraken, T. Wijnen
 --! @company    Radboud University Nijmegen
diff --git a/sources/FelixMROD/CSMHandler.vhd b/sources/FelixMROD/CSMHandler.vhd
index d4d52a93d..25b7411c7 100644
--- a/sources/FelixMROD/CSMHandler.vhd
+++ b/sources/FelixMROD/CSMHandler.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Thei Wijnen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --! @authors    R. Habraken, T. Wijnen
 --! @company    Radboud University Nijmegen
diff --git a/sources/FelixMROD/CareTaker.vhd b/sources/FelixMROD/CareTaker.vhd
index 711e8d365..30aca32fa 100644
--- a/sources/FelixMROD/CareTaker.vhd
+++ b/sources/FelixMROD/CareTaker.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Rene
+--!               Thei Wijnen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --! @authors    R. Habraken, T. Wijnen
 --! @company    Radboud University Nijmegen
diff --git a/sources/FelixMROD/Clks_Reset.vhd b/sources/FelixMROD/Clks_Reset.vhd
index 83c676bb0..f82504003 100644
--- a/sources/FelixMROD/Clks_Reset.vhd
+++ b/sources/FelixMROD/Clks_Reset.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Thei Wijnen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --! @authors    R. Habraken, T. Wijnen
 --! @company    Radboud University Nijmegen
diff --git a/sources/FelixMROD/DataEmu.vhd b/sources/FelixMROD/DataEmu.vhd
index 8334fb8af..e6ea08e87 100644
--- a/sources/FelixMROD/DataEmu.vhd
+++ b/sources/FelixMROD/DataEmu.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Thei Wijnen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --! @authors    R. Habraken, T. Wijnen
 --! @company    Radboud University Nijmegen
diff --git a/sources/FelixMROD/EmptySup.vhd b/sources/FelixMROD/EmptySup.vhd
index 29f314aec..2b765ac07 100644
--- a/sources/FelixMROD/EmptySup.vhd
+++ b/sources/FelixMROD/EmptySup.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Thei Wijnen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --! @authors    R. Habraken, T. Wijnen
 --! @company    Radboud University Nijmegen
diff --git a/sources/FelixMROD/EvtMux.vhd b/sources/FelixMROD/EvtMux.vhd
index ccd382720..5777eb54c 100644
--- a/sources/FelixMROD/EvtMux.vhd
+++ b/sources/FelixMROD/EvtMux.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Thei Wijnen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --! @authors    R. Habraken, T. Wijnen
 --! @company    Radboud University Nijmegen
diff --git a/sources/FelixMROD/FSM_Align.vhd b/sources/FelixMROD/FSM_Align.vhd
index ca7242cb0..cb3b63348 100644
--- a/sources/FelixMROD/FSM_Align.vhd
+++ b/sources/FelixMROD/FSM_Align.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Rene
+--!               Thei Wijnen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --! @authors    R. Habraken, T. Wijnen
 --! @company    Radboud University Nijmegen
diff --git a/sources/FelixMROD/FSMux.vhd b/sources/FelixMROD/FSMux.vhd
index 52cc15e3f..40beee15f 100644
--- a/sources/FelixMROD/FSMux.vhd
+++ b/sources/FelixMROD/FSMux.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Thei Wijnen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --! @authors    R. Habraken, T. Wijnen
 --! @company    Radboud University Nijmegen
diff --git a/sources/FelixMROD/Fifo4096w.vhd b/sources/FelixMROD/Fifo4096w.vhd
index daff77866..b910c7cb4 100644
--- a/sources/FelixMROD/Fifo4096w.vhd
+++ b/sources/FelixMROD/Fifo4096w.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Thei Wijnen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --! @authors    R. Habraken, T. Wijnen
 --! @company    Radboud University Nijmegen
diff --git a/sources/FelixMROD/Fifo512x32s.vhd b/sources/FelixMROD/Fifo512x32s.vhd
index 88520139a..7082e5dea 100644
--- a/sources/FelixMROD/Fifo512x32s.vhd
+++ b/sources/FelixMROD/Fifo512x32s.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Thei Wijnen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --! @authors    R. Habraken, T. Wijnen
 --! @company    Radboud University Nijmegen
diff --git a/sources/FelixMROD/FifoCSMdata.vhd b/sources/FelixMROD/FifoCSMdata.vhd
index 73f97dd6c..65e37acf2 100644
--- a/sources/FelixMROD/FifoCSMdata.vhd
+++ b/sources/FelixMROD/FifoCSMdata.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Thei Wijnen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --! @authors    R. Habraken, T. Wijnen
 --! @company    Radboud University Nijmegen
diff --git a/sources/FelixMROD/GetControls.vhd b/sources/FelixMROD/GetControls.vhd
index 29a6ad197..a030c3f21 100644
--- a/sources/FelixMROD/GetControls.vhd
+++ b/sources/FelixMROD/GetControls.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Rene
+--!               Thei Wijnen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --! @authors    R. Habraken, T. Wijnen
 --! @company    Radboud University Nijmegen
diff --git a/sources/FelixMROD/GetTRXControl.vhd b/sources/FelixMROD/GetTRXControl.vhd
index 2b898e7d4..03e40d021 100644
--- a/sources/FelixMROD/GetTRXControl.vhd
+++ b/sources/FelixMROD/GetTRXControl.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Rene
+--!               Thei Wijnen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --! @authors    R. Habraken, T. Wijnen
 --! @company    Radboud University Nijmegen
diff --git a/sources/FelixMROD/GlueBox.vhd b/sources/FelixMROD/GlueBox.vhd
index 425cb4b26..ddf71409b 100644
--- a/sources/FelixMROD/GlueBox.vhd
+++ b/sources/FelixMROD/GlueBox.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Rene
+--!               Thei Wijnen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --! @authors    R. Habraken, T. Wijnen
 --! @company    Radboud University Nijmegen
diff --git a/sources/FelixMROD/GlueBox_EMU.vhd b/sources/FelixMROD/GlueBox_EMU.vhd
index 29d34e0b6..739b7c965 100644
--- a/sources/FelixMROD/GlueBox_EMU.vhd
+++ b/sources/FelixMROD/GlueBox_EMU.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Rene
+--!               Thei Wijnen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --file: GlueBox_EMU.vhd
 --!-----------------------------------------------------------------------------
 --! @authors    R. Habraken, T. Wijnen
diff --git a/sources/FelixMROD/MakeBlocks.vhd b/sources/FelixMROD/MakeBlocks.vhd
index b762f67f4..4898805ef 100644
--- a/sources/FelixMROD/MakeBlocks.vhd
+++ b/sources/FelixMROD/MakeBlocks.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Thei Wijnen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --! @authors    R. Habraken, T. Wijnen
 --! @company    Radboud University Nijmegen
diff --git a/sources/FelixMROD/PrepaChunk.vhd b/sources/FelixMROD/PrepaChunk.vhd
index d716f7578..09325d300 100644
--- a/sources/FelixMROD/PrepaChunk.vhd
+++ b/sources/FelixMROD/PrepaChunk.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Rene
+--!               Thei Wijnen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --! @authors    R. Habraken, T. Wijnen
 --! @company    Radboud University Nijmegen
diff --git a/sources/FelixMROD/ReadFHFifo.vhd b/sources/FelixMROD/ReadFHFifo.vhd
index 9eaac5b5e..f4497cf5b 100644
--- a/sources/FelixMROD/ReadFHFifo.vhd
+++ b/sources/FelixMROD/ReadFHFifo.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Thei Wijnen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --! @authors    R. Habraken, T. Wijnen
 --! @company    Radboud University Nijmegen
diff --git a/sources/FelixMROD/SyncTTC.vhd b/sources/FelixMROD/SyncTTC.vhd
index 1083d5e56..a529495ba 100644
--- a/sources/FelixMROD/SyncTTC.vhd
+++ b/sources/FelixMROD/SyncTTC.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Thei Wijnen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --! @authors    R. Habraken, T. Wijnen
 --! @company    Radboud University Nijmegen
diff --git a/sources/FelixMROD/TXCVR_1CH.vhd b/sources/FelixMROD/TXCVR_1CH.vhd
index 2747004f6..e2bd1ed5f 100644
--- a/sources/FelixMROD/TXCVR_1CH.vhd
+++ b/sources/FelixMROD/TXCVR_1CH.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Rene
+--!               Thei Wijnen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --! @authors    R. Habraken, T. Wijnen
 --! @company    Radboud University Nijmegen
diff --git a/sources/FelixMROD/Transceiver.vhd b/sources/FelixMROD/Transceiver.vhd
index bdbe978dd..162914be9 100644
--- a/sources/FelixMROD/Transceiver.vhd
+++ b/sources/FelixMROD/Transceiver.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Rene
+--!               Thei Wijnen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --! @authors    R. Habraken, T. Wijnen
 --! @company    Radboud University Nijmegen
diff --git a/sources/FelixMROD/Transceiver_Quad.vhd b/sources/FelixMROD/Transceiver_Quad.vhd
index 5f698d3a7..ff89e8c8e 100644
--- a/sources/FelixMROD/Transceiver_Quad.vhd
+++ b/sources/FelixMROD/Transceiver_Quad.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Rene
+--!               Thei Wijnen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --! @authors    R. Habraken, T. Wijnen
 --! @company    Radboud University Nijmegen
diff --git a/sources/FelixMROD/TrigCnt.vhd b/sources/FelixMROD/TrigCnt.vhd
index 0e5d187d0..505dde89f 100644
--- a/sources/FelixMROD/TrigCnt.vhd
+++ b/sources/FelixMROD/TrigCnt.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Thei Wijnen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --! @authors    R. Habraken, T. Wijnen
 --! @company    Radboud University Nijmegen
diff --git a/sources/FelixMROD/TrxBuffer.vhd b/sources/FelixMROD/TrxBuffer.vhd
index b7da03ae4..f53bc3a33 100644
--- a/sources/FelixMROD/TrxBuffer.vhd
+++ b/sources/FelixMROD/TrxBuffer.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Rene
+--!               Thei Wijnen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --! @authors    R. Habraken, T. Wijnen
 --! @company    Radboud University Nijmegen
diff --git a/sources/FelixMROD/TrxBuffer_1CH.vhd b/sources/FelixMROD/TrxBuffer_1CH.vhd
index d779b778e..b67232615 100644
--- a/sources/FelixMROD/TrxBuffer_1CH.vhd
+++ b/sources/FelixMROD/TrxBuffer_1CH.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Rene
+--!               Thei Wijnen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --! @authors    R. Habraken, T. Wijnen
 --! @company    Radboud University Nijmegen
diff --git a/sources/FelixMROD/TxDriver.vhd b/sources/FelixMROD/TxDriver.vhd
index 6e73b7970..68241c4b2 100644
--- a/sources/FelixMROD/TxDriver.vhd
+++ b/sources/FelixMROD/TxDriver.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Rene
+--!               Thei Wijnen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --! @authors    R. Habraken, T. Wijnen
 --! @company    Radboud University Nijmegen
diff --git a/sources/FelixMROD/clock_and_reset.vhd b/sources/FelixMROD/clock_and_reset.vhd
index 759e1bad0..a2092b8f8 100644
--- a/sources/FelixMROD/clock_and_reset.vhd
+++ b/sources/FelixMROD/clock_and_reset.vhd
@@ -1,3 +1,26 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Andrea Borga
+--!               Israel Grayzman
+--!               Frans Schreuder
+--!               Mesfin Gebyehu
+--!               RHabraken
+--!               Rene
+--!               Thei Wijnen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!------------------------------------------------------------------------------
 --!                                                             
 --!           NIKHEF - National Institute for Subatomic Physics 
@@ -28,21 +51,6 @@
 --!  
 --!
 --! ------------------------------------------------------------------------------
---! Virtex7 PCIe Gen3 DMA Core
---! 
---! \copyright GNU LGPL License
---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br>
---! This library is free software; you can redistribute it and/or
---! modify it under the terms of the GNU Lesser General Public
---! License as published by the Free Software Foundation; either
---! version 3.0 of the License, or (at your option) any later version.
---! This library is distributed in the hope that it will be useful,
---! but WITHOUT ANY WARRANTY; without even the implied warranty of
---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
---! Lesser General Public License for more details.<br>
---! You should have received a copy of the GNU Lesser General Public
---! License along with this library.
---! 
 --!
 --! @brief ieee
 
diff --git a/sources/FelixMROD/felix_mrod_package.vhd b/sources/FelixMROD/felix_mrod_package.vhd
index 835345fbd..bef31d9da 100644
--- a/sources/FelixMROD/felix_mrod_package.vhd
+++ b/sources/FelixMROD/felix_mrod_package.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Rene
+--!               Thei Wijnen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --! @file        felix_mrod_package.vhd
 --!
diff --git a/sources/FelixMROD/felix_mrod_top.vhd b/sources/FelixMROD/felix_mrod_top.vhd
index 607e27b7d..e73b3ca07 100644
--- a/sources/FelixMROD/felix_mrod_top.vhd
+++ b/sources/FelixMROD/felix_mrod_top.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Rene
+--!               Frans Schreuder
+--!               Thei Wijnen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --! @authors    R. Habraken, T. Wijnen
 --! @company    Radboud University Nijmegen
diff --git a/sources/FelixMROD/felix_mrod_top_EMU.vhd b/sources/FelixMROD/felix_mrod_top_EMU.vhd
index 906b89e64..09b861c5e 100644
--- a/sources/FelixMROD/felix_mrod_top_EMU.vhd
+++ b/sources/FelixMROD/felix_mrod_top_EMU.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Rene
+--!               Thei Wijnen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --file: felix_mrod_top_EMU.vhd
 --!-----------------------------------------------------------------------------
 --! @authors    R. Habraken, T. Wijnen
diff --git a/sources/FelixMROD/register_map_sync.vhd b/sources/FelixMROD/register_map_sync.vhd
index 64a9ac353..e7a07f3c3 100644
--- a/sources/FelixMROD/register_map_sync.vhd
+++ b/sources/FelixMROD/register_map_sync.vhd
@@ -1,3 +1,23 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               RHabraken
+--!               Rene
+--!               Thei Wijnen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!------------------------------------------------------------------------------
 --!           NIKHEF - National Institute for Subatomic Physics
 --!                       Electronics Department
diff --git a/sources/FelixTop/felig_top_bnl712.vhd b/sources/FelixTop/felig_top_bnl712.vhd
index 6285df332..dd277286b 100644
--- a/sources/FelixTop/felig_top_bnl712.vhd
+++ b/sources/FelixTop/felig_top_bnl712.vhd
@@ -1,3 +1,23 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Shelfali Saxena
+--!               mtrovato
+--!               Ricardo Luz
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 --!------------------------------------------------------------------------------
 --!                                                             
@@ -30,21 +50,6 @@
 --!  
 --!
 --! ------------------------------------------------------------------------------
---! Virtex7 PCIe Gen3 DMA Core
---! 
---! \copyright GNU LGPL License
---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br>
---! This library is free software; you can redistribute it and/or
---! modify it under the terms of the GNU Lesser General Public
---! License as published by the Free Software Foundation; either
---! version 3.0 of the License, or (at your option) any later version.
---! This library is distributed in the hope that it will be useful,
---! but WITHOUT ANY WARRANTY; without even the implied warranty of
---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
---! Lesser General Public License for more details.<br>
---! You should have received a copy of the GNU Lesser General Public
---! License along with this library.
---! 
 -- 
 --! @brief ieee
 
diff --git a/sources/FelixTop/felix_fullmode_top.vhd b/sources/FelixTop/felix_fullmode_top.vhd
index 6b1e903e5..d81d54d89 100644
--- a/sources/FelixTop/felix_fullmode_top.vhd
+++ b/sources/FelixTop/felix_fullmode_top.vhd
@@ -1,3 +1,26 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Andrea Borga
+--!               Kai Chen
+--!               RHabraken
+--!               Mesfin Gebyehu
+--!               Filiberto Bonini
+--!               Ricardo Luz
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 --!------------------------------------------------------------------------------
 --!                                                             
@@ -29,21 +52,6 @@
 --!  
 --!
 --! ------------------------------------------------------------------------------
---! Virtex7 PCIe Gen3 DMA Core
---! 
---! \copyright GNU LGPL License
---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br>
---! This library is free software; you can redistribute it and/or
---! modify it under the terms of the GNU Lesser General Public
---! License as published by the Free Software Foundation; either
---! version 3.0 of the License, or (at your option) any later version.
---! This library is distributed in the hope that it will be useful,
---! but WITHOUT ANY WARRANTY; without even the implied warranty of
---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
---! Lesser General Public License for more details.<br>
---! You should have received a copy of the GNU Lesser General Public
---! License along with this library.
---! 
 -- 
 --! @brief ieee
 
diff --git a/sources/FelixTop/felix_fullmode_top_bnl711.vhd b/sources/FelixTop/felix_fullmode_top_bnl711.vhd
index af52d0189..ace27d6ea 100644
--- a/sources/FelixTop/felix_fullmode_top_bnl711.vhd
+++ b/sources/FelixTop/felix_fullmode_top_bnl711.vhd
@@ -1,3 +1,29 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Andrea Borga
+--!               Rene Habraken
+--!               Mesfin Gebyehu
+--!               Kai Chen
+--!               Enrico Gamberini
+--!               RHabraken
+--!               Filiberto Bonini
+--!               William Wulff
+--!               Ricardo Luz
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 --!------------------------------------------------------------------------------
 --!                                                             
@@ -29,21 +55,6 @@
 --!  
 --!
 --! ------------------------------------------------------------------------------
---! Virtex7 PCIe Gen3 DMA Core
---! 
---! \copyright GNU LGPL License
---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br>
---! This library is free software; you can redistribute it and/or
---! modify it under the terms of the GNU Lesser General Public
---! License as published by the Free Software Foundation; either
---! version 3.0 of the License, or (at your option) any later version.
---! This library is distributed in the hope that it will be useful,
---! but WITHOUT ANY WARRANTY; without even the implied warranty of
---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
---! Lesser General Public License for more details.<br>
---! You should have received a copy of the GNU Lesser General Public
---! License along with this library.
---! 
 -- 
 --! @brief ieee
 
diff --git a/sources/FelixTop/felix_top.vhd b/sources/FelixTop/felix_top.vhd
index 2f59d134b..5418468df 100644
--- a/sources/FelixTop/felix_top.vhd
+++ b/sources/FelixTop/felix_top.vhd
@@ -1,3 +1,30 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Andrea Borga
+--!               Soo Ryu
+--!               Alexander Paramonov
+--!               Kai Chen
+--!               RHabraken
+--!               Israel Grayzman
+--!               Mesfin Gebyehu
+--!               Elena Zhivun
+--!               Ricardo Luz
+--!               Ohad Shaked
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 --!------------------------------------------------------------------------------
 --!                                                             
@@ -29,21 +56,6 @@
 --!  
 --!
 --! ------------------------------------------------------------------------------
---! Virtex7 PCIe Gen3 DMA Core
---! 
---! \copyright GNU LGPL License
---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br>
---! This library is free software; you can redistribute it and/or
---! modify it under the terms of the GNU Lesser General Public
---! License as published by the Free Software Foundation; either
---! version 3.0 of the License, or (at your option) any later version.
---! This library is distributed in the hope that it will be useful,
---! but WITHOUT ANY WARRANTY; without even the implied warranty of
---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
---! Lesser General Public License for more details.<br>
---! You should have received a copy of the GNU Lesser General Public
---! License along with this library.
---! 
 -- 
 --! @brief ieee
 
diff --git a/sources/FelixTop/felix_top_bnl711.vhd b/sources/FelixTop/felix_top_bnl711.vhd
index 069ab02b2..18e908204 100644
--- a/sources/FelixTop/felix_top_bnl711.vhd
+++ b/sources/FelixTop/felix_top_bnl711.vhd
@@ -1,3 +1,30 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Andrea Borga
+--!               Israel Grayzman
+--!               Kai Chen
+--!               RHabraken
+--!               Mesfin Gebyehu
+--!               Alexander Paramonov
+--!               Elena Zhivun
+--!               Ricardo Luz
+--!               Ohad Shaked
+--!               Ali Skaf
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 --!------------------------------------------------------------------------------
 --!                                                             
@@ -29,21 +56,6 @@
 --!  
 --!
 --! ------------------------------------------------------------------------------
---! Virtex7 PCIe Gen3 DMA Core
---! 
---! \copyright GNU LGPL License
---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br>
---! This library is free software; you can redistribute it and/or
---! modify it under the terms of the GNU Lesser General Public
---! License as published by the Free Software Foundation; either
---! version 3.0 of the License, or (at your option) any later version.
---! This library is distributed in the hope that it will be useful,
---! but WITHOUT ANY WARRANTY; without even the implied warranty of
---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
---! Lesser General Public License for more details.<br>
---! You should have received a copy of the GNU Lesser General Public
---! License along with this library.
---! 
 -- 
 --! @brief ieee
 
diff --git a/sources/FullModeDataEmulator/FullModeDataEmulator.vhd b/sources/FullModeDataEmulator/FullModeDataEmulator.vhd
index c1a1d847e..3426b93fb 100644
--- a/sources/FullModeDataEmulator/FullModeDataEmulator.vhd
+++ b/sources/FullModeDataEmulator/FullModeDataEmulator.vhd
@@ -1,3 +1,24 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Andrea Borga
+--!               Julia Narevicius
+--!               RHabraken
+--!               Filiberto Bonini
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/FullModeEmulator/FIFO34to34b.vhd b/sources/FullModeEmulator/FIFO34to34b.vhd
index 6f6cd79b5..7762cf80e 100644
--- a/sources/FullModeEmulator/FIFO34to34b.vhd
+++ b/sources/FullModeEmulator/FIFO34to34b.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               RHabraken
+--!               Mesfin Gebyehu
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 
 
diff --git a/sources/FullModeEmulator/FIFOfromHost_256to32.vhd b/sources/FullModeEmulator/FIFOfromHost_256to32.vhd
index b64ec0c3a..e2005fcfa 100644
--- a/sources/FullModeEmulator/FIFOfromHost_256to32.vhd
+++ b/sources/FullModeEmulator/FIFOfromHost_256to32.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               RHabraken
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 
 
diff --git a/sources/FullModeEmulator/FIFOtoHost_32to256.vhd b/sources/FullModeEmulator/FIFOtoHost_32to256.vhd
index 33d9c8f21..bfe0dedcd 100644
--- a/sources/FullModeEmulator/FIFOtoHost_32to256.vhd
+++ b/sources/FullModeEmulator/FIFOtoHost_32to256.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               RHabraken
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 
 
diff --git a/sources/FullModeEmulator/FMEmu_FSM.vhd b/sources/FullModeEmulator/FMEmu_FSM.vhd
index c4a914778..9b0e22f24 100644
--- a/sources/FullModeEmulator/FMEmu_FSM.vhd
+++ b/sources/FullModeEmulator/FMEmu_FSM.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Rene Habraken
+--!               RHabraken
+--!               Mesfin Gebyehu
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 -- Company: Radboud University Nijmegen
 -- Engineer: Rene Habraken
diff --git a/sources/FullModeEmulator/FMEmu_FSM_mealy.vhd b/sources/FullModeEmulator/FMEmu_FSM_mealy.vhd
old mode 100755
new mode 100644
index 30c134ef7..c47af6dd7
--- a/sources/FullModeEmulator/FMEmu_FSM_mealy.vhd
+++ b/sources/FullModeEmulator/FMEmu_FSM_mealy.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Mesfin Gebyehu
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 -- Company: Radboud University Nijmegen
 -- Engineer: Rene Habraken
diff --git a/sources/FullModeEmulator/FMEmu_top.vhd b/sources/FullModeEmulator/FMEmu_top.vhd
index 92f3eac08..922c690f8 100644
--- a/sources/FullModeEmulator/FMEmu_top.vhd
+++ b/sources/FullModeEmulator/FMEmu_top.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Rene Habraken
+--!               RHabraken
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 
 
@@ -484,21 +503,6 @@ begin
   --!  
   --!
   --! ------------------------------------------------------------------------------
-  --! Virtex7 PCIe Gen3 DMA Core
-  --! 
-  --! \copyright GNU LGPL License
-  --! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br>
-  --! This library is free software; you can redistribute it and/or
-  --! modify it under the terms of the GNU Lesser General Public
-  --! License as published by the Free Software Foundation; either
-  --! version 3.0 of the License, or (at your option) any later version.
-  --! This library is distributed in the hope that it will be useful,
-  --! but WITHOUT ANY WARRANTY; without even the implied warranty of
-  --! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
-  --! Lesser General Public License for more details.<br>
-  --! You should have received a copy of the GNU Lesser General Public
-  --! License along with this library.
-  --! 
   -- 
   --! @brief ieee
   u7: FIFO34to34b
@@ -546,21 +550,6 @@ begin
   --!  
   --!
   --! ------------------------------------------------------------------------------
-  --! Virtex7 PCIe Gen3 DMA Core
-  --! 
-  --! \copyright GNU LGPL License
-  --! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br>
-  --! This library is free software; you can redistribute it and/or
-  --! modify it under the terms of the GNU Lesser General Public
-  --! License as published by the Free Software Foundation; either
-  --! version 3.0 of the License, or (at your option) any later version.
-  --! This library is distributed in the hope that it will be useful,
-  --! but WITHOUT ANY WARRANTY; without even the implied warranty of
-  --! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
-  --! Lesser General Public License for more details.<br>
-  --! You should have received a copy of the GNU Lesser General Public
-  --! License along with this library.
-  --! 
   -- 
   --! @brief ieee
   u4: FIFOfromHost_256to32
@@ -610,21 +599,6 @@ begin
   --!  
   --!
   --! ------------------------------------------------------------------------------
-  --! Virtex7 PCIe Gen3 DMA Core
-  --! 
-  --! \copyright GNU LGPL License
-  --! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br>
-  --! This library is free software; you can redistribute it and/or
-  --! modify it under the terms of the GNU Lesser General Public
-  --! License as published by the Free Software Foundation; either
-  --! version 3.0 of the License, or (at your option) any later version.
-  --! This library is distributed in the hope that it will be useful,
-  --! but WITHOUT ANY WARRANTY; without even the implied warranty of
-  --! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
-  --! Lesser General Public License for more details.<br>
-  --! You should have received a copy of the GNU Lesser General Public
-  --! License along with this library.
-  --! 
   -- 
   --! @brief ieee
   u8: FIFOtoHost_32to256
@@ -780,22 +754,7 @@ begin
   --!  
   --!
   --! ------------------------------------------------------------------------------
-  --! Virtex7 PCIe Gen3 DMA Core
-  --! 
-  --! \copyright GNU LGPL License
-  --! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br>
-  --! This library is free software; you can redistribute it and/or
-  --! modify it under the terms of the GNU Lesser General Public
-  --! License as published by the Free Software Foundation; either
-  --! version 3.0 of the License, or (at your option) any later version.
-  --! This library is distributed in the hope that it will be useful,
-  --! but WITHOUT ANY WARRANTY; without even the implied warranty of
-  --! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
-  --! Lesser General Public License for more details.<br>
-  --! You should have received a copy of the GNU Lesser General Public
-  --! License along with this library.
-  --! 
-  -- 
+
   --! @brief ieee
   clk1: FM_example_clocking
     generic map(
@@ -859,22 +818,6 @@ begin
   --!  
   --!
   --! ------------------------------------------------------------------------------
-  --! Virtex7 PCIe Gen3 DMA Core
-  --! 
-  --! \copyright GNU LGPL License
-  --! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br>
-  --! This library is free software; you can redistribute it and/or
-  --! modify it under the terms of the GNU Lesser General Public
-  --! License as published by the Free Software Foundation; either
-  --! version 3.0 of the License, or (at your option) any later version.
-  --! This library is distributed in the hope that it will be useful,
-  --! but WITHOUT ANY WARRANTY; without even the implied warranty of
-  --! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
-  --! Lesser General Public License for more details.<br>
-  --! You should have received a copy of the GNU Lesser General Public
-  --! License along with this library.
-  --! 
-  -- 
   --! @brief ieee
   init0: si5324_init
     port map(
diff --git a/sources/FullModeEmulator/FMEmu_top_bnl711.vhd b/sources/FullModeEmulator/FMEmu_top_bnl711.vhd
old mode 100755
new mode 100644
index 7ffdd7a59..fa42282c6
--- a/sources/FullModeEmulator/FMEmu_top_bnl711.vhd
+++ b/sources/FullModeEmulator/FMEmu_top_bnl711.vhd
@@ -1,3 +1,23 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Rene Habraken
+--!               RHabraken
+--!               Mesfin Gebyehu
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 --!------------------------------------------------------------------------------
 --!                                                             
@@ -29,21 +49,6 @@
 --!  
 --!
 --! ------------------------------------------------------------------------------
---! Virtex7 PCIe Gen3 DMA Core
---! 
---! \copyright GNU LGPL License
---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br>
---! This library is free software; you can redistribute it and/or
---! modify it under the terms of the GNU Lesser General Public
---! License as published by the Free Software Foundation; either
---! version 3.0 of the License, or (at your option) any later version.
---! This library is distributed in the hope that it will be useful,
---! but WITHOUT ANY WARRANTY; without even the implied warranty of
---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
---! Lesser General Public License for more details.<br>
---! You should have received a copy of the GNU Lesser General Public
---! License along with this library.
---! 
 -- 
 --! @brief ieee
 
diff --git a/sources/FullModeEmulator/FMEmu_top_bnl711_debug.vhd b/sources/FullModeEmulator/FMEmu_top_bnl711_debug.vhd
old mode 100755
new mode 100644
index 93393d4b8..aa3af4ab2
--- a/sources/FullModeEmulator/FMEmu_top_bnl711_debug.vhd
+++ b/sources/FullModeEmulator/FMEmu_top_bnl711_debug.vhd
@@ -1,3 +1,23 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Rene Habraken
+--!               Frans Schreuder
+--!               RHabraken
+--!               Mesfin Gebyehu
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 --!------------------------------------------------------------------------------
 --!                                                             
@@ -29,21 +49,6 @@
 --!  
 --!
 --! ------------------------------------------------------------------------------
---! Virtex7 PCIe Gen3 DMA Core
---! 
---! \copyright GNU LGPL License
---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br>
---! This library is free software; you can redistribute it and/or
---! modify it under the terms of the GNU Lesser General Public
---! License as published by the Free Software Foundation; either
---! version 3.0 of the License, or (at your option) any later version.
---! This library is distributed in the hope that it will be useful,
---! but WITHOUT ANY WARRANTY; without even the implied warranty of
---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
---! Lesser General Public License for more details.<br>
---! You should have received a copy of the GNU Lesser General Public
---! License along with this library.
---! 
 -- 
 --! @brief ieee
 
diff --git a/sources/FullModeEmulator/FMemuRAM.vhd b/sources/FullModeEmulator/FMemuRAM.vhd
old mode 100755
new mode 100644
index 18aa31b1a..59e1ee5d9
--- a/sources/FullModeEmulator/FMemuRAM.vhd
+++ b/sources/FullModeEmulator/FMemuRAM.vhd
@@ -1,3 +1,23 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Rene Habraken
+--!               Frans Schreuder
+--!               RHabraken
+--!               Mesfin Gebyehu
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 --!------------------------------------------------------------------------------
 --!                                                             
@@ -29,21 +49,6 @@
 --!  
 --!
 --! ------------------------------------------------------------------------------
---! Virtex7 PCIe Gen3 DMA Core
---! 
---! \copyright GNU LGPL License
---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br>
---! This library is free software; you can redistribute it and/or
---! modify it under the terms of the GNU Lesser General Public
---! License as published by the Free Software Foundation; either
---! version 3.0 of the License, or (at your option) any later version.
---! This library is distributed in the hope that it will be useful,
---! but WITHOUT ANY WARRANTY; without even the implied warranty of
---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
---! Lesser General Public License for more details.<br>
---! You should have received a copy of the GNU Lesser General Public
---! License along with this library.
---! 
 -- 
 --! @brief ieee
 
diff --git a/sources/FullModeEmulator/OUTPUTctrl.vhd b/sources/FullModeEmulator/OUTPUTctrl.vhd
index 32029b798..12ee3ac59 100644
--- a/sources/FullModeEmulator/OUTPUTctrl.vhd
+++ b/sources/FullModeEmulator/OUTPUTctrl.vhd
@@ -1,3 +1,23 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Rene Habraken
+--!               Frans Schreuder
+--!               RHabraken
+--!               Mesfin Gebyehu
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 
 
diff --git a/sources/FullModeEmulator/OUTPUTctrl_emu.vhd b/sources/FullModeEmulator/OUTPUTctrl_emu.vhd
old mode 100755
new mode 100644
index 39e69cf27..f35ba8402
--- a/sources/FullModeEmulator/OUTPUTctrl_emu.vhd
+++ b/sources/FullModeEmulator/OUTPUTctrl_emu.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               RHabraken
+--!               Mesfin Gebyehu
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 
 
diff --git a/sources/FullModeEmulator/TX_CLOCK_CHECK.vhd b/sources/FullModeEmulator/TX_CLOCK_CHECK.vhd
old mode 100755
new mode 100644
index 60cd1a85e..22c3a6f3a
--- a/sources/FullModeEmulator/TX_CLOCK_CHECK.vhd
+++ b/sources/FullModeEmulator/TX_CLOCK_CHECK.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Mesfin Gebyehu
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 library ieee;
 use ieee.std_logic_1164.all;
 --use ieee.numeric_std.all;
diff --git a/sources/FullModeTransmitter/FMchannelTXctrl.vhd b/sources/FullModeTransmitter/FMchannelTXctrl.vhd
index b23a624d5..41d00d12a 100644
--- a/sources/FullModeTransmitter/FMchannelTXctrl.vhd
+++ b/sources/FullModeTransmitter/FMchannelTXctrl.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Frans Schreuder
+--!               Mesfin Gebyehu
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna, fschreud
diff --git a/sources/FullModeTransmitter/FMchannelTXctrl_emu.vhd b/sources/FullModeTransmitter/FMchannelTXctrl_emu.vhd
index 4ed34003f..f880e47b6 100644
--- a/sources/FullModeTransmitter/FMchannelTXctrl_emu.vhd
+++ b/sources/FullModeTransmitter/FMchannelTXctrl_emu.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               RHabraken
+--!               Mesfin Gebyehu
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna, fschreud
diff --git a/sources/FullModeTransmitter/FullModeUserLogic.vhd b/sources/FullModeTransmitter/FullModeUserLogic.vhd
index 9953218ea..d5ff30c4c 100644
--- a/sources/FullModeTransmitter/FullModeUserLogic.vhd
+++ b/sources/FullModeTransmitter/FullModeUserLogic.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/FullModeWrapper/FELIX_FM_gbt_wrapper.vhd b/sources/FullModeWrapper/FELIX_FM_gbt_wrapper.vhd
index 575f358ee..1ca7b71c7 100644
--- a/sources/FullModeWrapper/FELIX_FM_gbt_wrapper.vhd
+++ b/sources/FullModeWrapper/FELIX_FM_gbt_wrapper.vhd
@@ -1,3 +1,23 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Andrea Borga
+--!               Weihao Wu
+--!               Kai Chen
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 -- Company:
 -- Engineer: Kai Chen
diff --git a/sources/FullModeWrapper/FELIX_FM_gbt_wrapper_ku.vhd b/sources/FullModeWrapper/FELIX_FM_gbt_wrapper_ku.vhd
index 2fe8de5dd..0c655fa6c 100644
--- a/sources/FullModeWrapper/FELIX_FM_gbt_wrapper_ku.vhd
+++ b/sources/FullModeWrapper/FELIX_FM_gbt_wrapper_ku.vhd
@@ -1,3 +1,25 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Andrea Borga
+--!               Weihao Wu
+--!               Kai Chen
+--!               Mesfin Gebyehu
+--!               Rene
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 -- Company:
 -- Engineer: Kai Chen
diff --git a/sources/FullModeWrapper/fullmode_auto_rxreset.vhd b/sources/FullModeWrapper/fullmode_auto_rxreset.vhd
index e7b547f95..088b1cebf 100644
--- a/sources/FullModeWrapper/fullmode_auto_rxreset.vhd
+++ b/sources/FullModeWrapper/fullmode_auto_rxreset.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 -- Company:
 -- Engineer: Rene Habraken
diff --git a/sources/FullModeWrapper/gth_fullmode_wrapper_ku.vhd b/sources/FullModeWrapper/gth_fullmode_wrapper_ku.vhd
index 24aa4a4b8..06cc2ac49 100644
--- a/sources/FullModeWrapper/gth_fullmode_wrapper_ku.vhd
+++ b/sources/FullModeWrapper/gth_fullmode_wrapper_ku.vhd
@@ -1,3 +1,23 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Weihao Wu
+--!               Kai Chen
+--!               Frans Schreuder
+--!               Rene
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --Modified by Kai Chen
 -- 2015/01/14
 -- For FELIX: 4-chanel 4.8Gbps QPLL GTH
diff --git a/sources/FullModeWrapper/gth_fullmode_wrapper_v7.vhd b/sources/FullModeWrapper/gth_fullmode_wrapper_v7.vhd
index 976d2cc6c..eed24d040 100644
--- a/sources/FullModeWrapper/gth_fullmode_wrapper_v7.vhd
+++ b/sources/FullModeWrapper/gth_fullmode_wrapper_v7.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Weihao Wu
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --Modified by Kai Chen
 -- 2015/01/14
 -- For FELIX: 4-chanel 4.8Gbps QPLL GTH
diff --git a/sources/FullModeWrapper/standalone_test/bit_err_calc.vhd b/sources/FullModeWrapper/standalone_test/bit_err_calc.vhd
index 87437d759..b8330f3a1 100644
--- a/sources/FullModeWrapper/standalone_test/bit_err_calc.vhd
+++ b/sources/FullModeWrapper/standalone_test/bit_err_calc.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Andrea Borga
+--!               Kai Chen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 -- Company: BNL
 -- Engineer: Kai Chen
diff --git a/sources/FullModeWrapper/standalone_test/gtx_one_prbs.vhd b/sources/FullModeWrapper/standalone_test/gtx_one_prbs.vhd
index 3b12eef99..24919d878 100644
--- a/sources/FullModeWrapper/standalone_test/gtx_one_prbs.vhd
+++ b/sources/FullModeWrapper/standalone_test/gtx_one_prbs.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Andrea Borga
+--!               Kai Chen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 -- Kai Chen @ BNL 
 -- July, 2016
 -- For 32 bit PRBS31 encoding
diff --git a/sources/FullModeWrapper/standalone_test/gtx_one_prbs_chk.vhd b/sources/FullModeWrapper/standalone_test/gtx_one_prbs_chk.vhd
index 824481a93..b71fe556e 100644
--- a/sources/FullModeWrapper/standalone_test/gtx_one_prbs_chk.vhd
+++ b/sources/FullModeWrapper/standalone_test/gtx_one_prbs_chk.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Andrea Borga
+--!               Kai Chen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 -------------------------------------------------------------------------------
 -- Kai Chen @ BNL
 -- July, 2016
diff --git a/sources/FullModeWrapper/standalone_test/gtx_one_prbs_chknew.vhd b/sources/FullModeWrapper/standalone_test/gtx_one_prbs_chknew.vhd
index 48db3ef92..6c8b60e2b 100644
--- a/sources/FullModeWrapper/standalone_test/gtx_one_prbs_chknew.vhd
+++ b/sources/FullModeWrapper/standalone_test/gtx_one_prbs_chknew.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Andrea Borga
+--!               Kai Chen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 -- Kai Chen @ BNL
 -- July, 2016
 -- For 32 bit PRBS31 decoding
diff --git a/sources/FullModeWrapper/standalone_test/prbs_any.vhd b/sources/FullModeWrapper/standalone_test/prbs_any.vhd
index 5d71e01a2..e05465467 100644
--- a/sources/FullModeWrapper/standalone_test/prbs_any.vhd
+++ b/sources/FullModeWrapper/standalone_test/prbs_any.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Andrea Borga
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --------------------------------------------------------------------------------
 --    File Name:  PRBS_ANY.vhd
 --      Version:  1.0
diff --git a/sources/FullModeWrapper/standalone_test/prbs_any_rx.vhd b/sources/FullModeWrapper/standalone_test/prbs_any_rx.vhd
index 2c3061200..00650b280 100644
--- a/sources/FullModeWrapper/standalone_test/prbs_any_rx.vhd
+++ b/sources/FullModeWrapper/standalone_test/prbs_any_rx.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Andrea Borga
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --------------------------------------------------------------------------------
 --    File Name:  PRBS_ANY.vhd
 --      Version:  1.0
diff --git a/sources/FullModeWrapper/standalone_test/prbs_top.vhd b/sources/FullModeWrapper/standalone_test/prbs_top.vhd
index b977e5355..0bf8a26a0 100644
--- a/sources/FullModeWrapper/standalone_test/prbs_top.vhd
+++ b/sources/FullModeWrapper/standalone_test/prbs_top.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Andrea Borga
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 -- Company: 
 -- Engineer: 
diff --git a/sources/FullModeWrapper/support/prbs8b10b_9p6g_common.vhd b/sources/FullModeWrapper/support/prbs8b10b_9p6g_common.vhd
index 27a12961f..b2619d7bf 100644
--- a/sources/FullModeWrapper/support/prbs8b10b_9p6g_common.vhd
+++ b/sources/FullModeWrapper/support/prbs8b10b_9p6g_common.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Andrea Borga
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ------------------------------------------------------------------------------/
 --   ____  ____ 
 --  /   /\/   /
diff --git a/sources/FullModeWrapper/support/prbs8b10b_9p6g_common_reset.vhd b/sources/FullModeWrapper/support/prbs8b10b_9p6g_common_reset.vhd
index 598804b94..d01f1ac40 100644
--- a/sources/FullModeWrapper/support/prbs8b10b_9p6g_common_reset.vhd
+++ b/sources/FullModeWrapper/support/prbs8b10b_9p6g_common_reset.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Andrea Borga
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --------------------------------------------------------------------------------
 --   ____  ____ 
 --  /   /\/   / 
diff --git a/sources/FullModeWrapper/support/prbs8b10b_9p6g_gt_usrclk_source.vhd b/sources/FullModeWrapper/support/prbs8b10b_9p6g_gt_usrclk_source.vhd
index 69d4f896c..1e3697328 100644
--- a/sources/FullModeWrapper/support/prbs8b10b_9p6g_gt_usrclk_source.vhd
+++ b/sources/FullModeWrapper/support/prbs8b10b_9p6g_gt_usrclk_source.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Andrea Borga
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ------------------------------------------------------------------------------
 --   ____  ____
 --  /   /\/   / 
diff --git a/sources/FullModeWrapper/support/prbs8b10b_9p6g_support.vhd b/sources/FullModeWrapper/support/prbs8b10b_9p6g_support.vhd
index 77a0a44a3..75fb68476 100644
--- a/sources/FullModeWrapper/support/prbs8b10b_9p6g_support.vhd
+++ b/sources/FullModeWrapper/support/prbs8b10b_9p6g_support.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Andrea Borga
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ------------------------------------------------------------------------------
 --   ____  ____
 --  /   /\/   /
diff --git a/sources/GBT/gbt_code/FELIX_GBT_RXSLIDE_FSM.vhd b/sources/GBT/gbt_code/FELIX_GBT_RXSLIDE_FSM.vhd
index 1945d8901..058731bcb 100644
--- a/sources/GBT/gbt_code/FELIX_GBT_RXSLIDE_FSM.vhd
+++ b/sources/GBT/gbt_code/FELIX_GBT_RXSLIDE_FSM.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Kai Chen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --!                                                                           --
 --!           BNL - Brookhaven National Lboratory                             --
diff --git a/sources/GBT/gbt_code/FELIX_GBT_RX_ALIGN_FSM.vhd b/sources/GBT/gbt_code/FELIX_GBT_RX_ALIGN_FSM.vhd
index a856bffc9..fc4fc84a3 100644
--- a/sources/GBT/gbt_code/FELIX_GBT_RX_ALIGN_FSM.vhd
+++ b/sources/GBT/gbt_code/FELIX_GBT_RX_ALIGN_FSM.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Kai Chen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --!                                                                           --
 --!           BNL - Brookhaven National Lboratory                             --
diff --git a/sources/GBT/gbt_code/FELIX_GBT_RX_AUTO_RST.vhd b/sources/GBT/gbt_code/FELIX_GBT_RX_AUTO_RST.vhd
index cae083c39..b5143b044 100644
--- a/sources/GBT/gbt_code/FELIX_GBT_RX_AUTO_RST.vhd
+++ b/sources/GBT/gbt_code/FELIX_GBT_RX_AUTO_RST.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Kai Chen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --!                                                                           --
 --!           BNL - Brookhaven National Lboratory                             --
diff --git a/sources/GBT/gbt_code/FELIX_gbt_wrapper_FELIGKCU.vhd b/sources/GBT/gbt_code/FELIX_gbt_wrapper_FELIGKCU.vhd
index f2ab99fec..346a11d58 100644
--- a/sources/GBT/gbt_code/FELIX_gbt_wrapper_FELIGKCU.vhd
+++ b/sources/GBT/gbt_code/FELIX_gbt_wrapper_FELIGKCU.vhd
@@ -1,3 +1,27 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Andrea Borga
+--!               Israel Grayzman
+--!               RHabraken
+--!               Kai Chen
+--!               Frans Schreuder
+--!               Shelfali Saxena
+--!               mtrovato
+--!               Ricardo Luz
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --!                                                                           --
 --!           BNL - Brookhaven National Lboratory                             --
diff --git a/sources/GBT/gbt_code/FELIX_gbt_wrapper_KCU.vhd b/sources/GBT/gbt_code/FELIX_gbt_wrapper_KCU.vhd
index 914e667c3..a2d9d7132 100644
--- a/sources/GBT/gbt_code/FELIX_gbt_wrapper_KCU.vhd
+++ b/sources/GBT/gbt_code/FELIX_gbt_wrapper_KCU.vhd
@@ -1,3 +1,26 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Andrea Borga
+--!               Israel Grayzman
+--!               RHabraken
+--!               Kai Chen
+--!               Frans Schreuder
+--!               Shelfali Saxena
+--!               mtrovato
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --!                                                                           --
 --!           BNL - Brookhaven National Lboratory                             --
diff --git a/sources/GBT/gbt_code/FELIX_gbt_wrapper_V7.vhd b/sources/GBT/gbt_code/FELIX_gbt_wrapper_V7.vhd
index c8e72f640..eb3b09ffb 100644
--- a/sources/GBT/gbt_code/FELIX_gbt_wrapper_V7.vhd
+++ b/sources/GBT/gbt_code/FELIX_gbt_wrapper_V7.vhd
@@ -1,3 +1,24 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Andrea Borga
+--!               Israel Grayzman
+--!               RHabraken
+--!               Kai Chen
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --!                                                                           --
 --!           BNL - Brookhaven National Lboratory                             --
diff --git a/sources/GBT/gbt_code/FELIX_gbt_wrapper_V7_low_latency.vhd b/sources/GBT/gbt_code/FELIX_gbt_wrapper_V7_low_latency.vhd
index ad0a6bd8b..c913511aa 100644
--- a/sources/GBT/gbt_code/FELIX_gbt_wrapper_V7_low_latency.vhd
+++ b/sources/GBT/gbt_code/FELIX_gbt_wrapper_V7_low_latency.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Kai Chen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --!                                                                           --
 --!           BNL - Brookhaven National Lboratory                             --
diff --git a/sources/GBT/gbt_code/FELIX_gbt_wrapper_no_gth.vhd b/sources/GBT/gbt_code/FELIX_gbt_wrapper_no_gth.vhd
old mode 100755
new mode 100644
index 0f4e2f2ff..400c0f9de
--- a/sources/GBT/gbt_code/FELIX_gbt_wrapper_no_gth.vhd
+++ b/sources/GBT/gbt_code/FELIX_gbt_wrapper_no_gth.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               RHabraken
+--!               Mesfin Gebyehu
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --!                                                                           --
 --!           BNL - Brookhaven National Lboratory                             --
diff --git a/sources/GBT/gbt_code/FELIX_gbt_wrapper_xoff_L1t_det.vhd b/sources/GBT/gbt_code/FELIX_gbt_wrapper_xoff_L1t_det.vhd
index 85c80088d..f273fab13 100644
--- a/sources/GBT/gbt_code/FELIX_gbt_wrapper_xoff_L1t_det.vhd
+++ b/sources/GBT/gbt_code/FELIX_gbt_wrapper_xoff_L1t_det.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               RHabraken
+--!               Frans Schreuder
+--!               Mesfin Gebyehu
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --!                                                                           --
 --!           BNL - Brookhaven National Lboratory                             --
diff --git a/sources/GBT/gbt_code/gbtRx_FELIX.vhd b/sources/GBT/gbt_code/gbtRx_FELIX.vhd
index 498b962d1..ac4df7423 100644
--- a/sources/GBT/gbt_code/gbtRx_FELIX.vhd
+++ b/sources/GBT/gbt_code/gbtRx_FELIX.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Kai Chen
+--!               Andrea Borga
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --!                                                                           --
 --!           BNL - Brookhaven National Lboratory                             --
diff --git a/sources/GBT/gbt_code/gbtRx_wrap_FELIX.vhd b/sources/GBT/gbt_code/gbtRx_wrap_FELIX.vhd
index a9efeb23b..d1ade6716 100644
--- a/sources/GBT/gbt_code/gbtRx_wrap_FELIX.vhd
+++ b/sources/GBT/gbt_code/gbtRx_wrap_FELIX.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Kai Chen
+--!               Mesfin Gebyehu
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --!                                                                           --
 --!           BNL - Brookhaven National Lboratory                             --
diff --git a/sources/GBT/gbt_code/gbtTxRx_FELIX.vhd b/sources/GBT/gbt_code/gbtTxRx_FELIX.vhd
index bb6ea226f..67d04143e 100644
--- a/sources/GBT/gbt_code/gbtTxRx_FELIX.vhd
+++ b/sources/GBT/gbt_code/gbtTxRx_FELIX.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Kai Chen
+--!               RHabraken
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --!                                                                           --
 --!           BNL - Brookhaven National Lboratory                             --
diff --git a/sources/GBT/gbt_code/gbtTx_FELIX.vhd b/sources/GBT/gbt_code/gbtTx_FELIX.vhd
index 40bb2836c..c365a673d 100644
--- a/sources/GBT/gbt_code/gbtTx_FELIX.vhd
+++ b/sources/GBT/gbt_code/gbtTx_FELIX.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Kai Chen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --!                                                                           --
 --!           BNL - Brookhaven National Lboratory                             --
diff --git a/sources/GBT/gbt_code/gbt_rx_decoder_FELIX.vhd b/sources/GBT/gbt_code/gbt_rx_decoder_FELIX.vhd
index 794a871a4..e6c4b3aaa 100644
--- a/sources/GBT/gbt_code/gbt_rx_decoder_FELIX.vhd
+++ b/sources/GBT/gbt_code/gbt_rx_decoder_FELIX.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Kai Chen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 --=================================================================================================--
 --##################################   Module Information   #######################################--
diff --git a/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_chnsrch.vhd b/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_chnsrch.vhd
index 404bb620a..200fc3a9e 100644
--- a/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_chnsrch.vhd
+++ b/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_chnsrch.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Kai Chen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --=================================================================================================--
 --##################################   Module Information   #######################################--
 --=================================================================================================--
diff --git a/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_deintlver.vhd b/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_deintlver.vhd
index 2f28645b0..0e0e64b9e 100644
--- a/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_deintlver.vhd
+++ b/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_deintlver.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --=================================================================================================--
 --##################################   Module Information   #######################################--
 --=================================================================================================--
diff --git a/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_elpeval.vhd b/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_elpeval.vhd
index 72815c78c..f7932acb3 100644
--- a/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_elpeval.vhd
+++ b/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_elpeval.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --=================================================================================================--
 --##################################   Module Information   #######################################--
 --=================================================================================================--
diff --git a/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_errlcpoly.vhd b/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_errlcpoly.vhd
index 960ed86cd..672d63c7b 100644
--- a/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_errlcpoly.vhd
+++ b/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_errlcpoly.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --=================================================================================================--
 --##################################   Module Information   #######################################--
 --=================================================================================================--
diff --git a/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_lmbddet.vhd b/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_lmbddet.vhd
index e5fd43437..b97ce2dbc 100644
--- a/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_lmbddet.vhd
+++ b/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_lmbddet.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --=================================================================================================--
 --##################################   Module Information   #######################################--
 --=================================================================================================--
diff --git a/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_rs2errcor.vhd b/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_rs2errcor.vhd
index a2a6c2f0d..1e5304790 100644
--- a/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_rs2errcor.vhd
+++ b/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_rs2errcor.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --=================================================================================================--
 --##################################   Module Information   #######################################--
 --=================================================================================================--
diff --git a/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_rsdec.vhd b/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_rsdec.vhd
index 048fe7626..3929e1207 100644
--- a/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_rsdec.vhd
+++ b/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_rsdec.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --=================================================================================================--
 --##################################   Module Information   #######################################--
 --=================================================================================================--
diff --git a/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_rsdec_sync.vhd b/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_rsdec_sync.vhd
index ca538f669..1dea99acd 100644
--- a/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_rsdec_sync.vhd
+++ b/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_rsdec_sync.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 -- Modified by Kai Chen, to change it from combinational logic, to be synchronized with the 240MHz clock
 --=================================================================================================--
 --##################################   Module Information   #######################################--
diff --git a/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_syndrom.vhd b/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_syndrom.vhd
index 989bdd873..5d71b600e 100644
--- a/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_syndrom.vhd
+++ b/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_syndrom.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --=================================================================================================--
 --##################################   Module Information   #######################################--
 --=================================================================================================--
diff --git a/sources/GBT/gbt_code/gbt_rx_descrambler_16bit.vhd b/sources/GBT/gbt_code/gbt_rx_descrambler_16bit.vhd
index 742aa23f9..0921cb2ee 100644
--- a/sources/GBT/gbt_code/gbt_rx_descrambler_16bit.vhd
+++ b/sources/GBT/gbt_code/gbt_rx_descrambler_16bit.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Kai Chen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 -------------------------------------------------------------------------------
 -- Modified by K. Chen  @ Dec. 2014, control signal added.
 -------------------------------------------------------------------------------
diff --git a/sources/GBT/gbt_code/gbt_rx_descrambler_21bit.vhd b/sources/GBT/gbt_code/gbt_rx_descrambler_21bit.vhd
index 6db6e5c57..2e0ddc04e 100644
--- a/sources/GBT/gbt_code/gbt_rx_descrambler_21bit.vhd
+++ b/sources/GBT/gbt_code/gbt_rx_descrambler_21bit.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Kai Chen
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 -------------------------------------------------------------------------------
 -- Modified by K. Chen  @ Dec. 2014, control signal added.
 -------------------------------------------------------------------------------
diff --git a/sources/GBT/gbt_code/gbt_rx_descrambler_FELIX.vhd b/sources/GBT/gbt_code/gbt_rx_descrambler_FELIX.vhd
index 2240f6f81..eef1c2605 100644
--- a/sources/GBT/gbt_code/gbt_rx_descrambler_FELIX.vhd
+++ b/sources/GBT/gbt_code/gbt_rx_descrambler_FELIX.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Kai Chen
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 -------------------------------------------------------------------------------
 -- Modified by K. Chen  @ Dec. 2014, Clock changed, control signal added.
 -- Dynamic data mode change is added.
diff --git a/sources/GBT/gbt_code/gbt_rx_gearbox_FELIX_wi_rxbuffer.vhd b/sources/GBT/gbt_code/gbt_rx_gearbox_FELIX_wi_rxbuffer.vhd
index af0150c0e..422d30f00 100644
--- a/sources/GBT/gbt_code/gbt_rx_gearbox_FELIX_wi_rxbuffer.vhd
+++ b/sources/GBT/gbt_code/gbt_rx_gearbox_FELIX_wi_rxbuffer.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Kai Chen
+--!               Mesfin Gebyehu
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --!                                                                           --
 --!           BNL - Brookhaven National Lboratory                             --
diff --git a/sources/GBT/gbt_code/gbt_rx_gearbox_FELIX_wo_rxbuffer.vhd b/sources/GBT/gbt_code/gbt_rx_gearbox_FELIX_wo_rxbuffer.vhd
index 47283eccb..ef941ffef 100644
--- a/sources/GBT/gbt_code/gbt_rx_gearbox_FELIX_wo_rxbuffer.vhd
+++ b/sources/GBT/gbt_code/gbt_rx_gearbox_FELIX_wo_rxbuffer.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Kai Chen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --!                                                                           --
 --!           BNL - Brookhaven National Lboratory                             --
diff --git a/sources/GBT/gbt_code/gbt_tx_encoder_FELIX.vhd b/sources/GBT/gbt_code/gbt_tx_encoder_FELIX.vhd
index 82c664f26..dacf9dbc9 100644
--- a/sources/GBT/gbt_code/gbt_tx_encoder_FELIX.vhd
+++ b/sources/GBT/gbt_code/gbt_tx_encoder_FELIX.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Kai Chen
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 -------------------------------------------------------------------------------
 -- The dynamical change of the data type is added
 -- K. Chen, Dec. 2014
diff --git a/sources/GBT/gbt_code/gbt_tx_encoder_gbtframe_intlver.vhd b/sources/GBT/gbt_code/gbt_tx_encoder_gbtframe_intlver.vhd
index e7b976a77..19f256acc 100644
--- a/sources/GBT/gbt_code/gbt_tx_encoder_gbtframe_intlver.vhd
+++ b/sources/GBT/gbt_code/gbt_tx_encoder_gbtframe_intlver.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --=================================================================================================--
 --##################################   Module Information   #######################################--
 --=================================================================================================--
diff --git a/sources/GBT/gbt_code/gbt_tx_encoder_gbtframe_polydiv.vhd b/sources/GBT/gbt_code/gbt_tx_encoder_gbtframe_polydiv.vhd
index 1216b0aac..fad35fd82 100644
--- a/sources/GBT/gbt_code/gbt_tx_encoder_gbtframe_polydiv.vhd
+++ b/sources/GBT/gbt_code/gbt_tx_encoder_gbtframe_polydiv.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --=================================================================================================--
 --##################################   Module Information   #######################################--
 --=================================================================================================--
diff --git a/sources/GBT/gbt_code/gbt_tx_encoder_gbtframe_polydiv_sim.vhd b/sources/GBT/gbt_code/gbt_tx_encoder_gbtframe_polydiv_sim.vhd
index 281a5013f..d1a060365 100644
--- a/sources/GBT/gbt_code/gbt_tx_encoder_gbtframe_polydiv_sim.vhd
+++ b/sources/GBT/gbt_code/gbt_tx_encoder_gbtframe_polydiv_sim.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --=================================================================================================--
 --##################################   Module Information   #######################################--
 --=================================================================================================--
diff --git a/sources/GBT/gbt_code/gbt_tx_encoder_gbtframe_rsencode.vhd b/sources/GBT/gbt_code/gbt_tx_encoder_gbtframe_rsencode.vhd
index b95d144b4..6f0777c97 100644
--- a/sources/GBT/gbt_code/gbt_tx_encoder_gbtframe_rsencode.vhd
+++ b/sources/GBT/gbt_code/gbt_tx_encoder_gbtframe_rsencode.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --=================================================================================================--
 --##################################   Module Information   #######################################--
 --=================================================================================================--
diff --git a/sources/GBT/gbt_code/gbt_tx_gearbox_FELIX.vhd b/sources/GBT/gbt_code/gbt_tx_gearbox_FELIX.vhd
index cce09d174..05c9a7a51 100644
--- a/sources/GBT/gbt_code/gbt_tx_gearbox_FELIX.vhd
+++ b/sources/GBT/gbt_code/gbt_tx_gearbox_FELIX.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Kai Chen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 -------------------------------------------------------------------------------
 ---- Big Modification is done, by K. Chen  @ Dec. 2014
 ---- The timing crossing is deleted. A new robust one is moved before scrambler. 
diff --git a/sources/GBT/gbt_code/gbt_tx_scrambler_16bit.vhd b/sources/GBT/gbt_code/gbt_tx_scrambler_16bit.vhd
index e26d38ed4..b9a420c21 100644
--- a/sources/GBT/gbt_code/gbt_tx_scrambler_16bit.vhd
+++ b/sources/GBT/gbt_code/gbt_tx_scrambler_16bit.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Kai Chen
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 -------------------------------------------------------------------------------
 ---- Modified by K. Chen  @ Dec. 2014, Clock changed,  control signal added.
 -------------------------------------------------------------------------------
diff --git a/sources/GBT/gbt_code/gbt_tx_scrambler_21bit.vhd b/sources/GBT/gbt_code/gbt_tx_scrambler_21bit.vhd
index f9abdb1df..70afd2e0c 100644
--- a/sources/GBT/gbt_code/gbt_tx_scrambler_21bit.vhd
+++ b/sources/GBT/gbt_code/gbt_tx_scrambler_21bit.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Kai Chen
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 -------------------------------------------------------------------------------
 -- ---- Modified by K. Chen  @ Dec. 2014, Clock changed,  control signal added.
 -------------------------------------------------------------------------------
diff --git a/sources/GBT/gbt_code/gbt_tx_scrambler_FELIX.vhd b/sources/GBT/gbt_code/gbt_tx_scrambler_FELIX.vhd
index 339ace707..b2e52575e 100644
--- a/sources/GBT/gbt_code/gbt_tx_scrambler_FELIX.vhd
+++ b/sources/GBT/gbt_code/gbt_tx_scrambler_FELIX.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Kai Chen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 -------------------------------------------------------------------------------
 -- Based on GBT-FPGA project v3
 -- Modified by K. Chen  @ Dec. 2014, Clock changed, in/out control signal added.
diff --git a/sources/GBT/gbt_code/gbt_tx_timedomaincrossing_FELIX.vhd b/sources/GBT/gbt_code/gbt_tx_timedomaincrossing_FELIX.vhd
index d0f901d3b..4ab3acd6f 100644
--- a/sources/GBT/gbt_code/gbt_tx_timedomaincrossing_FELIX.vhd
+++ b/sources/GBT/gbt_code/gbt_tx_timedomaincrossing_FELIX.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Kai Chen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --!                                                                           --
 --!           BNL - Brookhaven National Lboratory                             --
diff --git a/sources/GBT/gth_code/cpll4p8g1ch_KCU/GTH_CPLL_Wrapper.vhd b/sources/GBT/gth_code/cpll4p8g1ch_KCU/GTH_CPLL_Wrapper.vhd
index e7172fbf3..5257fd407 100644
--- a/sources/GBT/gth_code/cpll4p8g1ch_KCU/GTH_CPLL_Wrapper.vhd
+++ b/sources/GBT/gth_code/cpll4p8g1ch_KCU/GTH_CPLL_Wrapper.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Kai Chen
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --!                                                                           --
 --!           BNL - Brookhaven National Lboratory                             --
diff --git a/sources/GBT/gth_code/cpll4p8g1ch_V7/GTH_CPLL_Wrapper_V7.vhd b/sources/GBT/gth_code/cpll4p8g1ch_V7/GTH_CPLL_Wrapper_V7.vhd
index 27541575c..f09e91518 100644
--- a/sources/GBT/gth_code/cpll4p8g1ch_V7/GTH_CPLL_Wrapper_V7.vhd
+++ b/sources/GBT/gth_code/cpll4p8g1ch_V7/GTH_CPLL_Wrapper_V7.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Kai Chen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --!                                                                           --
 --!           BNL - Brookhaven National Lboratory                             --
diff --git a/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_exdes.vhd b/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_exdes.vhd
index 7e1eb38bc..2a2342187 100644
--- a/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_exdes.vhd
+++ b/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_exdes.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Kai Chen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --Modified for the FELIX HTG-710,   Kai CHEN @ bnl 
 
 ------------------------------------------------------------------------------
diff --git a/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_gt.vhd b/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_gt.vhd
index c7041ff6f..cd91f2948 100644
--- a/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_gt.vhd
+++ b/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_gt.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Kai Chen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --Modified by Kai Chen
 -- 2015/01/14
 -- For FELIX: 4-chanel 4.8Gbps CPLL GTH
diff --git a/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_init.vhd b/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_init.vhd
index 250103dce..312ef48c2 100644
--- a/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_init.vhd
+++ b/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_init.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Kai Chen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --Modified by Kai Chen
 -- 2014
 -- For FELIX: 4-chanel 4.8Gbps CPLL GTH
diff --git a/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_multi_gt.vhd b/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_multi_gt.vhd
index 7c3ad7c9e..b351dcaee 100644
--- a/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_multi_gt.vhd
+++ b/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_multi_gt.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Kai Chen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 -------------------------------------------------------------------------------
 --   ____  ____
 --  /   /\/   /
diff --git a/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_rx_manual_phase_align.vhd b/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_rx_manual_phase_align.vhd
index 34e7da9c6..f9afe0849 100644
--- a/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_rx_manual_phase_align.vhd
+++ b/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_rx_manual_phase_align.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --//////////////////////////////////////////////////////////////////////////////
 --   ____  ____ 
 --  /   /\/   / 
diff --git a/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_rx_startup_fsm.vhd b/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_rx_startup_fsm.vhd
index 376215564..2e3ff453e 100644
--- a/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_rx_startup_fsm.vhd
+++ b/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_rx_startup_fsm.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --////////////////////////////////////////////////////////////////////////////////
 --//   ____  ____ 
 --//  /   /\/   / 
diff --git a/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_sync_block.vhd b/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_sync_block.vhd
index 5866d72b9..9a14a9051 100644
--- a/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_sync_block.vhd
+++ b/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_sync_block.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --////////////////////////////////////////////////////////////////////////////////
 --//   ____  ____ 
 --//  /   /\/   / 
diff --git a/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_sync_pulse.vhd b/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_sync_pulse.vhd
index ac7bee6f4..48614f30d 100644
--- a/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_sync_pulse.vhd
+++ b/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_sync_pulse.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --////////////////////////////////////////////////////////////////////////////////
 --//   ____  ____ 
 --//  /   /\/   / 
diff --git a/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_tx_manual_phase_align.vhd b/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_tx_manual_phase_align.vhd
index 1103bdfd6..bf0186f75 100644
--- a/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_tx_manual_phase_align.vhd
+++ b/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_tx_manual_phase_align.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --//////////////////////////////////////////////////////////////////////////////
 --   ____  ____ 
 --  /   /\/   / 
diff --git a/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_tx_startup_fsm.vhd b/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_tx_startup_fsm.vhd
index f5346f532..57572e17c 100644
--- a/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_tx_startup_fsm.vhd
+++ b/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_tx_startup_fsm.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --//////////////////////////////////////////////////////////////////////////////
 --   ____  ____ 
 --  /   /\/   / 
diff --git a/sources/GBT/gth_code/gth_top.vhd b/sources/GBT/gth_code/gth_top.vhd
index 32e432618..dceb3fa1f 100644
--- a/sources/GBT/gth_code/gth_top.vhd
+++ b/sources/GBT/gth_code/gth_top.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Kai Chen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --!                                                                           --
 --!           BNL - Brookhaven National Lboratory                             --
diff --git a/sources/GBT/gth_code/gth_usrclk_gen.vhd b/sources/GBT/gth_code/gth_usrclk_gen.vhd
index 698abf2ef..18b25e892 100644
--- a/sources/GBT/gth_code/gth_usrclk_gen.vhd
+++ b/sources/GBT/gth_code/gth_usrclk_gen.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Kai Chen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --!                                                                           --
 --!           BNL - Brookhaven National Lboratory                             --
diff --git a/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch.vhd b/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch.vhd
index 6a1852afc..3e3111b73 100644
--- a/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch.vhd
+++ b/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Kai Chen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --Modified by Kai Chen
 -- 2015/01/14
 -- For FELIX: 4-chanel 4.8Gbps QPLL GTH
diff --git a/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_gt.vhd b/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_gt.vhd
index 26765f605..6ff52272d 100644
--- a/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_gt.vhd
+++ b/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_gt.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Kai Chen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --Modified by Kai Chen
 -- 2015/01/14
 -- For FELIX: 4-chanel 4.8Gbps QPLL GTH
diff --git a/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_gtrxreset_seq.vhd b/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_gtrxreset_seq.vhd
index aaecb02af..bb35559e6 100644
--- a/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_gtrxreset_seq.vhd
+++ b/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_gtrxreset_seq.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ------------------------------------------------------------------------------
 --   ____  ____ 
 --  /   /\/   /
diff --git a/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_init.vhd b/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_init.vhd
index f6d93efbb..032652b30 100644
--- a/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_init.vhd
+++ b/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_init.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Kai Chen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --Modified by Kai Chen
 -- 2015/01/14
 -- For FELIX: 4-chanel 4.8Gbps QPLL GTH
diff --git a/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_multi_gt.vhd b/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_multi_gt.vhd
index 7b06e5afc..001952056 100644
--- a/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_multi_gt.vhd
+++ b/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_multi_gt.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Kai Chen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 -------------------------------------------------------------------------------
 --   ____  ____
 --  /   /\/   /
diff --git a/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_rx_manual_phase_align.vhd b/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_rx_manual_phase_align.vhd
index e6b4cd33d..655b2bd1c 100644
--- a/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_rx_manual_phase_align.vhd
+++ b/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_rx_manual_phase_align.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --//////////////////////////////////////////////////////////////////////////////
 --   ____  ____ 
 --  /   /\/   / 
diff --git a/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_rx_startup_fsm.vhd b/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_rx_startup_fsm.vhd
index f20539459..650b575cb 100644
--- a/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_rx_startup_fsm.vhd
+++ b/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_rx_startup_fsm.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --////////////////////////////////////////////////////////////////////////////////
 --//   ____  ____ 
 --//  /   /\/   / 
diff --git a/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_sync_block.vhd b/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_sync_block.vhd
index fcaf070a1..a8ecb5d1d 100644
--- a/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_sync_block.vhd
+++ b/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_sync_block.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --////////////////////////////////////////////////////////////////////////////////
 --//   ____  ____ 
 --//  /   /\/   / 
diff --git a/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_sync_pulse.vhd b/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_sync_pulse.vhd
index be022901f..063134cec 100644
--- a/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_sync_pulse.vhd
+++ b/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_sync_pulse.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --////////////////////////////////////////////////////////////////////////////////
 --//   ____  ____ 
 --//  /   /\/   / 
diff --git a/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_tx_manual_phase_align.vhd b/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_tx_manual_phase_align.vhd
index d2bb56966..35efb8320 100644
--- a/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_tx_manual_phase_align.vhd
+++ b/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_tx_manual_phase_align.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --//////////////////////////////////////////////////////////////////////////////
 --   ____  ____ 
 --  /   /\/   / 
diff --git a/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_tx_startup_fsm.vhd b/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_tx_startup_fsm.vhd
index 03363f548..1abfe6c35 100644
--- a/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_tx_startup_fsm.vhd
+++ b/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_tx_startup_fsm.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --//////////////////////////////////////////////////////////////////////////////
 --   ____  ____ 
 --  /   /\/   / 
diff --git a/sources/GBT/gth_code/qpll4p8g4ch_KCU/GTH_QPLL_Wrapper.vhd b/sources/GBT/gth_code/qpll4p8g4ch_KCU/GTH_QPLL_Wrapper.vhd
index dc1a033ea..7db935548 100644
--- a/sources/GBT/gth_code/qpll4p8g4ch_KCU/GTH_QPLL_Wrapper.vhd
+++ b/sources/GBT/gth_code/qpll4p8g4ch_KCU/GTH_QPLL_Wrapper.vhd
@@ -1,3 +1,24 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Andrea Borga
+--!               Kai Chen
+--!               Frans Schreuder
+--!               Shelfali Saxena
+--!               mtrovato
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --!                                                                           --
 --!           BNL - Brookhaven National Lboratory                             --
diff --git a/sources/GBT/gth_code/qpll4p8g4ch_KCU/GTH_QPLL_Wrapper_FELIG.vhd b/sources/GBT/gth_code/qpll4p8g4ch_KCU/GTH_QPLL_Wrapper_FELIG.vhd
index 796042a43..d9246e5b1 100644
--- a/sources/GBT/gth_code/qpll4p8g4ch_KCU/GTH_QPLL_Wrapper_FELIG.vhd
+++ b/sources/GBT/gth_code/qpll4p8g4ch_KCU/GTH_QPLL_Wrapper_FELIG.vhd
@@ -1,3 +1,25 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Andrea Borga
+--!               Kai Chen
+--!               Frans Schreuder
+--!               Shelfali Saxena
+--!               mtrovato
+--!               Ricardo Luz
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --!                                                                           --
 --!           BNL - Brookhaven National Lboratory                             --
diff --git a/sources/GBT/gth_code/qpll4p8g4ch_V7/GTH_QPLL_Wrapper_V7.vhd b/sources/GBT/gth_code/qpll4p8g4ch_V7/GTH_QPLL_Wrapper_V7.vhd
index d9ca339b1..5f81194d2 100644
--- a/sources/GBT/gth_code/qpll4p8g4ch_V7/GTH_QPLL_Wrapper_V7.vhd
+++ b/sources/GBT/gth_code/qpll4p8g4ch_V7/GTH_QPLL_Wrapper_V7.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Kai Chen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --!                                                                           --
 --!           BNL - Brookhaven National Lboratory                             --
diff --git a/sources/GBTlinksDataEmulator/GBTdataEmulator.vhd b/sources/GBTlinksDataEmulator/GBTdataEmulator.vhd
index ee098945c..48a47ee44 100644
--- a/sources/GBTlinksDataEmulator/GBTdataEmulator.vhd
+++ b/sources/GBTlinksDataEmulator/GBTdataEmulator.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Andrea Borga
+--!               Frans Schreuder
+--!               Julia Narevicius
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/GBTlinksDataEmulator/IG_GBTdataEmulator.vhd b/sources/GBTlinksDataEmulator/IG_GBTdataEmulator.vhd
index 30abfce41..5a4025fd6 100644
--- a/sources/GBTlinksDataEmulator/IG_GBTdataEmulator.vhd
+++ b/sources/GBTlinksDataEmulator/IG_GBTdataEmulator.vhd
@@ -1,3 +1,23 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Andrea Borga
+--!               Frans Schreuder
+--!               Julia Narevicius
+--!               Israel Grayzman
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/ItkPixel/upstream_fanout_selector.vhd b/sources/ItkPixel/upstream_fanout_selector.vhd
index 7c579afcd..3b3b5b1ff 100644
--- a/sources/ItkPixel/upstream_fanout_selector.vhd
+++ b/sources/ItkPixel/upstream_fanout_selector.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Weihao Wu
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 
 
diff --git a/sources/ItkPixel/upstream_fanout_selector_(telescope_readout).vhd b/sources/ItkPixel/upstream_fanout_selector_(telescope_readout).vhd
index 67ef260a1..6b7d31532 100644
--- a/sources/ItkPixel/upstream_fanout_selector_(telescope_readout).vhd
+++ b/sources/ItkPixel/upstream_fanout_selector_(telescope_readout).vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Weihao Wu
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 
 
diff --git a/sources/ItkStrip/EPROC_OUT4_idle_inserter.vhd b/sources/ItkStrip/EPROC_OUT4_idle_inserter.vhd
old mode 100755
new mode 100644
index fb8b8a454..8ce634df6
--- a/sources/ItkStrip/EPROC_OUT4_idle_inserter.vhd
+++ b/sources/ItkStrip/EPROC_OUT4_idle_inserter.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Israel Grayzman
+--!               Frans Schreuder
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/ItkStrip/EPROC_OUT4_itk_strip_lcb_phase1.vhd b/sources/ItkStrip/EPROC_OUT4_itk_strip_lcb_phase1.vhd
index b4ff7f49c..45514c777 100644
--- a/sources/ItkStrip/EPROC_OUT4_itk_strip_lcb_phase1.vhd
+++ b/sources/ItkStrip/EPROC_OUT4_itk_strip_lcb_phase1.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Israel Grayzman
+--!               Frans Schreuder
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company: BNL
 --! Engineer: Elena Zhivun
diff --git a/sources/ItkStrip/EPROC_OUT4_itk_strip_r3l1_phase1.vhd b/sources/ItkStrip/EPROC_OUT4_itk_strip_r3l1_phase1.vhd
old mode 100755
new mode 100644
index 063441baa..85b5ac021
--- a/sources/ItkStrip/EPROC_OUT4_itk_strip_r3l1_phase1.vhd
+++ b/sources/ItkStrip/EPROC_OUT4_itk_strip_r3l1_phase1.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Israel Grayzman
+--!               Frans Schreuder
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company: BNL
 --! Engineer: Elena Zhivun
diff --git a/sources/ItkStrip/EPROC_OUT8_idle_inserter.vhd b/sources/ItkStrip/EPROC_OUT8_idle_inserter.vhd
old mode 100755
new mode 100644
index 969496f39..4e86037b1
--- a/sources/ItkStrip/EPROC_OUT8_idle_inserter.vhd
+++ b/sources/ItkStrip/EPROC_OUT8_idle_inserter.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Israel Grayzman
+--!               Frans Schreuder
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company: BNL
 --! Engineer: Elena Zhivun
diff --git a/sources/ItkStrip/EPROC_OUT8_strips_phase1.vhd b/sources/ItkStrip/EPROC_OUT8_strips_phase1.vhd
old mode 100755
new mode 100644
index 1ac37fd8d..eb31cf72c
--- a/sources/ItkStrip/EPROC_OUT8_strips_phase1.vhd
+++ b/sources/ItkStrip/EPROC_OUT8_strips_phase1.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Israel Grayzman
+--!               Frans Schreuder
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company: BNL
 --! Engineer: Elena Zhivun
diff --git a/sources/ItkStrip/l0a_frame_generator.vhd b/sources/ItkStrip/l0a_frame_generator.vhd
index a86b12dfc..c7f6ae8fe 100644
--- a/sources/ItkStrip/l0a_frame_generator.vhd
+++ b/sources/ItkStrip/l0a_frame_generator.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --------------------------------------------------------------------------------
 -- Title       : ITk Strips package
 -- Project     : FELIX
diff --git a/sources/ItkStrip/lcb_axi_encoder.vhd b/sources/ItkStrip/lcb_axi_encoder.vhd
index 0db7f6caf..2b645a574 100644
--- a/sources/ItkStrip/lcb_axi_encoder.vhd
+++ b/sources/ItkStrip/lcb_axi_encoder.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company: BNL
 --! Engineer: Elena Zhivun
diff --git a/sources/ItkStrip/lcb_command_decoder.vhd b/sources/ItkStrip/lcb_command_decoder.vhd
index 94ae6c80e..54b489490 100644
--- a/sources/ItkStrip/lcb_command_decoder.vhd
+++ b/sources/ItkStrip/lcb_command_decoder.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --------------------------------------------------------------------------------
 -- Title       : ITk Strips package
 -- Project     : FELIX
diff --git a/sources/ItkStrip/lcb_frame_generator.vhd b/sources/ItkStrip/lcb_frame_generator.vhd
index 6977c4c77..a83619ac0 100644
--- a/sources/ItkStrip/lcb_frame_generator.vhd
+++ b/sources/ItkStrip/lcb_frame_generator.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  BNL
 --! Engineer: Elena Zhivun <ezhivun@bnl.gov>
diff --git a/sources/ItkStrip/lcb_mux.vhd b/sources/ItkStrip/lcb_mux.vhd
old mode 100755
new mode 100644
index b6555c507..d123dc5b6
--- a/sources/ItkStrip/lcb_mux.vhd
+++ b/sources/ItkStrip/lcb_mux.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --------------------------------------------------------------------------------
 -- Title       : ITk Strips package
 -- Project     : FELIX
diff --git a/sources/ItkStrip/lcb_mux_reg.vhd b/sources/ItkStrip/lcb_mux_reg.vhd
old mode 100755
new mode 100644
index 64327ce09..48364e74c
--- a/sources/ItkStrip/lcb_mux_reg.vhd
+++ b/sources/ItkStrip/lcb_mux_reg.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --------------------------------------------------------------------------------
 -- Title       : ITk Strips package
 -- Project     : FELIX
diff --git a/sources/ItkStrip/lcb_regmap.vhd b/sources/ItkStrip/lcb_regmap.vhd
index 3cba1158a..501536d2e 100644
--- a/sources/ItkStrip/lcb_regmap.vhd
+++ b/sources/ItkStrip/lcb_regmap.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 library ieee;
 use ieee.std_logic_1164.all;
 use ieee.numeric_std.all;
diff --git a/sources/ItkStrip/lcb_regmap_package.vhd b/sources/ItkStrip/lcb_regmap_package.vhd
index 0f63b76e6..902da15af 100644
--- a/sources/ItkStrip/lcb_regmap_package.vhd
+++ b/sources/ItkStrip/lcb_regmap_package.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 library IEEE;
 use IEEE.STD_LOGIC_1164.ALL;
 use ieee.numeric_std.all;
diff --git a/sources/ItkStrip/lcb_scheduler_encoder.vhd b/sources/ItkStrip/lcb_scheduler_encoder.vhd
index 889031453..2c52b3c41 100644
--- a/sources/ItkStrip/lcb_scheduler_encoder.vhd
+++ b/sources/ItkStrip/lcb_scheduler_encoder.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  BNL
 --! Engineer: Elena Zhivun <ezhivun@bnl.gov>
diff --git a/sources/ItkStrip/lcb_trickle_trigger.vhd b/sources/ItkStrip/lcb_trickle_trigger.vhd
index 6b967f13d..e4213d33b 100644
--- a/sources/ItkStrip/lcb_trickle_trigger.vhd
+++ b/sources/ItkStrip/lcb_trickle_trigger.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 library ieee;
 use ieee.std_logic_1164.all;
 use ieee.numeric_std.all;
diff --git a/sources/ItkStrip/lcb_wrapper.vhd b/sources/ItkStrip/lcb_wrapper.vhd
index 76474e272..fea400317 100644
--- a/sources/ItkStrip/lcb_wrapper.vhd
+++ b/sources/ItkStrip/lcb_wrapper.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 library ieee;
 use ieee.std_logic_1164.all;
 use ieee.numeric_std.all;
diff --git a/sources/ItkStrip/playback_controller.vhd b/sources/ItkStrip/playback_controller.vhd
index be9b84340..4e59cdeb6 100644
--- a/sources/ItkStrip/playback_controller.vhd
+++ b/sources/ItkStrip/playback_controller.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --------------------------------------------------------------------------------
 -- Title       : ITk Strips package
 -- Project     : FELIX
diff --git a/sources/ItkStrip/r3l1_axi_encoder.vhd b/sources/ItkStrip/r3l1_axi_encoder.vhd
old mode 100755
new mode 100644
index ae243f754..844dd1351
--- a/sources/ItkStrip/r3l1_axi_encoder.vhd
+++ b/sources/ItkStrip/r3l1_axi_encoder.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company: BNL
 --! Engineer: Elena Zhivun
diff --git a/sources/ItkStrip/r3l1_frame_generator.vhd b/sources/ItkStrip/r3l1_frame_generator.vhd
old mode 100755
new mode 100644
index 6c8618ab4..02bf71fed
--- a/sources/ItkStrip/r3l1_frame_generator.vhd
+++ b/sources/ItkStrip/r3l1_frame_generator.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --------------------------------------------------------------------------------
 -- Title       : ITk Strips package
 -- Project     : FELIX
diff --git a/sources/ItkStrip/r3l1_frame_synchronizer.vhd b/sources/ItkStrip/r3l1_frame_synchronizer.vhd
old mode 100755
new mode 100644
index b217c1e5e..7259e043e
--- a/sources/ItkStrip/r3l1_frame_synchronizer.vhd
+++ b/sources/ItkStrip/r3l1_frame_synchronizer.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --------------------------------------------------------------------------------
 -- Title       : ITk Strips package
 -- Project     : FELIX
diff --git a/sources/ItkStrip/r3l1_scheduler_encoder.vhd b/sources/ItkStrip/r3l1_scheduler_encoder.vhd
old mode 100755
new mode 100644
index 79d2f1806..ea01a6805
--- a/sources/ItkStrip/r3l1_scheduler_encoder.vhd
+++ b/sources/ItkStrip/r3l1_scheduler_encoder.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  BNL
 --! Engineer: Elena Zhivun <ezhivun@bnl.gov>
diff --git a/sources/ItkStrip/r3l1_wrapper.vhd b/sources/ItkStrip/r3l1_wrapper.vhd
old mode 100755
new mode 100644
index 30a8cf5b1..017ae74da
--- a/sources/ItkStrip/r3l1_wrapper.vhd
+++ b/sources/ItkStrip/r3l1_wrapper.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 library ieee;
 use ieee.std_logic_1164.all;
 use ieee.numeric_std.all;
diff --git a/sources/ItkStrip/strips_bypass_frame_aggregator.vhd b/sources/ItkStrip/strips_bypass_frame_aggregator.vhd
index 05b2d3180..80203c065 100644
--- a/sources/ItkStrip/strips_bypass_frame_aggregator.vhd
+++ b/sources/ItkStrip/strips_bypass_frame_aggregator.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --------------------------------------------------------------------------------
 -- Title       : ITk Strips package
 -- Project     : FELIX
diff --git a/sources/ItkStrip/strips_config_map.vhd b/sources/ItkStrip/strips_config_map.vhd
old mode 100755
new mode 100644
index 21a224fab..ae314879f
--- a/sources/ItkStrip/strips_config_map.vhd
+++ b/sources/ItkStrip/strips_config_map.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --------------------------------------------------------------------------------
 -- Title       : ITk Strips configuration map
 -- Project     : FELIX
diff --git a/sources/ItkStrip/strips_idle_inserter.vhd b/sources/ItkStrip/strips_idle_inserter.vhd
old mode 100755
new mode 100644
index ed6509703..99d001eb3
--- a/sources/ItkStrip/strips_idle_inserter.vhd
+++ b/sources/ItkStrip/strips_idle_inserter.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 -- Company:
 -- Engineer: Weihao Wu & Elena Zhivun
diff --git a/sources/ItkStrip/strips_package.vhd b/sources/ItkStrip/strips_package.vhd
index eee3d0221..101b61f3e 100644
--- a/sources/ItkStrip/strips_package.vhd
+++ b/sources/ItkStrip/strips_package.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --------------------------------------------------------------------------------
 -- Title       : ITk Strips package
 -- Project     : FELIX
diff --git a/sources/PRandomDGen/randomd_gen.vhd b/sources/PRandomDGen/randomd_gen.vhd
old mode 100755
new mode 100644
index c38445df2..84aa9fe96
--- a/sources/PRandomDGen/randomd_gen.vhd
+++ b/sources/PRandomDGen/randomd_gen.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               RHabraken
+--!               Frans Schreuder
+--!               Mesfin Gebyehu
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --************** Pseudo Random data generator*********************************
 -- Date 21-2-2019
 -- 10bit PRDG with LUT to set the distribution
diff --git a/sources/TTCdataEmulator/TTC_Emulator.vhd b/sources/TTCdataEmulator/TTC_Emulator.vhd
index 9cbd7031c..02d27dd5c 100644
--- a/sources/TTCdataEmulator/TTC_Emulator.vhd
+++ b/sources/TTCdataEmulator/TTC_Emulator.vhd
@@ -1,3 +1,25 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Israel Grayzman
+--!               Thei Wijnen
+--!               Alessandra Camplani
+--!               Frans Schreuder
+--!               Ohad Shaked
+--!               Ali Skaf
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --------------------------------------------------------------------------------
 -- Design     : ttc_emulator_v2.1
 -- Author     : Alessandra Camplani
diff --git a/sources/TTCdataEmulator/delay_chain.vhd b/sources/TTCdataEmulator/delay_chain.vhd
index d68ebfedb..af0598dd8 100644
--- a/sources/TTCdataEmulator/delay_chain.vhd
+++ b/sources/TTCdataEmulator/delay_chain.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Thei Wijnen
+--!               Alessandra Camplani
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 -------------------------------------------------------------------------------
 -- design     : delay_chain.vhd
 -- author     : steffen staerz
diff --git a/sources/TTCdataEmulator/deprecated/TTC_Emulator.vhd b/sources/TTCdataEmulator/deprecated/TTC_Emulator.vhd
index 19c4cb617..a846f3423 100644
--- a/sources/TTCdataEmulator/deprecated/TTC_Emulator.vhd
+++ b/sources/TTCdataEmulator/deprecated/TTC_Emulator.vhd
@@ -1,3 +1,23 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Israel Grayzman
+--!               Alessandra Camplani
+--!               Frans Schreuder
+--!               Thei Wijnen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 library IEEE;
 use IEEE.STD_LOGIC_1164.ALL;
diff --git a/sources/TTCdataEmulator/deprecated/TTCdataSwitch.vhd b/sources/TTCdataEmulator/deprecated/TTCdataSwitch.vhd
index 25928adb7..8819c4373 100644
--- a/sources/TTCdataEmulator/deprecated/TTCdataSwitch.vhd
+++ b/sources/TTCdataEmulator/deprecated/TTCdataSwitch.vhd
@@ -1,3 +1,23 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Andrea Borga
+--!               Kai Chen
+--!               Frans Schreuder
+--!               Thei Wijnen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/TTCdataEmulator/hilo_detect.vhd b/sources/TTCdataEmulator/hilo_detect.vhd
index b2846f8b2..e2ac0d503 100644
--- a/sources/TTCdataEmulator/hilo_detect.vhd
+++ b/sources/TTCdataEmulator/hilo_detect.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Alessandra Camplani
+--!               Thei Wijnen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 -------------------------------------------------------------------------------
 -- Design     : hilo_detect.vhd
 -- Author     : Steffen Staerz
diff --git a/sources/TTCdataEmulator/pulse_extender.vhd b/sources/TTCdataEmulator/pulse_extender.vhd
index 365e98825..c934435f8 100644
--- a/sources/TTCdataEmulator/pulse_extender.vhd
+++ b/sources/TTCdataEmulator/pulse_extender.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Alessandra Camplani
+--!               Frans Schreuder
+--!               Thei Wijnen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 -------------------------------------------------------------------------------
 -- Design     : pulse_extender.vhd
 -- Author     : Steffen Staerz
diff --git a/sources/TTCdataEmulator/signal_delay.vhd b/sources/TTCdataEmulator/signal_delay.vhd
index f7ee185e0..66d23ec04 100644
--- a/sources/TTCdataEmulator/signal_delay.vhd
+++ b/sources/TTCdataEmulator/signal_delay.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Ali Skaf
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --=====================================================================================
 -- Company      : CERN - University of Goettingen 
 -- Project	    : Felix
diff --git a/sources/Xoff_decoder/8b10_dec.vhd b/sources/Xoff_decoder/8b10_dec.vhd
index 866102d02..6d1140d24 100644
--- a/sources/Xoff_decoder/8b10_dec.vhd
+++ b/sources/Xoff_decoder/8b10_dec.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Mesfin Gebyehu
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ------------------------------------------------------------------------------- 
 -- 
 --! Title	: 8b/10b Decoder 
diff --git a/sources/Xoff_decoder/8b10_dec_wrap.vhd b/sources/Xoff_decoder/8b10_dec_wrap.vhd
index 0ec3bd028..c91513948 100644
--- a/sources/Xoff_decoder/8b10_dec_wrap.vhd
+++ b/sources/Xoff_decoder/8b10_dec_wrap.vhd
@@ -1,3 +1,23 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Israel Grayzman
+--!               Mesfin Gebyehu
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/Xoff_decoder/EPROC_IN.vhd b/sources/Xoff_decoder/EPROC_IN.vhd
index d082b6b72..70a747dc7 100644
--- a/sources/Xoff_decoder/EPROC_IN.vhd
+++ b/sources/Xoff_decoder/EPROC_IN.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Mesfin Gebyehu
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 -- Company: Nikhef
 -- Engineer: Frans Schreuder
diff --git a/sources/Xoff_decoder/EPROC_IN_dec8b10b.vhd b/sources/Xoff_decoder/EPROC_IN_dec8b10b.vhd
index d700fcc99..2236c6b27 100644
--- a/sources/Xoff_decoder/EPROC_IN_dec8b10b.vhd
+++ b/sources/Xoff_decoder/EPROC_IN_dec8b10b.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Mesfin Gebyehu
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 -- Company: Nikhef
 -- Engineer: Frans Schreuder
diff --git a/sources/Xoff_decoder/InputShifterNb.vhd b/sources/Xoff_decoder/InputShifterNb.vhd
index 74d016b91..d011115c2 100644
--- a/sources/Xoff_decoder/InputShifterNb.vhd
+++ b/sources/Xoff_decoder/InputShifterNb.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Mesfin Gebyehu
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 -- Company: Nikhef
 -- Engineer: Frans Schreuder
diff --git a/sources/Xoff_decoder/Xoff_decoder_top.vhd b/sources/Xoff_decoder/Xoff_decoder_top.vhd
index 09fc170ca..aebb490cd 100644
--- a/sources/Xoff_decoder/Xoff_decoder_top.vhd
+++ b/sources/Xoff_decoder/Xoff_decoder_top.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Mesfin Gebyehu
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 -- Company: Nikhef
 -- Engineer: Frans Schreuder
diff --git a/sources/centralRouter/8b10_dec.vhd b/sources/centralRouter/8b10_dec.vhd
index 3cbf9060d..ceb9fdb68 100644
--- a/sources/centralRouter/8b10_dec.vhd
+++ b/sources/centralRouter/8b10_dec.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Ohad Shaked
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 -- Chuck Benz, Hollis, NH   Copyright (c)2002
 --
 -- The information and description contained herein is the
diff --git a/sources/centralRouter/8b10_dec_wrap.vhd b/sources/centralRouter/8b10_dec_wrap.vhd
index d1a654644..886facc44 100644
--- a/sources/centralRouter/8b10_dec_wrap.vhd
+++ b/sources/centralRouter/8b10_dec_wrap.vhd
@@ -1,3 +1,23 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Julia Narevicius
+--!               Israel Grayzman
+--!               Ohad Shaked
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/BLOCK_WORD_COUNTER.vhd b/sources/centralRouter/BLOCK_WORD_COUNTER.vhd
index 1f2880d22..09342e2a2 100644
--- a/sources/centralRouter/BLOCK_WORD_COUNTER.vhd
+++ b/sources/centralRouter/BLOCK_WORD_COUNTER.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Julia Narevicius
+--!               Israel Grayzman
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/CD_COUNTER.vhd b/sources/centralRouter/CD_COUNTER.vhd
index f347e8ad1..c7afb8d7e 100644
--- a/sources/centralRouter/CD_COUNTER.vhd
+++ b/sources/centralRouter/CD_COUNTER.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Julia Narevicius
+--!               Israel Grayzman
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/CRFM.vhd b/sources/centralRouter/CRFM.vhd
index 917f64ad2..2a7a14892 100644
--- a/sources/centralRouter/CRFM.vhd
+++ b/sources/centralRouter/CRFM.vhd
@@ -1,3 +1,28 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Andrea Borga
+--!               Enrico Gamberini
+--!               Filiberto Bonini
+--!               William Wulff
+--!               Marius Wensing
+--!               Thei Wijnen
+--!               Ricardo Luz
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS, Nikhef.  
 --! Engineer: juna, fschreud
diff --git a/sources/centralRouter/CRFM_TOPtest.vhd b/sources/centralRouter/CRFM_TOPtest.vhd
index 7875bb53a..89e4454bf 100644
--- a/sources/centralRouter/CRFM_TOPtest.vhd
+++ b/sources/centralRouter/CRFM_TOPtest.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/CRFMmonitorMUX.vhd b/sources/centralRouter/CRFMmonitorMUX.vhd
index e8af0f99f..00819c49c 100644
--- a/sources/centralRouter/CRFMmonitorMUX.vhd
+++ b/sources/centralRouter/CRFMmonitorMUX.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Thei Wijnen
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/CRresetManager.vhd b/sources/centralRouter/CRresetManager.vhd
index 6e2eaa4de..5e85f9538 100644
--- a/sources/centralRouter/CRresetManager.vhd
+++ b/sources/centralRouter/CRresetManager.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Israel Grayzman
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/DEMUX32.vhd b/sources/centralRouter/DEMUX32.vhd
index 0c65d64fe..9c7f56b53 100644
--- a/sources/centralRouter/DEMUX32.vhd
+++ b/sources/centralRouter/DEMUX32.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/DEMUX8.vhd b/sources/centralRouter/DEMUX8.vhd
index 87499e604..e2a79a815 100644
--- a/sources/centralRouter/DEMUX8.vhd
+++ b/sources/centralRouter/DEMUX8.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/DOWNSTREAM_TRANSFER_MANAGER.vhd b/sources/centralRouter/DOWNSTREAM_TRANSFER_MANAGER.vhd
index 565fc6f80..0b992b6f9 100644
--- a/sources/centralRouter/DOWNSTREAM_TRANSFER_MANAGER.vhd
+++ b/sources/centralRouter/DOWNSTREAM_TRANSFER_MANAGER.vhd
@@ -1,3 +1,24 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Israel Grayzman
+--!               RHabraken
+--!               Mesfin Gebyehu
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/DownstreamEgroup.vhd b/sources/centralRouter/DownstreamEgroup.vhd
index 29c0c71f2..771dd530f 100644
--- a/sources/centralRouter/DownstreamEgroup.vhd
+++ b/sources/centralRouter/DownstreamEgroup.vhd
@@ -1,3 +1,23 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Israel Grayzman
+--!               Frans Schreuder
+--!               Ohad Shaked
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/DownstreamMiniEgroup.vhd b/sources/centralRouter/DownstreamMiniEgroup.vhd
index a1e99f86f..38239eb52 100644
--- a/sources/centralRouter/DownstreamMiniEgroup.vhd
+++ b/sources/centralRouter/DownstreamMiniEgroup.vhd
@@ -1,3 +1,23 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Israel Grayzman
+--!               Frans Schreuder
+--!               Ohad Shaked
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/EGROUP_ID_LUT.vhd b/sources/centralRouter/EGROUP_ID_LUT.vhd
index 4b871c658..c6c198738 100644
--- a/sources/centralRouter/EGROUP_ID_LUT.vhd
+++ b/sources/centralRouter/EGROUP_ID_LUT.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/EPATH_FIFO_WRAP.vhd b/sources/centralRouter/EPATH_FIFO_WRAP.vhd
index 1c775063c..21c6d1a1e 100644
--- a/sources/centralRouter/EPATH_FIFO_WRAP.vhd
+++ b/sources/centralRouter/EPATH_FIFO_WRAP.vhd
@@ -1,3 +1,23 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Israel Grayzman
+--!               Frans Schreuder
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/EPATH_FIFO_WRAP_BNL711.vhd b/sources/centralRouter/EPATH_FIFO_WRAP_BNL711.vhd
index e6bc480d5..a0e1d292d 100644
--- a/sources/centralRouter/EPATH_FIFO_WRAP_BNL711.vhd
+++ b/sources/centralRouter/EPATH_FIFO_WRAP_BNL711.vhd
@@ -1,3 +1,23 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Israel Grayzman
+--!               Frans Schreuder
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/EPROC_FIFO_DRIVER.vhd b/sources/centralRouter/EPROC_FIFO_DRIVER.vhd
index 40366a1cd..e44b5cd87 100644
--- a/sources/centralRouter/EPROC_FIFO_DRIVER.vhd
+++ b/sources/centralRouter/EPROC_FIFO_DRIVER.vhd
@@ -1,3 +1,25 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Weihao Wu
+--!               Elena Zhivun
+--!               Israel Grayzman
+--!               Frans Schreuder
+--!               Ohad Shaked
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/EPROC_IN16.vhd b/sources/centralRouter/EPROC_IN16.vhd
index 9f8d79748..6cd2e63f5 100644
--- a/sources/centralRouter/EPROC_IN16.vhd
+++ b/sources/centralRouter/EPROC_IN16.vhd
@@ -1,3 +1,23 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Israel Grayzman
+--!               Frans Schreuder
+--!               Ohad Shaked
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/EPROC_IN16_ALIGN_BLOCK.vhd b/sources/centralRouter/EPROC_IN16_ALIGN_BLOCK.vhd
index 939bcc652..fc03669c3 100644
--- a/sources/centralRouter/EPROC_IN16_ALIGN_BLOCK.vhd
+++ b/sources/centralRouter/EPROC_IN16_ALIGN_BLOCK.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Julia Narevicius
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/EPROC_IN16_DEC8b10b.vhd b/sources/centralRouter/EPROC_IN16_DEC8b10b.vhd
index 6ea34e437..8b2bd1101 100644
--- a/sources/centralRouter/EPROC_IN16_DEC8b10b.vhd
+++ b/sources/centralRouter/EPROC_IN16_DEC8b10b.vhd
@@ -1,3 +1,23 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Julia Narevicius
+--!               Israel Grayzman
+--!               Ohad Shaked
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/EPROC_IN16_direct.vhd b/sources/centralRouter/EPROC_IN16_direct.vhd
index 152fe0bf4..807a411b5 100644
--- a/sources/centralRouter/EPROC_IN16_direct.vhd
+++ b/sources/centralRouter/EPROC_IN16_direct.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Julia Narevicius
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/EPROC_IN2.vhd b/sources/centralRouter/EPROC_IN2.vhd
index 6552d11dd..072665284 100644
--- a/sources/centralRouter/EPROC_IN2.vhd
+++ b/sources/centralRouter/EPROC_IN2.vhd
@@ -1,3 +1,23 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Israel Grayzman
+--!               Frans Schreuder
+--!               Ohad Shaked
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/EPROC_IN2_ALIGN_BLOCK.vhd b/sources/centralRouter/EPROC_IN2_ALIGN_BLOCK.vhd
index c772d0254..3272d2311 100644
--- a/sources/centralRouter/EPROC_IN2_ALIGN_BLOCK.vhd
+++ b/sources/centralRouter/EPROC_IN2_ALIGN_BLOCK.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Julia Narevicius
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/EPROC_IN2_DEC8b10b.vhd b/sources/centralRouter/EPROC_IN2_DEC8b10b.vhd
index 884865c54..892ebc20c 100644
--- a/sources/centralRouter/EPROC_IN2_DEC8b10b.vhd
+++ b/sources/centralRouter/EPROC_IN2_DEC8b10b.vhd
@@ -1,3 +1,25 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Marco Trovato
+--!               Alexander Paramonov
+--!               Frans Schreuder
+--!               Israel Grayzman
+--!               Ohad Shaked
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/EPROC_IN2_HDLC.vhd b/sources/centralRouter/EPROC_IN2_HDLC.vhd
index 0e9ce4ad2..95d4d6af2 100644
--- a/sources/centralRouter/EPROC_IN2_HDLC.vhd
+++ b/sources/centralRouter/EPROC_IN2_HDLC.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Israel Grayzman
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: Israel Grayzman (israel.graymzna@weizmann.ac.il)
diff --git a/sources/centralRouter/EPROC_IN2_direct.vhd b/sources/centralRouter/EPROC_IN2_direct.vhd
index 4656e3531..c77ab0b04 100644
--- a/sources/centralRouter/EPROC_IN2_direct.vhd
+++ b/sources/centralRouter/EPROC_IN2_direct.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Julia Narevicius
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/EPROC_IN4.vhd b/sources/centralRouter/EPROC_IN4.vhd
index 24c22043f..daf1d525f 100644
--- a/sources/centralRouter/EPROC_IN4.vhd
+++ b/sources/centralRouter/EPROC_IN4.vhd
@@ -1,3 +1,23 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Israel Grayzman
+--!               Frans Schreuder
+--!               Ohad Shaked
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/EPROC_IN4_ALIGN_BLOCK.vhd b/sources/centralRouter/EPROC_IN4_ALIGN_BLOCK.vhd
index 19e5d0c5c..d1afe5f2c 100644
--- a/sources/centralRouter/EPROC_IN4_ALIGN_BLOCK.vhd
+++ b/sources/centralRouter/EPROC_IN4_ALIGN_BLOCK.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Julia Narevicius
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/EPROC_IN4_DEC8b10b.vhd b/sources/centralRouter/EPROC_IN4_DEC8b10b.vhd
index f4946e915..7972e222a 100644
--- a/sources/centralRouter/EPROC_IN4_DEC8b10b.vhd
+++ b/sources/centralRouter/EPROC_IN4_DEC8b10b.vhd
@@ -1,3 +1,23 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Julia Narevicius
+--!               Israel Grayzman
+--!               Ohad Shaked
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/EPROC_IN4_direct.vhd b/sources/centralRouter/EPROC_IN4_direct.vhd
index 31469e9a5..8eab0a875 100644
--- a/sources/centralRouter/EPROC_IN4_direct.vhd
+++ b/sources/centralRouter/EPROC_IN4_direct.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Julia Narevicius
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/EPROC_IN8.vhd b/sources/centralRouter/EPROC_IN8.vhd
index b6f441451..81472d754 100644
--- a/sources/centralRouter/EPROC_IN8.vhd
+++ b/sources/centralRouter/EPROC_IN8.vhd
@@ -1,3 +1,23 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Israel Grayzman
+--!               Frans Schreuder
+--!               Ohad Shaked
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/EPROC_IN8_ALIGN_BLOCK.vhd b/sources/centralRouter/EPROC_IN8_ALIGN_BLOCK.vhd
index 913f4f3d6..39391f3c1 100644
--- a/sources/centralRouter/EPROC_IN8_ALIGN_BLOCK.vhd
+++ b/sources/centralRouter/EPROC_IN8_ALIGN_BLOCK.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Julia Narevicius
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/EPROC_IN8_DEC8b10b.vhd b/sources/centralRouter/EPROC_IN8_DEC8b10b.vhd
index 91130c31c..7cadc2255 100644
--- a/sources/centralRouter/EPROC_IN8_DEC8b10b.vhd
+++ b/sources/centralRouter/EPROC_IN8_DEC8b10b.vhd
@@ -1,3 +1,23 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Israel Grayzman
+--!               Frans Schreuder
+--!               Ohad Shaked
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/EPROC_IN8_direct.vhd b/sources/centralRouter/EPROC_IN8_direct.vhd
index c3db9abce..02b73ed58 100644
--- a/sources/centralRouter/EPROC_IN8_direct.vhd
+++ b/sources/centralRouter/EPROC_IN8_direct.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Julia Narevicius
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/EPROC_OUT2.vhd b/sources/centralRouter/EPROC_OUT2.vhd
index 317e58a8c..740407746 100644
--- a/sources/centralRouter/EPROC_OUT2.vhd
+++ b/sources/centralRouter/EPROC_OUT2.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Frans Schreuder
+--!               Israel Grayzman
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/EPROC_OUT2_ENC8b10b.vhd b/sources/centralRouter/EPROC_OUT2_ENC8b10b.vhd
index 6f1edefd0..8b578b2b7 100644
--- a/sources/centralRouter/EPROC_OUT2_ENC8b10b.vhd
+++ b/sources/centralRouter/EPROC_OUT2_ENC8b10b.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Israel Grayzman
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/EPROC_OUT2_HDLC.vhd b/sources/centralRouter/EPROC_OUT2_HDLC.vhd
index c9680335b..cd988dbb1 100644
--- a/sources/centralRouter/EPROC_OUT2_HDLC.vhd
+++ b/sources/centralRouter/EPROC_OUT2_HDLC.vhd
@@ -1,3 +1,23 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Israel Grayzman
+--!               Frans Schreuder
+--!               Ohad Shaked
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/EPROC_OUT2_direct.vhd b/sources/centralRouter/EPROC_OUT2_direct.vhd
index 9e5740ce7..6effdd10b 100644
--- a/sources/centralRouter/EPROC_OUT2_direct.vhd
+++ b/sources/centralRouter/EPROC_OUT2_direct.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Israel Grayzman
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/EPROC_OUT4.vhd b/sources/centralRouter/EPROC_OUT4.vhd
index de537f58a..6bf0d0138 100644
--- a/sources/centralRouter/EPROC_OUT4.vhd
+++ b/sources/centralRouter/EPROC_OUT4.vhd
@@ -1,3 +1,23 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Frans Schreuder
+--!               Israel Grayzman
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/EPROC_OUT4_ENC8b10b.vhd b/sources/centralRouter/EPROC_OUT4_ENC8b10b.vhd
index 88875dad0..8af818fcc 100644
--- a/sources/centralRouter/EPROC_OUT4_ENC8b10b.vhd
+++ b/sources/centralRouter/EPROC_OUT4_ENC8b10b.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Israel Grayzman
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/EPROC_OUT4_direct.vhd b/sources/centralRouter/EPROC_OUT4_direct.vhd
index b6a6b03cf..549b0b6b0 100644
--- a/sources/centralRouter/EPROC_OUT4_direct.vhd
+++ b/sources/centralRouter/EPROC_OUT4_direct.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Israel Grayzman
+--!               Frans Schreuder
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/EPROC_OUT8.vhd b/sources/centralRouter/EPROC_OUT8.vhd
index 5c14cb7ed..676c0b7f9 100644
--- a/sources/centralRouter/EPROC_OUT8.vhd
+++ b/sources/centralRouter/EPROC_OUT8.vhd
@@ -1,3 +1,23 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Frans Schreuder
+--!               Israel Grayzman
+--!               Ricardo Luz
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/EPROC_OUT8_ENC8b10b.vhd b/sources/centralRouter/EPROC_OUT8_ENC8b10b.vhd
index 05d5d9e6e..7e2d6a410 100644
--- a/sources/centralRouter/EPROC_OUT8_ENC8b10b.vhd
+++ b/sources/centralRouter/EPROC_OUT8_ENC8b10b.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Israel Grayzman
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/EprocDin.vhd b/sources/centralRouter/EprocDin.vhd
index 9f5d31df1..1a12e443b 100644
--- a/sources/centralRouter/EprocDin.vhd
+++ b/sources/centralRouter/EprocDin.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Israel Grayzman
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ------------------------------------------------------------------------------------------------------------------
 -- Company		: EDAQ WIS.
 -- Engineer		: Israel Grayzman (israel.grayzman@weizmann.ac.il)
diff --git a/sources/centralRouter/ExtendedTestPulse.vhd b/sources/centralRouter/ExtendedTestPulse.vhd
index 2196712ef..07826a456 100644
--- a/sources/centralRouter/ExtendedTestPulse.vhd
+++ b/sources/centralRouter/ExtendedTestPulse.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Israel Grayzman
+--!               Ricardo Luz
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.
 --! Engineer: Israel Grayzman (israel.grayzman@weizmann.ac.il)
diff --git a/sources/centralRouter/GBT_DATA_MANAGER.vhd b/sources/centralRouter/GBT_DATA_MANAGER.vhd
index 10d69c8fa..118b40a2e 100644
--- a/sources/centralRouter/GBT_DATA_MANAGER.vhd
+++ b/sources/centralRouter/GBT_DATA_MANAGER.vhd
@@ -1,3 +1,27 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               RHabraken
+--!               Mesfin Gebyehu
+--!               Israel Grayzman
+--!               Elena Zhivun
+--!               Ricardo Luz
+--!               Ohad Shaked
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/GBTdmDownstream.vhd b/sources/centralRouter/GBTdmDownstream.vhd
index 0b76278e0..7a21ca73f 100644
--- a/sources/centralRouter/GBTdmDownstream.vhd
+++ b/sources/centralRouter/GBTdmDownstream.vhd
@@ -1,3 +1,25 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Israel Grayzman
+--!               RHabraken
+--!               Mesfin Gebyehu
+--!               Ohad Shaked
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/GBTdmUpstream.vhd b/sources/centralRouter/GBTdmUpstream.vhd
index 6ae3a7c5b..20dc5c8c5 100644
--- a/sources/centralRouter/GBTdmUpstream.vhd
+++ b/sources/centralRouter/GBTdmUpstream.vhd
@@ -1,3 +1,24 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Israel Grayzman
+--!               Frans Schreuder
+--!               Elena Zhivun
+--!               Ricardo Luz
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/KcharTest.vhd b/sources/centralRouter/KcharTest.vhd
index 579aa5067..c62dca1b3 100644
--- a/sources/centralRouter/KcharTest.vhd
+++ b/sources/centralRouter/KcharTest.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Julia Narevicius
+--!               Israel Grayzman
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/MUX16.vhd b/sources/centralRouter/MUX16.vhd
index 50449fe2f..462318aca 100644
--- a/sources/centralRouter/MUX16.vhd
+++ b/sources/centralRouter/MUX16.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Julia Narevicius
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/MUX16_Nbit.vhd b/sources/centralRouter/MUX16_Nbit.vhd
index f70757008..9f9333005 100644
--- a/sources/centralRouter/MUX16_Nbit.vhd
+++ b/sources/centralRouter/MUX16_Nbit.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Julia Narevicius
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/MUX2.vhd b/sources/centralRouter/MUX2.vhd
index 39e397651..f4d1726a0 100644
--- a/sources/centralRouter/MUX2.vhd
+++ b/sources/centralRouter/MUX2.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Julia Narevicius
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/MUX2_Nbit.vhd b/sources/centralRouter/MUX2_Nbit.vhd
index 005cbd6cb..fa81d4cad 100644
--- a/sources/centralRouter/MUX2_Nbit.vhd
+++ b/sources/centralRouter/MUX2_Nbit.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Julia Narevicius
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/MUX32.vhd b/sources/centralRouter/MUX32.vhd
index 3baa7f5cd..c3c7865d3 100644
--- a/sources/centralRouter/MUX32.vhd
+++ b/sources/centralRouter/MUX32.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Julia Narevicius
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/MUX4.vhd b/sources/centralRouter/MUX4.vhd
index 2bc565a47..4ce6f05ac 100644
--- a/sources/centralRouter/MUX4.vhd
+++ b/sources/centralRouter/MUX4.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Julia Narevicius
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/MUX4_Nbit.vhd b/sources/centralRouter/MUX4_Nbit.vhd
index c367eec8e..c52735918 100644
--- a/sources/centralRouter/MUX4_Nbit.vhd
+++ b/sources/centralRouter/MUX4_Nbit.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Julia Narevicius
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/MUX8.vhd b/sources/centralRouter/MUX8.vhd
index aa6847116..217f36bac 100644
--- a/sources/centralRouter/MUX8.vhd
+++ b/sources/centralRouter/MUX8.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Julia Narevicius
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/MUX8_16bit_sync.vhd b/sources/centralRouter/MUX8_16bit_sync.vhd
index e06b427a8..5610f44c7 100644
--- a/sources/centralRouter/MUX8_16bit_sync.vhd
+++ b/sources/centralRouter/MUX8_16bit_sync.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Julia Narevicius
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/MUX8_Nbit.vhd b/sources/centralRouter/MUX8_Nbit.vhd
index be9f697db..19b6eff7a 100644
--- a/sources/centralRouter/MUX8_Nbit.vhd
+++ b/sources/centralRouter/MUX8_Nbit.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Julia Narevicius
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/MUX8_sync.vhd b/sources/centralRouter/MUX8_sync.vhd
index 29b848a5f..bad08f5bc 100644
--- a/sources/centralRouter/MUX8_sync.vhd
+++ b/sources/centralRouter/MUX8_sync.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Julia Narevicius
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/MUXn_d256b.vhd b/sources/centralRouter/MUXn_d256b.vhd
index 0d94fd218..86bb8264c 100644
--- a/sources/centralRouter/MUXn_d256b.vhd
+++ b/sources/centralRouter/MUXn_d256b.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Julia Narevicius
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/PATH_ID_LUT.vhd b/sources/centralRouter/PATH_ID_LUT.vhd
index 46759f23c..f953e8531 100644
--- a/sources/centralRouter/PATH_ID_LUT.vhd
+++ b/sources/centralRouter/PATH_ID_LUT.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/ReMux.vhd b/sources/centralRouter/ReMux.vhd
index b1d664e05..5979a2cc2 100644
--- a/sources/centralRouter/ReMux.vhd
+++ b/sources/centralRouter/ReMux.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/ReMux24.vhd b/sources/centralRouter/ReMux24.vhd
index 472cb834f..72224d5df 100644
--- a/sources/centralRouter/ReMux24.vhd
+++ b/sources/centralRouter/ReMux24.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Julia Narevicius
+--!               Israel Grayzman
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/ReMux7.vhd b/sources/centralRouter/ReMux7.vhd
index 441bb4de4..0d58276eb 100644
--- a/sources/centralRouter/ReMux7.vhd
+++ b/sources/centralRouter/ReMux7.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Julia Narevicius
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/ReMuxN.vhd b/sources/centralRouter/ReMuxN.vhd
index 187c773c4..3dbc4e041 100644
--- a/sources/centralRouter/ReMuxN.vhd
+++ b/sources/centralRouter/ReMuxN.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS., Nikhef
 --! Engineer: juna, fschreud
diff --git a/sources/centralRouter/SCDataMANAGER.vhd b/sources/centralRouter/SCDataMANAGER.vhd
index bfe907db4..ffa7396a7 100644
--- a/sources/centralRouter/SCDataMANAGER.vhd
+++ b/sources/centralRouter/SCDataMANAGER.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Israel Grayzman
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/SCd32b_manager.vhd b/sources/centralRouter/SCd32b_manager.vhd
index c68b0416a..178112285 100644
--- a/sources/centralRouter/SCd32b_manager.vhd
+++ b/sources/centralRouter/SCd32b_manager.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Julia Narevicius
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/SixteenToTwoFiftySixTranslator.vhd b/sources/centralRouter/SixteenToTwoFiftySixTranslator.vhd
index 099cf2347..dcd275c29 100644
--- a/sources/centralRouter/SixteenToTwoFiftySixTranslator.vhd
+++ b/sources/centralRouter/SixteenToTwoFiftySixTranslator.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 -------------------------------------------------------------------------------
 --                                                                           --
 --           NIKHEF - National Institute for Subatomic Physics               --
diff --git a/sources/centralRouter/TTCtoHost_channel.vhd b/sources/centralRouter/TTCtoHost_channel.vhd
index b93448574..13b5e390c 100644
--- a/sources/centralRouter/TTCtoHost_channel.vhd
+++ b/sources/centralRouter/TTCtoHost_channel.vhd
@@ -1,3 +1,26 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Soo Ryu
+--!               Israel Grayzman
+--!               Alexander Paramonov
+--!               Alessandra Camplani
+--!               Frans Schreuder
+--!               Thei Wijnen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/ToHostPCIeManager.vhd b/sources/centralRouter/ToHostPCIeManager.vhd
index 4fe312ba7..66238239b 100644
--- a/sources/centralRouter/ToHostPCIeManager.vhd
+++ b/sources/centralRouter/ToHostPCIeManager.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Julia Narevicius
+--!               Israel Grayzman
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/UPSTREAM_TRANSFER_MANAGER.vhd b/sources/centralRouter/UPSTREAM_TRANSFER_MANAGER.vhd
index 7ae046367..5c5a48fcb 100644
--- a/sources/centralRouter/UPSTREAM_TRANSFER_MANAGER.vhd
+++ b/sources/centralRouter/UPSTREAM_TRANSFER_MANAGER.vhd
@@ -1,3 +1,23 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Israel Grayzman
+--!               Frans Schreuder
+--!               Elena Zhivun
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/UpstreamEgroup.vhd b/sources/centralRouter/UpstreamEgroup.vhd
index 2e826fb8a..588cc1879 100644
--- a/sources/centralRouter/UpstreamEgroup.vhd
+++ b/sources/centralRouter/UpstreamEgroup.vhd
@@ -1,3 +1,24 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Israel Grayzman
+--!               Frans Schreuder
+--!               Elena Zhivun
+--!               Ricardo Luz
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/UpstreamMiniEgroup.vhd b/sources/centralRouter/UpstreamMiniEgroup.vhd
index 4f3508fb7..ebc17534a 100644
--- a/sources/centralRouter/UpstreamMiniEgroup.vhd
+++ b/sources/centralRouter/UpstreamMiniEgroup.vhd
@@ -1,3 +1,24 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Israel Grayzman
+--!               Kai Chen
+--!               Frans Schreuder
+--!               Ricardo Luz
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/XoffMapping.vhd b/sources/centralRouter/XoffMapping.vhd
index 7913c6679..73dfec50a 100644
--- a/sources/centralRouter/XoffMapping.vhd
+++ b/sources/centralRouter/XoffMapping.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 library work, ieee;
 use ieee.std_logic_1164.all;
 use work.pcie_package.all;
diff --git a/sources/centralRouter/XoffMonitoring.vhd b/sources/centralRouter/XoffMonitoring.vhd
index 914d36f7f..97a3beb19 100644
--- a/sources/centralRouter/XoffMonitoring.vhd
+++ b/sources/centralRouter/XoffMonitoring.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Marius Wensing
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  University of Wuppertal  
 --! Engineer: mwensing
diff --git a/sources/centralRouter/block32word_counter.vhd b/sources/centralRouter/block32word_counter.vhd
index 9f725d6e5..f5a5be200 100644
--- a/sources/centralRouter/block32word_counter.vhd
+++ b/sources/centralRouter/block32word_counter.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/centralRouter.vhd b/sources/centralRouter/centralRouter.vhd
index 5db7b9c6f..f24ac6d7d 100644
--- a/sources/centralRouter/centralRouter.vhd
+++ b/sources/centralRouter/centralRouter.vhd
@@ -1,3 +1,30 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Andrea Borga
+--!               Julia Narevicius
+--!               RHabraken
+--!               Mesfin Gebyehu
+--!               Israel Grayzman
+--!               Kai Chen
+--!               Marius Wensing
+--!               Elena Zhivun
+--!               Ricardo Luz
+--!               Ohad Shaked
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.
 --! Engineer: juna
diff --git a/sources/centralRouter/centralRouter_TOPtest.vhd b/sources/centralRouter/centralRouter_TOPtest.vhd
index 06e355742..c38ba901a 100644
--- a/sources/centralRouter/centralRouter_TOPtest.vhd
+++ b/sources/centralRouter/centralRouter_TOPtest.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Julia Narevicius
+--!               Israel Grayzman
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/clock_and_reset_cr.vhd b/sources/centralRouter/clock_and_reset_cr.vhd
index 0de7a305e..cdbc922f0 100644
--- a/sources/centralRouter/clock_and_reset_cr.vhd
+++ b/sources/centralRouter/clock_and_reset_cr.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 --!------------------------------------------------------------------------------
 --!                                                             
@@ -29,21 +46,6 @@
 --!  
 --!
 --! ------------------------------------------------------------------------------
---! Virtex7 PCIe Gen3 DMA Core
---! 
---! \copyright GNU LGPL License
---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br>
---! This library is free software; you can redistribute it and/or
---! modify it under the terms of the GNU Lesser General Public
---! License as published by the Free Software Foundation; either
---! version 3.0 of the License, or (at your option) any later version.
---! This library is distributed in the hope that it will be useful,
---! but WITHOUT ANY WARRANTY; without even the implied warranty of
---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
---! Lesser General Public License for more details.<br>
---! You should have received a copy of the GNU Lesser General Public
---! License along with this library.
---! 
 -- 
 --! @brief ieee
 
diff --git a/sources/centralRouter/counterNbit.vhd b/sources/centralRouter/counterNbit.vhd
index 58a67131d..aed97452d 100644
--- a/sources/centralRouter/counterNbit.vhd
+++ b/sources/centralRouter/counterNbit.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 -- Company:         Ed Narevicius Lab. - Weizmann Institute of Science
 -- Engineer:        J.Narevicius,  juna@weizmann.ac.il
diff --git a/sources/centralRouter/crConfigMap.vhd b/sources/centralRouter/crConfigMap.vhd
index a2005ae52..59d0ff29a 100644
--- a/sources/centralRouter/crConfigMap.vhd
+++ b/sources/centralRouter/crConfigMap.vhd
@@ -1,3 +1,25 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Israel Grayzman
+--!               RHabraken
+--!               Mesfin Gebyehu
+--!               Kai Chen
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/crConfigMap_serial.vhd b/sources/centralRouter/crConfigMap_serial.vhd
index 6d751a41b..1666e9856 100644
--- a/sources/centralRouter/crConfigMap_serial.vhd
+++ b/sources/centralRouter/crConfigMap_serial.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/crFHconfigMap.vhd b/sources/centralRouter/crFHconfigMap.vhd
index 3bbe74628..987f83085 100644
--- a/sources/centralRouter/crFHconfigMap.vhd
+++ b/sources/centralRouter/crFHconfigMap.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/crTHFMconfigMap.vhd b/sources/centralRouter/crTHFMconfigMap.vhd
index 61cd2a64e..70f334c59 100644
--- a/sources/centralRouter/crTHFMconfigMap.vhd
+++ b/sources/centralRouter/crTHFMconfigMap.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/dataMUX24_256bit.vhd b/sources/centralRouter/dataMUX24_256bit.vhd
index 84b1afef1..387ece5bb 100644
--- a/sources/centralRouter/dataMUX24_256bit.vhd
+++ b/sources/centralRouter/dataMUX24_256bit.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Julia Narevicius
+--!               Israel Grayzman
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/egroupSelector.vhd b/sources/centralRouter/egroupSelector.vhd
index cffab730e..cb55a7ccf 100644
--- a/sources/centralRouter/egroupSelector.vhd
+++ b/sources/centralRouter/egroupSelector.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Julia Narevicius
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/enc8b10_wrap.vhd b/sources/centralRouter/enc8b10_wrap.vhd
index 2bec6398d..cbe6bf8c0 100644
--- a/sources/centralRouter/enc8b10_wrap.vhd
+++ b/sources/centralRouter/enc8b10_wrap.vhd
@@ -1,3 +1,23 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Israel Grayzman
+--!               Ricardo Luz
+--!               Ohad Shaked
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/enc_8b10.vhd b/sources/centralRouter/enc_8b10.vhd
index 91fbcf946..8cb02aa0b 100644
--- a/sources/centralRouter/enc_8b10.vhd
+++ b/sources/centralRouter/enc_8b10.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Israel Grayzman
+--!               Frans Schreuder
+--!               Ohad Shaked
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 -- Chuck Benz, Hollis, NH   Copyright (c)2002
 --
 -- The information and description contained herein is the
diff --git a/sources/centralRouter/epathFIFOreadCTRL.vhd b/sources/centralRouter/epathFIFOreadCTRL.vhd
index 6990d0b2e..723344ac7 100644
--- a/sources/centralRouter/epathFIFOreadCTRL.vhd
+++ b/sources/centralRouter/epathFIFOreadCTRL.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Julia Narevicius
+--!               Israel Grayzman
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/eproc_upgrade/DownstreamEgroupNew.vhd b/sources/centralRouter/eproc_upgrade/DownstreamEgroupNew.vhd
index 91e7d1177..9d4f9aa74 100644
--- a/sources/centralRouter/eproc_upgrade/DownstreamEgroupNew.vhd
+++ b/sources/centralRouter/eproc_upgrade/DownstreamEgroupNew.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna, fschreud
diff --git a/sources/centralRouter/eproc_upgrade/DownstreamMiniEgroupNew.vhd b/sources/centralRouter/eproc_upgrade/DownstreamMiniEgroupNew.vhd
index edc212e53..ba62331ec 100644
--- a/sources/centralRouter/eproc_upgrade/DownstreamMiniEgroupNew.vhd
+++ b/sources/centralRouter/eproc_upgrade/DownstreamMiniEgroupNew.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/eproc_upgrade/EPATH_FIFO_DRIVER.vhd b/sources/centralRouter/eproc_upgrade/EPATH_FIFO_DRIVER.vhd
index 37e1a1e2b..b282bdc83 100644
--- a/sources/centralRouter/eproc_upgrade/EPATH_FIFO_DRIVER.vhd
+++ b/sources/centralRouter/eproc_upgrade/EPATH_FIFO_DRIVER.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/eproc_upgrade/EPROC_IN.vhd b/sources/centralRouter/eproc_upgrade/EPROC_IN.vhd
index 8b237a557..ccda1960f 100644
--- a/sources/centralRouter/eproc_upgrade/EPROC_IN.vhd
+++ b/sources/centralRouter/eproc_upgrade/EPROC_IN.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 -- Company: Nikhef
 -- Engineer: Frans Schreuder
diff --git a/sources/centralRouter/eproc_upgrade/EPROC_IN_HDLC.vhd b/sources/centralRouter/eproc_upgrade/EPROC_IN_HDLC.vhd
index 2de382fe4..a71b6564a 100644
--- a/sources/centralRouter/eproc_upgrade/EPROC_IN_HDLC.vhd
+++ b/sources/centralRouter/eproc_upgrade/EPROC_IN_HDLC.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna, modified by f. schreuder
diff --git a/sources/centralRouter/eproc_upgrade/EPROC_IN_dec8b10b.vhd b/sources/centralRouter/eproc_upgrade/EPROC_IN_dec8b10b.vhd
index 1ed92e71c..f1ee52143 100644
--- a/sources/centralRouter/eproc_upgrade/EPROC_IN_dec8b10b.vhd
+++ b/sources/centralRouter/eproc_upgrade/EPROC_IN_dec8b10b.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 -- Company: Nikhef
 -- Engineer: Frans Schreuder
diff --git a/sources/centralRouter/eproc_upgrade/GBTdmDownstream.vhd b/sources/centralRouter/eproc_upgrade/GBTdmDownstream.vhd
index 6149c5dd9..a7e3fdddf 100644
--- a/sources/centralRouter/eproc_upgrade/GBTdmDownstream.vhd
+++ b/sources/centralRouter/eproc_upgrade/GBTdmDownstream.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/eproc_upgrade/InputShifter16b.vhd b/sources/centralRouter/eproc_upgrade/InputShifter16b.vhd
index 63c40fcd6..9e7477a5c 100644
--- a/sources/centralRouter/eproc_upgrade/InputShifter16b.vhd
+++ b/sources/centralRouter/eproc_upgrade/InputShifter16b.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 -- Company: Nikhef
 -- Engineer: Frans Schreuder
diff --git a/sources/centralRouter/eproc_upgrade/InputShifterNb.vhd b/sources/centralRouter/eproc_upgrade/InputShifterNb.vhd
index 4787234fd..6125b7040 100644
--- a/sources/centralRouter/eproc_upgrade/InputShifterNb.vhd
+++ b/sources/centralRouter/eproc_upgrade/InputShifterNb.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 -- Company: Nikhef
 -- Engineer: Frans Schreuder
diff --git a/sources/centralRouter/eproc_upgrade/epathFIFOreadCTRL.vhd b/sources/centralRouter/eproc_upgrade/epathFIFOreadCTRL.vhd
index 01d4f2ca9..5c905c7a1 100644
--- a/sources/centralRouter/eproc_upgrade/epathFIFOreadCTRL.vhd
+++ b/sources/centralRouter/eproc_upgrade/epathFIFOreadCTRL.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/eproc_upgrade/mux40to80MHz.vhd b/sources/centralRouter/eproc_upgrade/mux40to80MHz.vhd
index 025690561..44144f1c6 100644
--- a/sources/centralRouter/eproc_upgrade/mux40to80MHz.vhd
+++ b/sources/centralRouter/eproc_upgrade/mux40to80MHz.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 -- Company: Nikhef
 -- Engineer: Frans Schreuder
diff --git a/sources/centralRouter/eproc_upgrade/synt_DownstreamEgroups.vhd b/sources/centralRouter/eproc_upgrade/synt_DownstreamEgroups.vhd
index 18e6be2c1..dd48e404a 100644
--- a/sources/centralRouter/eproc_upgrade/synt_DownstreamEgroups.vhd
+++ b/sources/centralRouter/eproc_upgrade/synt_DownstreamEgroups.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 -- Company: 
 -- Engineer: 
diff --git a/sources/centralRouter/eproc_upgrade/tb_EGROUP.vhd b/sources/centralRouter/eproc_upgrade/tb_EGROUP.vhd
index 79cb8e427..863801445 100644
--- a/sources/centralRouter/eproc_upgrade/tb_EGROUP.vhd
+++ b/sources/centralRouter/eproc_upgrade/tb_EGROUP.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 -- Company: 
 -- Engineer: 
diff --git a/sources/centralRouter/eproc_upgrade/tb_EPROC.vhd b/sources/centralRouter/eproc_upgrade/tb_EPROC.vhd
index 7957254ec..f1412e074 100644
--- a/sources/centralRouter/eproc_upgrade/tb_EPROC.vhd
+++ b/sources/centralRouter/eproc_upgrade/tb_EPROC.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 -- Company: 
 -- Engineer: 
diff --git a/sources/centralRouter/fifo16KB_256to32_bit.vhd b/sources/centralRouter/fifo16KB_256to32_bit.vhd
index 2ec876fec..8fee372dc 100644
--- a/sources/centralRouter/fifo16KB_256to32_bit.vhd
+++ b/sources/centralRouter/fifo16KB_256to32_bit.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/fifo_16to256_bit.vhd b/sources/centralRouter/fifo_16to256_bit.vhd
index efbb0f299..0af9186ea 100644
--- a/sources/centralRouter/fifo_16to256_bit.vhd
+++ b/sources/centralRouter/fifo_16to256_bit.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Frans Schreuder
+--!               Israel Grayzman
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/fifo_256to16_bit.vhd b/sources/centralRouter/fifo_256to16_bit.vhd
index ef99f28b6..c097978ea 100644
--- a/sources/centralRouter/fifo_256to16_bit.vhd
+++ b/sources/centralRouter/fifo_256to16_bit.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/israelgr/BLOCK_WORD_COUNTER.vhd b/sources/centralRouter/israelgr/BLOCK_WORD_COUNTER.vhd
index e63c6f4ec..66ff7250c 100644
--- a/sources/centralRouter/israelgr/BLOCK_WORD_COUNTER.vhd
+++ b/sources/centralRouter/israelgr/BLOCK_WORD_COUNTER.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Julia Narevicius
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/israelgr/DownstreamEgroup.vhd b/sources/centralRouter/israelgr/DownstreamEgroup.vhd
index aa4147c2e..f5a0979cf 100644
--- a/sources/centralRouter/israelgr/DownstreamEgroup.vhd
+++ b/sources/centralRouter/israelgr/DownstreamEgroup.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/israelgr/DownstreamMiniEgroup.vhd b/sources/centralRouter/israelgr/DownstreamMiniEgroup.vhd
index dbe30a1a5..7d7d83d08 100644
--- a/sources/centralRouter/israelgr/DownstreamMiniEgroup.vhd
+++ b/sources/centralRouter/israelgr/DownstreamMiniEgroup.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Julia Narevicius
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/israelgr/EPROC_FIFO_DRIVER.vhd b/sources/centralRouter/israelgr/EPROC_FIFO_DRIVER.vhd
index 23658c678..2545f1ecb 100644
--- a/sources/centralRouter/israelgr/EPROC_FIFO_DRIVER.vhd
+++ b/sources/centralRouter/israelgr/EPROC_FIFO_DRIVER.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Julia Narevicius
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/israelgr/EprocDin.vhd b/sources/centralRouter/israelgr/EprocDin.vhd
index 135bfa635..f70f076f7 100644
--- a/sources/centralRouter/israelgr/EprocDin.vhd
+++ b/sources/centralRouter/israelgr/EprocDin.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ------------------------------------------------------------------------------------------------------------------
 -- Company		: EDAQ WIS.
 -- Engineer		: Israel Grayzman (israel.grayzman@weizmann.ac.il)
diff --git a/sources/centralRouter/israelgr/EprocHDLC.vhd b/sources/centralRouter/israelgr/EprocHDLC.vhd
index 97e128020..0e9790aac 100644
--- a/sources/centralRouter/israelgr/EprocHDLC.vhd
+++ b/sources/centralRouter/israelgr/EprocHDLC.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ------------------------------------------------------------------------------------------------------------------
 -- Company		: EDAQ WIS.
 -- Engineer		: Israel Grayzman (israel.grayzman@weizmann.ac.il)
diff --git a/sources/centralRouter/israelgr/GBTdmDownstream.vhd b/sources/centralRouter/israelgr/GBTdmDownstream.vhd
index 3c0138c10..36c5da79a 100644
--- a/sources/centralRouter/israelgr/GBTdmDownstream.vhd
+++ b/sources/centralRouter/israelgr/GBTdmDownstream.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Julia Narevicius
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/israelgr/epathFIFOreadCTRL.vhd b/sources/centralRouter/israelgr/epathFIFOreadCTRL.vhd
index 01d4f2ca9..db9f77e0d 100644
--- a/sources/centralRouter/israelgr/epathFIFOreadCTRL.vhd
+++ b/sources/centralRouter/israelgr/epathFIFOreadCTRL.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Julia Narevicius
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/monitorMUX.vhd b/sources/centralRouter/monitorMUX.vhd
index 1ec7b64e8..6a123193f 100644
--- a/sources/centralRouter/monitorMUX.vhd
+++ b/sources/centralRouter/monitorMUX.vhd
@@ -1,3 +1,23 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               RHabraken
+--!               Mesfin Gebyehu
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/pulse_fall_pw01.vhd b/sources/centralRouter/pulse_fall_pw01.vhd
index cef6b931f..057f1e697 100644
--- a/sources/centralRouter/pulse_fall_pw01.vhd
+++ b/sources/centralRouter/pulse_fall_pw01.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  Weizmann Institute of Science  
 --! Engineer: juna
diff --git a/sources/centralRouter/pulse_pdxx_pwxx.vhd b/sources/centralRouter/pulse_pdxx_pwxx.vhd
index a096198e6..4a920e527 100644
--- a/sources/centralRouter/pulse_pdxx_pwxx.vhd
+++ b/sources/centralRouter/pulse_pdxx_pwxx.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Julia Narevicius
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  Weizmann Institute of Science  
 --! Engineer: juna
diff --git a/sources/centralRouter/re56demux.vhd b/sources/centralRouter/re56demux.vhd
index c5f2d39d8..6e20e1b4b 100644
--- a/sources/centralRouter/re56demux.vhd
+++ b/sources/centralRouter/re56demux.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/re64demux.vhd b/sources/centralRouter/re64demux.vhd
index 19ff99710..ea041927d 100644
--- a/sources/centralRouter/re64demux.vhd
+++ b/sources/centralRouter/re64demux.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Julia Narevicius
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/reg16to32bit.vhd b/sources/centralRouter/reg16to32bit.vhd
index 690761961..1b9e8a9e4 100644
--- a/sources/centralRouter/reg16to32bit.vhd
+++ b/sources/centralRouter/reg16to32bit.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Julia Narevicius
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/reg8to16bit.vhd b/sources/centralRouter/reg8to16bit.vhd
index d28e92fae..da296cc11 100644
--- a/sources/centralRouter/reg8to16bit.vhd
+++ b/sources/centralRouter/reg8to16bit.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Julia Narevicius
+--!               Israel Grayzman
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/selector24bit.vhd b/sources/centralRouter/selector24bit.vhd
index 5830a0cdf..41609ca12 100644
--- a/sources/centralRouter/selector24bit.vhd
+++ b/sources/centralRouter/selector24bit.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/thFMch_fifo_driver.vhd b/sources/centralRouter/thFMch_fifo_driver.vhd
index 405015268..445eca6e0 100644
--- a/sources/centralRouter/thFMch_fifo_driver.vhd
+++ b/sources/centralRouter/thFMch_fifo_driver.vhd
@@ -1,3 +1,25 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Enrico Gamberini
+--!               William Wulff
+--!               Thei Wijnen
+--!               Rene
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/thFMdm.vhd b/sources/centralRouter/thFMdm.vhd
index 3abc42c3d..32465f74f 100644
--- a/sources/centralRouter/thFMdm.vhd
+++ b/sources/centralRouter/thFMdm.vhd
@@ -1,3 +1,24 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Enrico Gamberini
+--!               William Wulff
+--!               Thei Wijnen
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/thfmPCIeManager.vhd b/sources/centralRouter/thfmPCIeManager.vhd
index 71423033c..ff58a4908 100644
--- a/sources/centralRouter/thfmPCIeManager.vhd
+++ b/sources/centralRouter/thfmPCIeManager.vhd
@@ -1,3 +1,24 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Frans Schreuder
+--!               Enrico Gamberini
+--!               William Wulff
+--!               Thei Wijnen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/upstreamDataSelector.vhd b/sources/centralRouter/upstreamDataSelector.vhd
index e138656ae..3a8d1a35d 100644
--- a/sources/centralRouter/upstreamDataSelector.vhd
+++ b/sources/centralRouter/upstreamDataSelector.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/upstreamEpathFifoWrap.vhd b/sources/centralRouter/upstreamEpathFifoWrap.vhd
index 5ccfc5022..7c67e85cd 100644
--- a/sources/centralRouter/upstreamEpathFifoWrap.vhd
+++ b/sources/centralRouter/upstreamEpathFifoWrap.vhd
@@ -1,3 +1,23 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               RHabraken
+--!               Israel Grayzman
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/centralRouter/upstreamEpathFifoWrap_bnl711.vhd b/sources/centralRouter/upstreamEpathFifoWrap_bnl711.vhd
index c955c0da6..1dece8241 100644
--- a/sources/centralRouter/upstreamEpathFifoWrap_bnl711.vhd
+++ b/sources/centralRouter/upstreamEpathFifoWrap_bnl711.vhd
@@ -1,3 +1,23 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               RHabraken
+--!               Frans Schreuder
+--!               Israel Grayzman
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/decoding/FullToAxis.vhd b/sources/decoding/FullToAxis.vhd
index 989fdcc9f..e862f8516 100644
--- a/sources/decoding/FullToAxis.vhd
+++ b/sources/decoding/FullToAxis.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 library IEEE;
 use IEEE.STD_LOGIC_1164.ALL;
 use ieee.numeric_std.all;
diff --git a/sources/feligHG710/PRandomDGen/randomd_gen.vhd b/sources/feligHG710/PRandomDGen/randomd_gen.vhd
old mode 100755
new mode 100644
index a16d6945d..b8637b01e
--- a/sources/feligHG710/PRandomDGen/randomd_gen.vhd
+++ b/sources/feligHG710/PRandomDGen/randomd_gen.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               mtrovato
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --************** Psedo Random data generator**********************
 -- date 21-2-2019
 -- 10bit PRDG with LUT to set the disterubution
diff --git a/sources/feligHG710/checkers/gbtword_checker.vhd b/sources/feligHG710/checkers/gbtword_checker.vhd
index bceb9a8e3..ae3942da6 100644
--- a/sources/feligHG710/checkers/gbtword_checker.vhd
+++ b/sources/feligHG710/checkers/gbtword_checker.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               mtrovato
+--!               Shelfali Saxena
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 -- Company: 
 -- Engineer: 
diff --git a/sources/feligHG710/data_generator/elink_data_emulator.vhd b/sources/feligHG710/data_generator/elink_data_emulator.vhd
index 83a550837..87b967e86 100644
--- a/sources/feligHG710/data_generator/elink_data_emulator.vhd
+++ b/sources/feligHG710/data_generator/elink_data_emulator.vhd
@@ -1,3 +1,23 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Shelfali Saxena
+--!               mtrovato
+--!               Ricardo Luz
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --==============================================================================
 --
 -- Argonne National Laboratory
diff --git a/sources/feligHG710/data_generator/elink_packet_generator.vhd b/sources/feligHG710/data_generator/elink_packet_generator.vhd
index 948255efd..747df12b7 100644
--- a/sources/feligHG710/data_generator/elink_packet_generator.vhd
+++ b/sources/feligHG710/data_generator/elink_packet_generator.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               mtrovato
+--!               Ricardo Luz
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --==============================================================================
 --
 -- Argonne National Laboratory
diff --git a/sources/feligHG710/data_generator/elink_printer.vhd b/sources/feligHG710/data_generator/elink_printer.vhd
index 6cc75038b..51b463de0 100644
--- a/sources/feligHG710/data_generator/elink_printer.vhd
+++ b/sources/feligHG710/data_generator/elink_printer.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Shelfali Saxena
+--!               mtrovato
+--!               Ricardo Luz
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --==============================================================================
 --
 -- Argonne National Laboratory
diff --git a/sources/feligHG710/data_generator/elink_printer_bit_feeder.vhd b/sources/feligHG710/data_generator/elink_printer_bit_feeder.vhd
index 55cc23027..6d28a2e0f 100644
--- a/sources/feligHG710/data_generator/elink_printer_bit_feeder.vhd
+++ b/sources/feligHG710/data_generator/elink_printer_bit_feeder.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               mtrovato
+--!               Ricardo Luz
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --==============================================================================
 --
 -- Argonne National Laboratory
diff --git a/sources/feligHG710/data_generator/elink_printer_bit_feeder_v2.vhd b/sources/feligHG710/data_generator/elink_printer_bit_feeder_v2.vhd
index 08e063454..57ef39936 100644
--- a/sources/feligHG710/data_generator/elink_printer_bit_feeder_v2.vhd
+++ b/sources/feligHG710/data_generator/elink_printer_bit_feeder_v2.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Ricardo Luz
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 -- v2 by Ricardo Luz.
 -- Based on elink_printer.vhd initially written by Michael Oberlingand and later modified by Marco Trovato.
 -- Complies with 32-b width and MSB first for all widths.
diff --git a/sources/feligHG710/data_generator/elink_printer_printhead.vhd b/sources/feligHG710/data_generator/elink_printer_printhead.vhd
index 64ab06348..a6e8464e4 100644
--- a/sources/feligHG710/data_generator/elink_printer_printhead.vhd
+++ b/sources/feligHG710/data_generator/elink_printer_printhead.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               mtrovato
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --==============================================================================
 --
 -- Argonne National Laboratory
diff --git a/sources/feligHG710/data_generator/elink_printer_v2.vhd b/sources/feligHG710/data_generator/elink_printer_v2.vhd
index d2aadcd21..b33db4dfe 100644
--- a/sources/feligHG710/data_generator/elink_printer_v2.vhd
+++ b/sources/feligHG710/data_generator/elink_printer_v2.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Ricardo Luz
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 -- v2 by Ricardo Luz.
 -- Based on elink_printer.vhd initially written by Michael Oberlingand and later modified by Marco Trovato.
 -- Complies with 32-b width and MSB first for all widths.
diff --git a/sources/feligHG710/data_generator/prbs_16bit.vhd b/sources/feligHG710/data_generator/prbs_16bit.vhd
index d6597a1a8..79b4cd8b7 100644
--- a/sources/feligHG710/data_generator/prbs_16bit.vhd
+++ b/sources/feligHG710/data_generator/prbs_16bit.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               mtrovato
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --==============================================================================
 --
 -- Argonne National Laboratory
diff --git a/sources/feligHG710/emulator/Emulator.vhd b/sources/feligHG710/emulator/Emulator.vhd
index c586b3499..0efe6d1f5 100644
--- a/sources/feligHG710/emulator/Emulator.vhd
+++ b/sources/feligHG710/emulator/Emulator.vhd
@@ -1,3 +1,23 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Shelfali Saxena
+--!               mtrovato
+--!               Ricardo Luz
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --==============================================================================
 --
 -- Argonne National Laboratory
diff --git a/sources/feligHG710/emulator/EmulatorWrapper.vhd b/sources/feligHG710/emulator/EmulatorWrapper.vhd
index 139a219ee..f63109307 100644
--- a/sources/feligHG710/emulator/EmulatorWrapper.vhd
+++ b/sources/feligHG710/emulator/EmulatorWrapper.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               mtrovato
+--!               Shelfali Saxena
+--!               Ricardo Luz
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --==============================================================================
 --
 -- Argonne National Laboratory
diff --git a/sources/feligHG710/emulator/FELIG_gbt_wrapper.vhd b/sources/feligHG710/emulator/FELIG_gbt_wrapper.vhd
index 765b19322..d55b0e940 100644
--- a/sources/feligHG710/emulator/FELIG_gbt_wrapper.vhd
+++ b/sources/feligHG710/emulator/FELIG_gbt_wrapper.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               mtrovato
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --==============================================================================
 --
 -- Argonne National Laboratory
diff --git a/sources/feligHG710/emulator/bert_frame_check.vhd b/sources/feligHG710/emulator/bert_frame_check.vhd
index 7d7183932..9e416b47b 100644
--- a/sources/feligHG710/emulator/bert_frame_check.vhd
+++ b/sources/feligHG710/emulator/bert_frame_check.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               mtrovato
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --==============================================================================
 --
 -- Argonne National Laboratory
diff --git a/sources/feligHG710/emulator/freq_counter.vhd b/sources/feligHG710/emulator/freq_counter.vhd
index 0b1222d91..5d0e6ab6d 100644
--- a/sources/feligHG710/emulator/freq_counter.vhd
+++ b/sources/feligHG710/emulator/freq_counter.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               mtrovato
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --==============================================================================
 --
 -- Argonne National Laboratory
diff --git a/sources/feligHG710/emulator/gt_core_gt_frame_check.vhd b/sources/feligHG710/emulator/gt_core_gt_frame_check.vhd
index fadc4e05f..3e761de4b 100644
--- a/sources/feligHG710/emulator/gt_core_gt_frame_check.vhd
+++ b/sources/feligHG710/emulator/gt_core_gt_frame_check.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               mtrovato
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --==============================================================================
 --
 -- Argonne National Laboratory
diff --git a/sources/feligHG710/emulator/gt_core_gt_frame_gen.vhd b/sources/feligHG710/emulator/gt_core_gt_frame_gen.vhd
index 0c87910ca..f3de41a58 100644
--- a/sources/feligHG710/emulator/gt_core_gt_frame_gen.vhd
+++ b/sources/feligHG710/emulator/gt_core_gt_frame_gen.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               mtrovato
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --==============================================================================
 --
 -- Argonne National Laboratory
diff --git a/sources/feligHG710/emulator/mux_128_sync.vhd b/sources/feligHG710/emulator/mux_128_sync.vhd
index 89cbaee29..27ac6ed67 100644
--- a/sources/feligHG710/emulator/mux_128_sync.vhd
+++ b/sources/feligHG710/emulator/mux_128_sync.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               mtrovato
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --==============================================================================
 --
 -- Argonne National Laboratory
diff --git a/sources/feligHG710/emulator/mux_16.vhd b/sources/feligHG710/emulator/mux_16.vhd
index 0ecca878a..43284c21d 100644
--- a/sources/feligHG710/emulator/mux_16.vhd
+++ b/sources/feligHG710/emulator/mux_16.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               mtrovato
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --==============================================================================
 --
 -- Argonne National Laboratory
diff --git a/sources/feligHG710/emulator/mux_8.vhd b/sources/feligHG710/emulator/mux_8.vhd
index 66940e5a7..90bf86079 100644
--- a/sources/feligHG710/emulator/mux_8.vhd
+++ b/sources/feligHG710/emulator/mux_8.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               mtrovato
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --==============================================================================
 --
 -- Argonne National Laboratory
diff --git a/sources/feligHG710/emulator/prbs_frame_gen.vhd b/sources/feligHG710/emulator/prbs_frame_gen.vhd
index 8ed2bbf69..5dd551b6a 100644
--- a/sources/feligHG710/emulator/prbs_frame_gen.vhd
+++ b/sources/feligHG710/emulator/prbs_frame_gen.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               mtrovato
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --==============================================================================
 --
 -- Argonne National Laboratory
diff --git a/sources/feligHG710/emulator/prbs_word_gen.vhd b/sources/feligHG710/emulator/prbs_word_gen.vhd
index 1cfd1a74a..9ab2f05f6 100644
--- a/sources/feligHG710/emulator/prbs_word_gen.vhd
+++ b/sources/feligHG710/emulator/prbs_word_gen.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               mtrovato
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --==============================================================================
 --
 -- Argonne National Laboratory
diff --git a/sources/feligHG710/felix_modified/centralRouter/upstreamEpathFifoWrap.vhd b/sources/feligHG710/felix_modified/centralRouter/upstreamEpathFifoWrap.vhd
index a852162de..a32eae6fc 100644
--- a/sources/feligHG710/felix_modified/centralRouter/upstreamEpathFifoWrap.vhd
+++ b/sources/feligHG710/felix_modified/centralRouter/upstreamEpathFifoWrap.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               mtrovato
+--!               Shelfali Saxena
+--!               Ricardo Luz
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --==============================================================================
 --
 -- Argonne National Laboratory
diff --git a/sources/feligHG710/felix_modified/spi/LMK03200_spi.vhd b/sources/feligHG710/felix_modified/spi/LMK03200_spi.vhd
index 1d408a881..22ab0a846 100644
--- a/sources/feligHG710/felix_modified/spi/LMK03200_spi.vhd
+++ b/sources/feligHG710/felix_modified/spi/LMK03200_spi.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Shelfali Saxena
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 -- for spi_LMK03200
 -- 
 -- 
diff --git a/sources/feligHG710/felix_modified/spi/LMK03200_wrapper.vhd b/sources/feligHG710/felix_modified/spi/LMK03200_wrapper.vhd
index 6108027d4..30ab03582 100644
--- a/sources/feligHG710/felix_modified/spi/LMK03200_wrapper.vhd
+++ b/sources/feligHG710/felix_modified/spi/LMK03200_wrapper.vhd
@@ -1,3 +1,24 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Kai Chen
+--!               Thei Wijnen
+--!               Shelfali Saxena
+--!               mtrovato
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ------------------------------------------
 ---- Designed by Kai -------------
 ---- For LTDB test ---------------
diff --git a/sources/feligHG710/felix_modified/ttc/ttc_decoder/ttc_decode_wrapper.vhd b/sources/feligHG710/felix_modified/ttc/ttc_decoder/ttc_decode_wrapper.vhd
index c506af61d..e2779f25d 100644
--- a/sources/feligHG710/felix_modified/ttc/ttc_decoder/ttc_decode_wrapper.vhd
+++ b/sources/feligHG710/felix_modified/ttc/ttc_decoder/ttc_decode_wrapper.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               mtrovato
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --==============================================================================
 --
 -- Argonne National Laboratory
diff --git a/sources/feligHG710/felix_modified/ttc/ttc_decoder/ttc_decoder_core.vhd b/sources/feligHG710/felix_modified/ttc/ttc_decoder/ttc_decoder_core.vhd
index 3c4925bd7..8796d8318 100644
--- a/sources/feligHG710/felix_modified/ttc/ttc_decoder/ttc_decoder_core.vhd
+++ b/sources/feligHG710/felix_modified/ttc/ttc_decoder/ttc_decoder_core.vhd
@@ -1,3 +1,23 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Soo Ryu
+--!               Frans Schreuder
+--!               Alexander Paramonov
+--!               mtrovato
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --==============================================================================
 --
 -- Argonne National Laboratory
diff --git a/sources/feligHG710/packages/function_lib.vhd b/sources/feligHG710/packages/function_lib.vhd
index e8a7b0445..e99c992f1 100644
--- a/sources/feligHG710/packages/function_lib.vhd
+++ b/sources/feligHG710/packages/function_lib.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               mtrovato
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --==============================================================================
 --
 -- Argonne National Laboratory
diff --git a/sources/feligHG710/packages/ip_lib.vhd b/sources/feligHG710/packages/ip_lib.vhd
index 10b9f87a5..7b2be6c8d 100644
--- a/sources/feligHG710/packages/ip_lib.vhd
+++ b/sources/feligHG710/packages/ip_lib.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               mtrovato
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --==============================================================================
 --
 -- Argonne National Laboratory
diff --git a/sources/feligHG710/packages/sim_lib.vhd b/sources/feligHG710/packages/sim_lib.vhd
old mode 100755
new mode 100644
index 9e5cafd5b..092d13c7d
--- a/sources/feligHG710/packages/sim_lib.vhd
+++ b/sources/feligHG710/packages/sim_lib.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               mtrovato
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --==============================================================================
 --
 -- Argonne National Laboratory
diff --git a/sources/feligHG710/packages/type_lib.vhd b/sources/feligHG710/packages/type_lib.vhd
index 1362d5ee2..340946cb6 100644
--- a/sources/feligHG710/packages/type_lib.vhd
+++ b/sources/feligHG710/packages/type_lib.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               mtrovato
+--!               Ricardo Luz
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --==============================================================================
 --
 -- Argonne National Laboratory
diff --git a/sources/feligHG710/templates/LaneRegisterRemapper.vhd b/sources/feligHG710/templates/LaneRegisterRemapper.vhd
index 38b553d29..7b5c156d3 100644
--- a/sources/feligHG710/templates/LaneRegisterRemapper.vhd
+++ b/sources/feligHG710/templates/LaneRegisterRemapper.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               mtrovato
+--!               Ricardo Luz
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --==============================================================================
 --
 -- Argonne National Laboratory
diff --git a/sources/felixUserSupport/FM_UserExample.vhd b/sources/felixUserSupport/FM_UserExample.vhd
index 43facb180..cc89760a7 100644
--- a/sources/felixUserSupport/FM_UserExample.vhd
+++ b/sources/felixUserSupport/FM_UserExample.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               RHabraken
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 
 
@@ -341,21 +359,6 @@ begin
   --!  
   --!
   --! ------------------------------------------------------------------------------
-  --! Virtex7 PCIe Gen3 DMA Core
-  --! 
-  --! \copyright GNU LGPL License
-  --! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br>
-  --! This library is free software; you can redistribute it and/or
-  --! modify it under the terms of the GNU Lesser General Public
-  --! License as published by the Free Software Foundation; either
-  --! version 3.0 of the License, or (at your option) any later version.
-  --! This library is distributed in the hope that it will be useful,
-  --! but WITHOUT ANY WARRANTY; without even the implied warranty of
-  --! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
-  --! Lesser General Public License for more details.<br>
-  --! You should have received a copy of the GNU Lesser General Public
-  --! License along with this library.
-  --! 
   -- 
   --! @brief ieee
   clk1: FM_example_clocking
@@ -401,21 +404,6 @@ begin
   --!  
   --!
   --! ------------------------------------------------------------------------------
-  --! Virtex7 PCIe Gen3 DMA Core
-  --! 
-  --! \copyright GNU LGPL License
-  --! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br>
-  --! This library is free software; you can redistribute it and/or
-  --! modify it under the terms of the GNU Lesser General Public
-  --! License as published by the Free Software Foundation; either
-  --! version 3.0 of the License, or (at your option) any later version.
-  --! This library is distributed in the hope that it will be useful,
-  --! but WITHOUT ANY WARRANTY; without even the implied warranty of
-  --! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
-  --! Lesser General Public License for more details.<br>
-  --! You should have received a copy of the GNU Lesser General Public
-  --! License along with this library.
-  --! 
   -- 
   --! @brief ieee
   ram0: FM_example_emuram
@@ -457,21 +445,6 @@ begin
   --!  
   --!
   --! ------------------------------------------------------------------------------
-  --! Virtex7 PCIe Gen3 DMA Core
-  --! 
-  --! \copyright GNU LGPL License
-  --! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br>
-  --! This library is free software; you can redistribute it and/or
-  --! modify it under the terms of the GNU Lesser General Public
-  --! License as published by the Free Software Foundation; either
-  --! version 3.0 of the License, or (at your option) any later version.
-  --! This library is distributed in the hope that it will be useful,
-  --! but WITHOUT ANY WARRANTY; without even the implied warranty of
-  --! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
-  --! Lesser General Public License for more details.<br>
-  --! You should have received a copy of the GNU Lesser General Public
-  --! License along with this library.
-  --! 
   -- 
   --! @brief ieee
   ctl0: FM_example_FIFOctrl
@@ -516,21 +489,6 @@ begin
   --!  
   --!
   --! ------------------------------------------------------------------------------
-  --! Virtex7 PCIe Gen3 DMA Core
-  --! 
-  --! \copyright GNU LGPL License
-  --! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br>
-  --! This library is free software; you can redistribute it and/or
-  --! modify it under the terms of the GNU Lesser General Public
-  --! License as published by the Free Software Foundation; either
-  --! version 3.0 of the License, or (at your option) any later version.
-  --! This library is distributed in the hope that it will be useful,
-  --! but WITHOUT ANY WARRANTY; without even the implied warranty of
-  --! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
-  --! Lesser General Public License for more details.<br>
-  --! You should have received a copy of the GNU Lesser General Public
-  --! License along with this library.
-  --! 
   -- 
   --! @brief ieee
   init0: si5324_init
diff --git a/sources/felixUserSupport/FM_example_FIFOctrl.vhd b/sources/felixUserSupport/FM_example_FIFOctrl.vhd
index 1107c8a68..a2e41beee 100644
--- a/sources/felixUserSupport/FM_example_FIFOctrl.vhd
+++ b/sources/felixUserSupport/FM_example_FIFOctrl.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               RHabraken
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 
 
diff --git a/sources/felixUserSupport/FM_example_clocking.vhd b/sources/felixUserSupport/FM_example_clocking.vhd
index dfaffe00d..389930e03 100644
--- a/sources/felixUserSupport/FM_example_clocking.vhd
+++ b/sources/felixUserSupport/FM_example_clocking.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               RHabraken
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 --!------------------------------------------------------------------------------
 --!                                                             
@@ -29,21 +47,6 @@
 --!  
 --!
 --! ------------------------------------------------------------------------------
---! Virtex7 PCIe Gen3 DMA Core
---! 
---! \copyright GNU LGPL License
---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br>
---! This library is free software; you can redistribute it and/or
---! modify it under the terms of the GNU Lesser General Public
---! License as published by the Free Software Foundation; either
---! version 3.0 of the License, or (at your option) any later version.
---! This library is distributed in the hope that it will be useful,
---! but WITHOUT ANY WARRANTY; without even the implied warranty of
---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
---! Lesser General Public License for more details.<br>
---! You should have received a copy of the GNU Lesser General Public
---! License along with this library.
---! 
 -- 
 --! @brief ieee
 
diff --git a/sources/felixUserSupport/FM_example_emuram.vhd b/sources/felixUserSupport/FM_example_emuram.vhd
index 4ad615250..c609e64f1 100644
--- a/sources/felixUserSupport/FM_example_emuram.vhd
+++ b/sources/felixUserSupport/FM_example_emuram.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               RHabraken
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 
 
diff --git a/sources/felixUserSupport/FullModeUserInterface/gth_qpll_wrapper_proca.vhd b/sources/felixUserSupport/FullModeUserInterface/gth_qpll_wrapper_proca.vhd
index f2c88bc73..d8f64b03d 100644
--- a/sources/felixUserSupport/FullModeUserInterface/gth_qpll_wrapper_proca.vhd
+++ b/sources/felixUserSupport/FullModeUserInterface/gth_qpll_wrapper_proca.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Weihao Wu
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 -- Company:  BNL
 -- Engineer: Weihao Wu
diff --git a/sources/felixUserSupport/elinkInterface/Elink2FIFO.vhd b/sources/felixUserSupport/elinkInterface/Elink2FIFO.vhd
index 967e95319..7fa2a2475 100644
--- a/sources/felixUserSupport/elinkInterface/Elink2FIFO.vhd
+++ b/sources/felixUserSupport/elinkInterface/Elink2FIFO.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Israel Grayzman
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/felixUserSupport/elinkInterface/FIFO2Elink.vhd b/sources/felixUserSupport/elinkInterface/FIFO2Elink.vhd
index cdb1505a1..f39c2fa52 100644
--- a/sources/felixUserSupport/elinkInterface/FIFO2Elink.vhd
+++ b/sources/felixUserSupport/elinkInterface/FIFO2Elink.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Israel Grayzman
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/felixUserSupport/elinkInterface/TB_elinkInterface_top.vhd b/sources/felixUserSupport/elinkInterface/TB_elinkInterface_top.vhd
index 9ba243678..eb5b11017 100644
--- a/sources/felixUserSupport/elinkInterface/TB_elinkInterface_top.vhd
+++ b/sources/felixUserSupport/elinkInterface/TB_elinkInterface_top.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Israel Grayzman
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/felixUserSupport/elinkInterface/elinkInterface_package.vhd b/sources/felixUserSupport/elinkInterface/elinkInterface_package.vhd
index 2a445eee0..40a4c493c 100644
--- a/sources/felixUserSupport/elinkInterface/elinkInterface_package.vhd
+++ b/sources/felixUserSupport/elinkInterface/elinkInterface_package.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/felixUserSupport/elinkInterface/elinkInterface_top.vhd b/sources/felixUserSupport/elinkInterface/elinkInterface_top.vhd
index b0e604d72..647dcf957 100644
--- a/sources/felixUserSupport/elinkInterface/elinkInterface_top.vhd
+++ b/sources/felixUserSupport/elinkInterface/elinkInterface_top.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Israel Grayzman
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 --! Company:  EDAQ WIS.  
 --! Engineer: juna
diff --git a/sources/felixUserSupport/fullmodetransceiver_gth_gth/FM_transceiver_BNL711_GBTin_FMout.vhd b/sources/felixUserSupport/fullmodetransceiver_gth_gth/FM_transceiver_BNL711_GBTin_FMout.vhd
index 161b2b29f..8596b4546 100644
--- a/sources/felixUserSupport/fullmodetransceiver_gth_gth/FM_transceiver_BNL711_GBTin_FMout.vhd
+++ b/sources/felixUserSupport/fullmodetransceiver_gth_gth/FM_transceiver_BNL711_GBTin_FMout.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               RHabraken
+--!               Mesfin Gebyehu
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 
 library ieee;
diff --git a/sources/felixUserSupport/fullmodetransceiver_gth_gth/FM_transceiver_BNL711_GBTin_FMout_inOne.vhd b/sources/felixUserSupport/fullmodetransceiver_gth_gth/FM_transceiver_BNL711_GBTin_FMout_inOne.vhd
index 738683c50..54e38b2b9 100644
--- a/sources/felixUserSupport/fullmodetransceiver_gth_gth/FM_transceiver_BNL711_GBTin_FMout_inOne.vhd
+++ b/sources/felixUserSupport/fullmodetransceiver_gth_gth/FM_transceiver_BNL711_GBTin_FMout_inOne.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Mesfin Gebyehu
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 
 library ieee;
diff --git a/sources/felixUserSupport/fullmodetransceiver_gth_gth/FM_transceiver_BNL712_GBTin_FMout.vhd b/sources/felixUserSupport/fullmodetransceiver_gth_gth/FM_transceiver_BNL712_GBTin_FMout.vhd
old mode 100755
new mode 100644
index ee11924b2..60588853b
--- a/sources/felixUserSupport/fullmodetransceiver_gth_gth/FM_transceiver_BNL712_GBTin_FMout.vhd
+++ b/sources/felixUserSupport/fullmodetransceiver_gth_gth/FM_transceiver_BNL712_GBTin_FMout.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               RHabraken
+--!               Mesfin Gebyehu
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 
 library ieee;
diff --git a/sources/felixUserSupport/fullmodetransceiver_gth_gth/fullmodetransceiver.vhd b/sources/felixUserSupport/fullmodetransceiver_gth_gth/fullmodetransceiver.vhd
index e0ad045f9..eb9c55cd5 100644
--- a/sources/felixUserSupport/fullmodetransceiver_gth_gth/fullmodetransceiver.vhd
+++ b/sources/felixUserSupport/fullmodetransceiver_gth_gth/fullmodetransceiver.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Rene Habraken
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 
 library ieee;
diff --git a/sources/felixUserSupport/fullmodetransceiver_gth_gth/fullmodetransceiver_reset_fsm.vhd b/sources/felixUserSupport/fullmodetransceiver_gth_gth/fullmodetransceiver_reset_fsm.vhd
index bbe6c40b3..ef2ead6f1 100644
--- a/sources/felixUserSupport/fullmodetransceiver_gth_gth/fullmodetransceiver_reset_fsm.vhd
+++ b/sources/felixUserSupport/fullmodetransceiver_gth_gth/fullmodetransceiver_reset_fsm.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 library IEEE;
 use IEEE.STD_LOGIC_1164.ALL;
 use IEEE.NUMERIC_STD.ALL;
diff --git a/sources/felixUserSupport/packages/FMTransceiverPackage.vhd b/sources/felixUserSupport/packages/FMTransceiverPackage.vhd
index ad0fef9e9..c02f89ade 100644
--- a/sources/felixUserSupport/packages/FMTransceiverPackage.vhd
+++ b/sources/felixUserSupport/packages/FMTransceiverPackage.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Mesfin Gebyehu
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 -------------------------------------------
 -- Frans Schreuder (Nikhef)
 -- June 2017
diff --git a/sources/flash/flash_ipcore_bnl.vhd b/sources/flash/flash_ipcore_bnl.vhd
index 99cb536db..66e2042b2 100644
--- a/sources/flash/flash_ipcore_bnl.vhd
+++ b/sources/flash/flash_ipcore_bnl.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Kai Chen
+--!               Andrea Borga
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --!                                                                           --
 --!           BNL - Brookhaven National Lboratory                             --
diff --git a/sources/flash/flash_wrapper.vhd b/sources/flash/flash_wrapper.vhd
index cc7e88cb2..791d59e4b 100644
--- a/sources/flash/flash_wrapper.vhd
+++ b/sources/flash/flash_wrapper.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Kai Chen
+--!               Andrea Borga
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --!                                                                           --
 --!           BNL - Brookhaven National Lboratory                             --
diff --git a/sources/flash/flash_wrapper_stub.vhd b/sources/flash/flash_wrapper_stub.vhd
index 4b25e5771..33adf03e2 100644
--- a/sources/flash/flash_wrapper_stub.vhd
+++ b/sources/flash/flash_wrapper_stub.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 -- Company:
 -- Engineer:
diff --git a/sources/housekeeping/GenericConstantsToRegs.vhd b/sources/housekeeping/GenericConstantsToRegs.vhd
index a295c0369..a85fe805d 100644
--- a/sources/housekeeping/GenericConstantsToRegs.vhd
+++ b/sources/housekeeping/GenericConstantsToRegs.vhd
@@ -1,3 +1,27 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Andrea Borga
+--!               Israel Grayzman
+--!               Enrico Gamberini
+--!               RHabraken
+--!               Mesfin Gebyehu
+--!               Rene
+--!               Thei Wijnen
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 
 
diff --git a/sources/housekeeping/clock_and_reset.vhd b/sources/housekeeping/clock_and_reset.vhd
index 25b6cb35b..4a0e26870 100644
--- a/sources/housekeeping/clock_and_reset.vhd
+++ b/sources/housekeeping/clock_and_reset.vhd
@@ -1,3 +1,28 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Andrea Borga
+--!               Israel Grayzman
+--!               Mesfin Gebyehu
+--!               RHabraken
+--!               Thei Wijnen
+--!               Frans Schreuder
+--!               Filiberto Bonini
+--!               Shelfali Saxena
+--!               mtrovato
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 --!------------------------------------------------------------------------------
 --!                                                             
@@ -29,21 +54,6 @@
 --!  
 --!
 --! ------------------------------------------------------------------------------
---! Virtex7 PCIe Gen3 DMA Core
---! 
---! \copyright GNU LGPL License
---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br>
---! This library is free software; you can redistribute it and/or
---! modify it under the terms of the GNU Lesser General Public
---! License as published by the Free Software Foundation; either
---! version 3.0 of the License, or (at your option) any later version.
---! This library is distributed in the hope that it will be useful,
---! but WITHOUT ANY WARRANTY; without even the implied warranty of
---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
---! Lesser General Public License for more details.<br>
---! You should have received a copy of the GNU Lesser General Public
---! License along with this library.
---! 
 -- 
 --! @brief ieee
 
diff --git a/sources/housekeeping/debug_port_module.vhd b/sources/housekeeping/debug_port_module.vhd
index 899679c52..3d2a58afa 100644
--- a/sources/housekeeping/debug_port_module.vhd
+++ b/sources/housekeeping/debug_port_module.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Andrea Borga
+--!               Frans Schreuder
+--!               RHabraken
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 
 
diff --git a/sources/housekeeping/gc_multichannel_frequency_meter.vhd b/sources/housekeeping/gc_multichannel_frequency_meter.vhd
index b1a7875b4..d9abf6651 100644
--- a/sources/housekeeping/gc_multichannel_frequency_meter.vhd
+++ b/sources/housekeeping/gc_multichannel_frequency_meter.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --------------------------------------------------------------------------------
 -- CERN BE-CO-HT
 -- General Cores Library
diff --git a/sources/housekeeping/gc_pulse_synchronizer.vhd b/sources/housekeeping/gc_pulse_synchronizer.vhd
index ff015e576..881a1add7 100644
--- a/sources/housekeeping/gc_pulse_synchronizer.vhd
+++ b/sources/housekeeping/gc_pulse_synchronizer.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --------------------------------------------------------------------------------
 -- CERN BE-CO-HT
 -- General Cores Library
diff --git a/sources/housekeeping/gc_pulse_synchronizer2.vhd b/sources/housekeeping/gc_pulse_synchronizer2.vhd
index 67c99542a..f2830562b 100644
--- a/sources/housekeeping/gc_pulse_synchronizer2.vhd
+++ b/sources/housekeeping/gc_pulse_synchronizer2.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --------------------------------------------------------------------------------
 -- CERN BE-CO-HT
 -- General Cores Library
diff --git a/sources/housekeeping/gc_sync_ffs.vhd b/sources/housekeeping/gc_sync_ffs.vhd
index 3b6fafbfd..fe461cf1d 100644
--- a/sources/housekeeping/gc_sync_ffs.vhd
+++ b/sources/housekeeping/gc_sync_ffs.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --------------------------------------------------------------------------------
 -- CERN BE-CO-HT
 -- General Cores Library
diff --git a/sources/housekeeping/housekeeping_control.vhd b/sources/housekeeping/housekeeping_control.vhd
index cb530db7c..a4a06b3f5 100644
--- a/sources/housekeeping/housekeeping_control.vhd
+++ b/sources/housekeeping/housekeeping_control.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               RHabraken
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 
 
diff --git a/sources/housekeeping/housekeeping_module.vhd b/sources/housekeeping/housekeeping_module.vhd
index 2a55e7183..38254a3a4 100644
--- a/sources/housekeeping/housekeeping_module.vhd
+++ b/sources/housekeeping/housekeeping_module.vhd
@@ -1,3 +1,26 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Andrea Borga
+--!               Israel Grayzman
+--!               Kai Chen
+--!               Enrico Gamberini
+--!               RHabraken
+--!               Rene
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 
 
diff --git a/sources/housekeeping/housekeeping_monitor.vhd b/sources/housekeeping/housekeeping_monitor.vhd
index 31942dabd..181968eb4 100644
--- a/sources/housekeeping/housekeeping_monitor.vhd
+++ b/sources/housekeeping/housekeeping_monitor.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               RHabraken
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 
 
diff --git a/sources/housekeeping/i2c_interface.vhd b/sources/housekeeping/i2c_interface.vhd
index 2adc42ff3..27222ccbf 100644
--- a/sources/housekeeping/i2c_interface.vhd
+++ b/sources/housekeeping/i2c_interface.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Andrea Borga
+--!               Frans Schreuder
+--!               RHabraken
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 
 
diff --git a/sources/housekeeping/si5324_init.vhd b/sources/housekeeping/si5324_init.vhd
index 3865feeae..90261d5d0 100644
--- a/sources/housekeeping/si5324_init.vhd
+++ b/sources/housekeeping/si5324_init.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Andrea Borga
+--!               Frans Schreuder
+--!               RHabraken
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 
 
diff --git a/sources/housekeeping/spi_interface.vhd b/sources/housekeeping/spi_interface.vhd
index 98edd32a8..407314dbb 100644
--- a/sources/housekeeping/spi_interface.vhd
+++ b/sources/housekeeping/spi_interface.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Andrea Borga
+--!               Frans Schreuder
+--!               RHabraken
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 
 
diff --git a/sources/i2c_master/I2C_Master_PEX.vhd b/sources/i2c_master/I2C_Master_PEX.vhd
index 8008b0477..4887e1e4f 100644
--- a/sources/i2c_master/I2C_Master_PEX.vhd
+++ b/sources/i2c_master/I2C_Master_PEX.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Kai Chen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 -- based on a version from opencore
 
 LIBRARY ieee;
diff --git a/sources/i2c_master/clkcfg.vhd b/sources/i2c_master/clkcfg.vhd
index 31b8aef92..7ab29ca1a 100644
--- a/sources/i2c_master/clkcfg.vhd
+++ b/sources/i2c_master/clkcfg.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 -- Company: 
 -- Engineer:   Kai Chen
diff --git a/sources/i2c_master/i2c.vhd b/sources/i2c_master/i2c.vhd
index 685897432..3084b371b 100644
--- a/sources/i2c_master/i2c.vhd
+++ b/sources/i2c_master/i2c.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Israel Grayzman
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --
 -- Simple I2C controller
 --
diff --git a/sources/i2c_master/i2c_clk_gen.vhd b/sources/i2c_master/i2c_clk_gen.vhd
index 074c7521a..6586afd61 100644
--- a/sources/i2c_master/i2c_clk_gen.vhd
+++ b/sources/i2c_master/i2c_clk_gen.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 -- Company: 
 -- Engineer: Kai
diff --git a/sources/i2c_master/i2c_master.vhd b/sources/i2c_master/i2c_master.vhd
index 615c8199e..70e63c366 100644
--- a/sources/i2c_master/i2c_master.vhd
+++ b/sources/i2c_master/i2c_master.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 -- for I2C interface, and I2C switch. Pay attentain to line 92: dev_address of the I2C BUS SWITCH (is not a standard I2C protocol) should be verifed
 -- befor use.
 
diff --git a/sources/opencores/application.vhd b/sources/opencores/application.vhd
index 0b3e2db1c..8f41a1d83 100644
--- a/sources/opencores/application.vhd
+++ b/sources/opencores/application.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               RHabraken
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 --!------------------------------------------------------------------------------
 --!                                                             
@@ -35,22 +53,7 @@
 --!  
 --!
 --! ------------------------------------------------------------------------------
---! Virtex7 PCIe Gen3 DMA Core
---! 
---! \copyright GNU LGPL License
---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br>
---! This library is free software; you can redistribute it and/or
---! modify it under the terms of the GNU Lesser General Public
---! License as published by the Free Software Foundation; either
---! version 3.0 of the License, or (at your option) any later version.
---! This library is distributed in the hope that it will be useful,
---! but WITHOUT ANY WARRANTY; without even the implied warranty of
---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
---! Lesser General Public License for more details.<br>
---! You should have received a copy of the GNU Lesser General Public
---! License along with this library.
---! 
--- 
+
 --! @brief ieee 
 
 
diff --git a/sources/opencores/wupper_oc_top.vhd b/sources/opencores/wupper_oc_top.vhd
index 5ca1eb151..88d47f6e5 100644
--- a/sources/opencores/wupper_oc_top.vhd
+++ b/sources/opencores/wupper_oc_top.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               RHabraken
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 --!------------------------------------------------------------------------------
 --!                                                             
@@ -31,21 +49,6 @@
 --!  
 --!
 --! ------------------------------------------------------------------------------
---! Virtex7 PCIe Gen3 DMA Core
---! 
---! \copyright GNU LGPL License
---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br>
---! This library is free software; you can redistribute it and/or
---! modify it under the terms of the GNU Lesser General Public
---! License as published by the Free Software Foundation; either
---! version 3.0 of the License, or (at your option) any later version.
---! This library is distributed in the hope that it will be useful,
---! but WITHOUT ANY WARRANTY; without even the implied warranty of
---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
---! Lesser General Public License for more details.<br>
---! You should have received a copy of the GNU Lesser General Public
---! License along with this library.
---! 
 -- 
 --! @brief ieee
 
diff --git a/sources/packages/FELIX_gbt_package.vhd b/sources/packages/FELIX_gbt_package.vhd
index 7fec694ec..a96461d5d 100644
--- a/sources/packages/FELIX_gbt_package.vhd
+++ b/sources/packages/FELIX_gbt_package.vhd
@@ -1,3 +1,23 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Andrea Borga
+--!               Kai Chen
+--!               Mesfin Gebyehu
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 -------------------------------------------
 -- Kai Chen @ BNL
 -- Dec. 2014
diff --git a/sources/packages/FELIX_package.vhd b/sources/packages/FELIX_package.vhd
index 3de08965c..4e69675a0 100644
--- a/sources/packages/FELIX_package.vhd
+++ b/sources/packages/FELIX_package.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Alessandra Camplani
+--!               Frans Schreuder
+--!               Thei Wijnen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 library ieee;
 use ieee.std_logic_1164.all;
 use ieee.numeric_std.all;
diff --git a/sources/packages/IG_centralRouter_package.vhd b/sources/packages/IG_centralRouter_package.vhd
index fd0453a6f..61f6e5085 100644
--- a/sources/packages/IG_centralRouter_package.vhd
+++ b/sources/packages/IG_centralRouter_package.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Julia Narevicius
+--!               Israel Grayzman
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --!                                                                           --
 --!                    Weizmann Institute of Science                          --
diff --git a/sources/packages/axi_stream_package.vhd b/sources/packages/axi_stream_package.vhd
index 7cecd61c8..f3b8fbe03 100644
--- a/sources/packages/axi_stream_package.vhd
+++ b/sources/packages/axi_stream_package.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --!                                                                           --
 --!                    Atlas - FELIX                                          --
diff --git a/sources/packages/centralRouterTOPpackage.vhd b/sources/packages/centralRouterTOPpackage.vhd
index 744af8860..f893c6aba 100644
--- a/sources/packages/centralRouterTOPpackage.vhd
+++ b/sources/packages/centralRouterTOPpackage.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Julia Narevicius
+--!               Israel Grayzman
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 -----------------------------------------------------------------------------
 -- used for simulation only
 -----------------------------------------------------------------------------
diff --git a/sources/packages/centralRouter_package.vhd b/sources/packages/centralRouter_package.vhd
index 94f1f4a31..bcad3b87d 100644
--- a/sources/packages/centralRouter_package.vhd
+++ b/sources/packages/centralRouter_package.vhd
@@ -1,3 +1,28 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Julia Narevicius
+--!               Andrea Borga
+--!               Frans Schreuder
+--!               RHabraken
+--!               Mesfin Gebyehu
+--!               Israel Grayzman
+--!               Alessandra Camplani
+--!               Ricardo Luz
+--!               Thei Wijnen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --!                                                                           --
 --!                    Weizmann Institute of Science                          --
diff --git a/sources/packages/txt_util.vhd b/sources/packages/txt_util.vhd
index bdfc0ce9f..ccc611ad0 100644
--- a/sources/packages/txt_util.vhd
+++ b/sources/packages/txt_util.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 -- -------------------------------------------------------------------
 -- Design:
 --
diff --git a/sources/pcie/WupperFifos.vhd b/sources/pcie/WupperFifos.vhd
index 22480b5b2..69c523154 100644
--- a/sources/pcie/WupperFifos.vhd
+++ b/sources/pcie/WupperFifos.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 
 
diff --git a/sources/pcie/data_width_package_256.vhd b/sources/pcie/data_width_package_256.vhd
index 7ec6a0ec9..b122ec298 100644
--- a/sources/pcie/data_width_package_256.vhd
+++ b/sources/pcie/data_width_package_256.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 library ieee;
 use ieee.numeric_std.all;
 use ieee.std_logic_1164.all;
diff --git a/sources/pcie/data_width_package_512.vhd b/sources/pcie/data_width_package_512.vhd
index e143263a8..be3a28cae 100644
--- a/sources/pcie/data_width_package_512.vhd
+++ b/sources/pcie/data_width_package_512.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 library ieee;
 use ieee.numeric_std.all;
 use ieee.std_logic_unsigned.all;
diff --git a/sources/pcie/dma_read_write.vhd b/sources/pcie/dma_read_write.vhd
index f57e56c72..558c5d24f 100644
--- a/sources/pcie/dma_read_write.vhd
+++ b/sources/pcie/dma_read_write.vhd
@@ -1,3 +1,23 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Israel Grayzman
+--!               RHabraken
+--!               Thei Wijnen
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 --!------------------------------------------------------------------------------
 --!                                                             
diff --git a/sources/pcie/intr_ctrl.vhd b/sources/pcie/intr_ctrl.vhd
index e93f0d679..c5b0a0496 100644
--- a/sources/pcie/intr_ctrl.vhd
+++ b/sources/pcie/intr_ctrl.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               RHabraken
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 --!------------------------------------------------------------------------------
 --!                                                             
@@ -34,21 +52,6 @@
 --!  
 --!
 --! ------------------------------------------------------------------------------
---! Virtex7 PCIe Gen3 DMA Core
---! 
---! \copyright GNU LGPL License
---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br>
---! This library is free software; you can redistribute it and/or
---! modify it under the terms of the GNU Lesser General Public
---! License as published by the Free Software Foundation; either
---! version 3.0 of the License, or (at your option) any later version.
---! This library is distributed in the hope that it will be useful,
---! but WITHOUT ANY WARRANTY; without even the implied warranty of
---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
---! Lesser General Public License for more details.<br>
---! You should have received a copy of the GNU Lesser General Public
---! License along with this library.
---! 
 -- 
 --! @brief ieee
 
diff --git a/sources/pcie/pcie_clocking.vhd b/sources/pcie/pcie_clocking.vhd
index 2576621fe..97bba41b2 100644
--- a/sources/pcie/pcie_clocking.vhd
+++ b/sources/pcie/pcie_clocking.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!------------------------------------------------------------------------------
 --!                                                             
 --!           NIKHEF - National Institute for Subatomic Physics 
@@ -28,21 +45,6 @@
 --!  
 --!
 --! ------------------------------------------------------------------------------
---! Virtex7 PCIe Gen3 DMA Core
---! 
---! \copyright GNU LGPL License
---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br>
---! This library is free software; you can redistribute it and/or
---! modify it under the terms of the GNU Lesser General Public
---! License as published by the Free Software Foundation; either
---! version 3.0 of the License, or (at your option) any later version.
---! This library is distributed in the hope that it will be useful,
---! but WITHOUT ANY WARRANTY; without even the implied warranty of
---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
---! Lesser General Public License for more details.<br>
---! You should have received a copy of the GNU Lesser General Public
---! License along with this library.
---! 
 
 --! @brief ieee
 
diff --git a/sources/pcie/pcie_ep_wrap.vhd b/sources/pcie/pcie_ep_wrap.vhd
index 72d4fc32e..865d389a8 100644
--- a/sources/pcie/pcie_ep_wrap.vhd
+++ b/sources/pcie/pcie_ep_wrap.vhd
@@ -1,3 +1,25 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Israel Grayzman
+--!               RHabraken
+--!               Mesfin Gebyehu
+--!               Rene
+--!               Thei Wijnen
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!------------------------------------------------------------------------------
 --!                                                             
 --!           NIKHEF - National Institute for Subatomic Physics 
@@ -34,21 +56,6 @@
 --!  
 --!
 --! ------------------------------------------------------------------------------
---! Virtex7 PCIe Gen3 DMA Core
---! 
---! \copyright GNU LGPL License
---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br>
---! This library is free software; you can redistribute it and/or
---! modify it under the terms of the GNU Lesser General Public
---! License as published by the Free Software Foundation; either
---! version 3.0 of the License, or (at your option) any later version.
---! This library is distributed in the hope that it will be useful,
---! but WITHOUT ANY WARRANTY; without even the implied warranty of
---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
---! Lesser General Public License for more details.<br>
---! You should have received a copy of the GNU Lesser General Public
---! License along with this library.
---! 
 -- 
 --! @brief ieee
 
diff --git a/sources/pcie/pcie_init.vhd b/sources/pcie/pcie_init.vhd
index fadec6705..c2ef12cd1 100644
--- a/sources/pcie/pcie_init.vhd
+++ b/sources/pcie/pcie_init.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               RHabraken
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 --!------------------------------------------------------------------------------
 --!                                                             
@@ -29,21 +47,6 @@
 --!  
 --!
 --! ------------------------------------------------------------------------------
---! Virtex7 PCIe Gen3 DMA Core
---! 
---! \copyright GNU LGPL License
---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br>
---! This library is free software; you can redistribute it and/or
---! modify it under the terms of the GNU Lesser General Public
---! License as published by the Free Software Foundation; either
---! version 3.0 of the License, or (at your option) any later version.
---! This library is distributed in the hope that it will be useful,
---! but WITHOUT ANY WARRANTY; without even the implied warranty of
---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
---! Lesser General Public License for more details.<br>
---! You should have received a copy of the GNU Lesser General Public
---! License along with this library.
---! 
 -- 
 --! @brief ieee
 
diff --git a/sources/pcie/pcie_slow_clock.vhd b/sources/pcie/pcie_slow_clock.vhd
index db442f07d..12c04b794 100644
--- a/sources/pcie/pcie_slow_clock.vhd
+++ b/sources/pcie/pcie_slow_clock.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               RHabraken
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 --!------------------------------------------------------------------------------
 --!                                                             
@@ -27,21 +45,6 @@
 --!  
 --!
 --! ------------------------------------------------------------------------------
---! Virtex7 PCIe Gen3 DMA Core
---! 
---! \copyright GNU LGPL License
---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br>
---! This library is free software; you can redistribute it and/or
---! modify it under the terms of the GNU Lesser General Public
---! License as published by the Free Software Foundation; either
---! version 3.0 of the License, or (at your option) any later version.
---! This library is distributed in the hope that it will be useful,
---! but WITHOUT ANY WARRANTY; without even the implied warranty of
---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
---! Lesser General Public License for more details.<br>
---! You should have received a copy of the GNU Lesser General Public
---! License along with this library.
---! 
 -- 
 --! @brief ieee
 
diff --git a/sources/pcie/pcie_versal_0_support.vhd b/sources/pcie/pcie_versal_0_support.vhd
index 9597f444a..bee266943 100644
--- a/sources/pcie/pcie_versal_0_support.vhd
+++ b/sources/pcie/pcie_versal_0_support.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --Copyright 1986-2019 Xilinx, Inc. All Rights Reserved.
 ----------------------------------------------------------------------------------
 --Tool Version: Vivado v.2019.2.1 (lin64) Build 2729669 Thu Dec  5 04:48:12 MST 2019
diff --git a/sources/pcie/wupper_core.vhd b/sources/pcie/wupper_core.vhd
index d0c0819da..1657b54b1 100644
--- a/sources/pcie/wupper_core.vhd
+++ b/sources/pcie/wupper_core.vhd
@@ -1,3 +1,24 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Enrico Gamberini
+--!               RHabraken
+--!               William Wulff
+--!               Thei Wijnen
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 --!------------------------------------------------------------------------------
 --!                                                             
@@ -29,21 +50,6 @@
 --!  
 --!
 --! ------------------------------------------------------------------------------
---! Virtex7 PCIe Gen3 DMA Core
---! 
---! \copyright GNU LGPL License
---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br>
---! This library is free software; you can redistribute it and/or
---! modify it under the terms of the GNU Lesser General Public
---! License as published by the Free Software Foundation; either
---! version 3.0 of the License, or (at your option) any later version.
---! This library is distributed in the hope that it will be useful,
---! but WITHOUT ANY WARRANTY; without even the implied warranty of
---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
---! Lesser General Public License for more details.<br>
---! You should have received a copy of the GNU Lesser General Public
---! License along with this library.
---! 
 -- 
 --! @brief ieee
 
diff --git a/sources/shared/card_type_specific_ios.vhd b/sources/shared/card_type_specific_ios.vhd
index dd083ba62..02f9bac1a 100644
--- a/sources/shared/card_type_specific_ios.vhd
+++ b/sources/shared/card_type_specific_ios.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Andrea Borga
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!------------------------------------------------------------------------------
 --!                                                             
 --!           NIKHEF - National Institute for Subatomic Physics 
@@ -28,21 +46,6 @@
 --!  
 --!
 --! ------------------------------------------------------------------------------
---! Atlas FELIX
---! 
---! \copyright GNU LGPL License
---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br>
---! This library is free software; you can redistribute it and/or
---! modify it under the terms of the GNU Lesser General Public
---! License as published by the Free Software Foundation; either
---! version 3.0 of the License, or (at your option) any later version.
---! This library is distributed in the hope that it will be useful,
---! but WITHOUT ANY WARRANTY; without even the implied warranty of
---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
---! Lesser General Public License for more details.<br>
---! You should have received a copy of the GNU Lesser General Public
---! License along with this library.
---! 
 
 --! @brief ieee
 
diff --git a/sources/shared/dna.vhd b/sources/shared/dna.vhd
index 6d54646bc..d6a894fe8 100644
--- a/sources/shared/dna.vhd
+++ b/sources/shared/dna.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 library ieee;
 use ieee.std_logic_1164.all;
 use ieee.numeric_std.all;
diff --git a/sources/shared/pex_init.vhd b/sources/shared/pex_init.vhd
index 3abfc0ec2..f61d2773a 100644
--- a/sources/shared/pex_init.vhd
+++ b/sources/shared/pex_init.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Kai Chen
+--!               Frans Schreuder
+--!               LOCKEY
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --!-----------------------------------------------------------------------------
 --!                                                                           --
 --!           BNL - Brookhaven National Lboratory                             --
diff --git a/sources/shared/standard_mux16.vhd b/sources/shared/standard_mux16.vhd
index 1cbe433ea..620dae896 100644
--- a/sources/shared/standard_mux16.vhd
+++ b/sources/shared/standard_mux16.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --
 -------------------------------------------------------------------------------------------
 -- Copyright © 2011-2012, Xilinx, Inc.
diff --git a/sources/shared/xadc_drp.vhd b/sources/shared/xadc_drp.vhd
index 33be835fc..f3eba1ca9 100644
--- a/sources/shared/xadc_drp.vhd
+++ b/sources/shared/xadc_drp.vhd
@@ -1,3 +1,23 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               RHabraken
+--!               Thei Wijnen
+--!               Rene
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 library ieee;
 use ieee.std_logic_1164.all;
 use ieee.numeric_std.all;
diff --git a/sources/spi/LMK03200_spi.vhd b/sources/spi/LMK03200_spi.vhd
index 926f2f249..96c130362 100644
--- a/sources/spi/LMK03200_spi.vhd
+++ b/sources/spi/LMK03200_spi.vhd
@@ -1,3 +1,21 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Shelfali Saxena
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 -- for spi_LMK03200
 -- 
 -- 
diff --git a/sources/spi/LMK03200_wrapper.vhd b/sources/spi/LMK03200_wrapper.vhd
index c99bcda88..2542be8a2 100644
--- a/sources/spi/LMK03200_wrapper.vhd
+++ b/sources/spi/LMK03200_wrapper.vhd
@@ -1,3 +1,24 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Kai Chen
+--!               Thei Wijnen
+--!               Shelfali Saxena
+--!               mtrovato
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ------------------------------------------
 ---- Designed by Kai -------------
 ---- For LTDB test ---------------
diff --git a/sources/templates/dma_control.vhd b/sources/templates/dma_control.vhd
index 2f1a7b240..652df09ec 100644
--- a/sources/templates/dma_control.vhd
+++ b/sources/templates/dma_control.vhd
@@ -1,3 +1,38 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Mark Donszelmann
+--!               Andrea Borga
+--!               Rene Habraken
+--!               Soo Ryu
+--!               Israel Grayzman
+--!               Kai Chen
+--!               Enrico Gamberini
+--!               Alexander Paramonov
+--!               RHabraken
+--!               Nayib Boukadida
+--!               William Wulff
+--!               Elena Zhivun
+--!               Mesfin Gebyehu
+--!               Rene
+--!               Thei Wijnen
+--!               Ohad Shaked
+--!               Alessandro Thea
+--!               mtrovato
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 -- ***************************************************************************
 -- ***************************************************************************
 -- ***************************************************************************
@@ -51,21 +86,6 @@
 --!
 --!
 --! ------------------------------------------------------------------------------
---! Virtex7 PCIe Gen3 DMA Core
---!
---! \copyright GNU LGPL License
---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br>
---! This library is free software; you can redistribute it and/or
---! modify it under the terms of the GNU Lesser General Public
---! License as published by the Free Software Foundation; either
---! version 3.0 of the License, or (at your option) any later version.
---! This library is distributed in the hope that it will be useful,
---! but WITHOUT ANY WARRANTY; without even the implied warranty of
---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
---! Lesser General Public License for more details.<br>
---! You should have received a copy of the GNU Lesser General Public
---! License along with this library.
---!
 
 --! @brief ieee
 
diff --git a/sources/templates/dma_control.vhd.template b/sources/templates/dma_control.vhd.template
index 0f325aaa5..31b4320a2 100644
--- a/sources/templates/dma_control.vhd.template
+++ b/sources/templates/dma_control.vhd.template
@@ -1,3 +1,37 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Mark Donszelmann
+--!               Andrea Borga
+--!               Rene Habraken
+--!               Soo Ryu
+--!               Israel Grayzman
+--!               Kai Chen
+--!               Enrico Gamberini
+--!               Alexander Paramonov
+--!               RHabraken
+--!               Nayib Boukadida
+--!               William Wulff
+--!               Elena Zhivun
+--!               Mesfin Gebyehu
+--!               Rene
+--!               Thei Wijnen
+--!               Ohad Shaked
+--!               Alessandro Thea
+--!               mtrovato
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
 {{ tree.warning|vhdl_comment }}
 
 --!------------------------------------------------------------------------------
@@ -30,21 +64,6 @@
 --!
 --!
 --! ------------------------------------------------------------------------------
---! Virtex7 PCIe Gen3 DMA Core
---!
---! \copyright GNU LGPL License
---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br>
---! This library is free software; you can redistribute it and/or
---! modify it under the terms of the GNU Lesser General Public
---! License as published by the Free Software Foundation; either
---! version 3.0 of the License, or (at your option) any later version.
---! This library is distributed in the hope that it will be useful,
---! but WITHOUT ANY WARRANTY; without even the implied warranty of
---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
---! Lesser General Public License for more details.<br>
---! You should have received a copy of the GNU Lesser General Public
---! License along with this library.
---!
 
 --! @brief ieee
 
diff --git a/sources/templates/pcie_package.vhd b/sources/templates/pcie_package.vhd
index 493a3dec5..011388df5 100644
--- a/sources/templates/pcie_package.vhd
+++ b/sources/templates/pcie_package.vhd
@@ -1,3 +1,37 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Mark Donszelmann
+--!               Andrea Borga
+--!               Soo Ryu
+--!               Kai Chen
+--!               Israel Grayzman
+--!               Rene Habraken
+--!               Alexander Paramonov
+--!               RHabraken
+--!               Nayib Boukadida
+--!               Alessandra Camplani
+--!               Elena Zhivun
+--!               Mesfin Gebyehu
+--!               Rene
+--!               Thei Wijnen
+--!               Ohad Shaked
+--!               Alessandro Thea
+--!               mtrovato
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 -- ***************************************************************************
 -- ***************************************************************************
 -- ***************************************************************************
@@ -51,20 +85,6 @@
 --!
 --!
 --! ------------------------------------------------------------------------------
---! Virtex7 PCIe Gen3 DMA Core
---!
---! \copyright GNU LGPL License
---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br>
---! This library is free software; you can redistribute it and/or
---! modify it under the terms of the GNU Lesser General Public
---! License as published by the Free Software Foundation; either
---! version 3.0 of the License, or (at your option) any later version.
---! This library is distributed in the hope that it will be useful,
---! but WITHOUT ANY WARRANTY; without even the implied warranty of
---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
---! Lesser General Public License for more details.<br>
---! You should have received a copy of the GNU Lesser General Public
---! License along with this library.
 --!
 
 --! @brief ieee
diff --git a/sources/templates/pcie_package.vhd.template b/sources/templates/pcie_package.vhd.template
index 72cd7215a..663b1fffa 100644
--- a/sources/templates/pcie_package.vhd.template
+++ b/sources/templates/pcie_package.vhd.template
@@ -1,3 +1,36 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Mark Donszelmann
+--!               Andrea Borga
+--!               Soo Ryu
+--!               Kai Chen
+--!               Israel Grayzman
+--!               Rene Habraken
+--!               Alexander Paramonov
+--!               RHabraken
+--!               Nayib Boukadida
+--!               Alessandra Camplani
+--!               Elena Zhivun
+--!               Mesfin Gebyehu
+--!               Rene
+--!               Thei Wijnen
+--!               Ohad Shaked
+--!               Alessandro Thea
+--!               mtrovato
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
 {{ tree.warning|vhdl_comment }}
 
 --!------------------------------------------------------------------------------
@@ -30,20 +63,6 @@
 --!
 --!
 --! ------------------------------------------------------------------------------
---! Virtex7 PCIe Gen3 DMA Core
---!
---! \copyright GNU LGPL License
---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br>
---! This library is free software; you can redistribute it and/or
---! modify it under the terms of the GNU Lesser General Public
---! License as published by the Free Software Foundation; either
---! version 3.0 of the License, or (at your option) any later version.
---! This library is distributed in the hope that it will be useful,
---! but WITHOUT ANY WARRANTY; without even the implied warranty of
---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
---! Lesser General Public License for more details.<br>
---! You should have received a copy of the GNU Lesser General Public
---! License along with this library.
 --!
 
 --! @brief ieee
diff --git a/sources/templates/register_map_sync.vhd b/sources/templates/register_map_sync.vhd
index cb23156c1..084a1e589 100644
--- a/sources/templates/register_map_sync.vhd
+++ b/sources/templates/register_map_sync.vhd
@@ -1,3 +1,41 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Alessandro Thea
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+-- ***************************************************************************
+-- ***************************************************************************
+-- ***************************************************************************
+-- ***************************************************************************
+-- ***************************************************************************
+-- DO NOT EDIT THIS FILE
+-- 
+-- This file was generated from template '../../sources/templates/register_map_sync.vhd.template'
+-- and register map ../../sources/templates/registers-5.0.yaml, version 5.0
+-- by the script 'wuppercodegen', version: 0.8.4,
+-- using the following commandline:
+-- 
+-- ../../WupperCodeGen/wuppercodegen/cli.py ../../sources/templates/registers-5.0.yaml ../../sources/templates/register_map_sync.vhd.template ../../sources/templates/register_map_sync.vhd
+-- 
+-- Please do NOT edit this file, but edit the source file at '../../sources/templates/register_map_sync.vhd.template'
+-- 
+-- ***************************************************************************
+-- ***************************************************************************
+-- ***************************************************************************
+-- ***************************************************************************
+-- ***************************************************************************
 
 
 
diff --git a/sources/templates/register_map_sync.vhd.template b/sources/templates/register_map_sync.vhd.template
index 08049bc7d..b592ab87c 100644
--- a/sources/templates/register_map_sync.vhd.template
+++ b/sources/templates/register_map_sync.vhd.template
@@ -1,4 +1,21 @@
-
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--!               Alessandro Thea
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+{{ tree.warning|vhdl_comment }}
 
 
 
diff --git a/sources/templates/strips_config_package.vhd b/sources/templates/strips_config_package.vhd
index 10619c153..b4c965a6b 100644
--- a/sources/templates/strips_config_package.vhd
+++ b/sources/templates/strips_config_package.vhd
@@ -1,3 +1,24 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Elena Zhivun
+--!               Mesfin Gebyehu
+--!               Rene
+--!               Thei Wijnen
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 -- ***************************************************************************
 -- ***************************************************************************
 -- ***************************************************************************
diff --git a/sources/templates/strips_config_package.vhd.template b/sources/templates/strips_config_package.vhd.template
index 938e31820..1e1f34924 100644
--- a/sources/templates/strips_config_package.vhd.template
+++ b/sources/templates/strips_config_package.vhd.template
@@ -1,3 +1,24 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Elena Zhivun
+--!               Mesfin Gebyehu
+--!               Rene
+--!               Thei Wijnen
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 {{ tree.warning|vhdl_comment }}
 
 --------------------------------------------------------------------------------
diff --git a/sources/templates/strips_phase1_long_stave_mapping.vhd b/sources/templates/strips_phase1_long_stave_mapping.vhd
index 2c00dd75d..790e41bca 100644
--- a/sources/templates/strips_phase1_long_stave_mapping.vhd
+++ b/sources/templates/strips_phase1_long_stave_mapping.vhd
@@ -1,3 +1,24 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Elena Zhivun
+--!               Mesfin Gebyehu
+--!               Rene
+--!               Thei Wijnen
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 -- ***************************************************************************
 -- ***************************************************************************
 -- ***************************************************************************
diff --git a/sources/templates/strips_phase1_long_stave_mapping.vhd.template b/sources/templates/strips_phase1_long_stave_mapping.vhd.template
index 44b73aea4..a2fb3cd8c 100644
--- a/sources/templates/strips_phase1_long_stave_mapping.vhd.template
+++ b/sources/templates/strips_phase1_long_stave_mapping.vhd.template
@@ -1,3 +1,24 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Elena Zhivun
+--!               Mesfin Gebyehu
+--!               Rene
+--!               Thei Wijnen
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 {{ tree.warning|vhdl_comment }}
 
 --------------------------------------------------------------------------------
@@ -71,4 +92,4 @@ begin
 {% endfor %}
 
 
-end behavioral;
\ No newline at end of file
+end behavioral;
diff --git a/sources/templates/strips_phase1_unknown_mapping.vhd b/sources/templates/strips_phase1_unknown_mapping.vhd
index 55db4f37c..abbd3f32c 100644
--- a/sources/templates/strips_phase1_unknown_mapping.vhd
+++ b/sources/templates/strips_phase1_unknown_mapping.vhd
@@ -1,3 +1,24 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Elena Zhivun
+--!               Mesfin Gebyehu
+--!               Rene
+--!               Thei Wijnen
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 -- ***************************************************************************
 -- ***************************************************************************
 -- ***************************************************************************
diff --git a/sources/templates/strips_phase1_unknown_mapping.vhd.template b/sources/templates/strips_phase1_unknown_mapping.vhd.template
index cac178c5d..d6c3c0cad 100644
--- a/sources/templates/strips_phase1_unknown_mapping.vhd.template
+++ b/sources/templates/strips_phase1_unknown_mapping.vhd.template
@@ -1,3 +1,24 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Elena Zhivun
+--!               Mesfin Gebyehu
+--!               Rene
+--!               Thei Wijnen
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 {{ tree.warning|vhdl_comment }}
 
 --------------------------------------------------------------------------------
diff --git a/sources/templates/wupper.vhd b/sources/templates/wupper.vhd
index 26798d413..36cb8ac5c 100644
--- a/sources/templates/wupper.vhd
+++ b/sources/templates/wupper.vhd
@@ -1,3 +1,26 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Andrea Borga
+--!               Israel Grayzman
+--!               Enrico Gamberini
+--!               RHabraken
+--!               William Wulff
+--!               Thei Wijnen
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 
 --!------------------------------------------------------------------------------
 --!                                                             
@@ -29,21 +52,6 @@
 --!  
 --!
 --! ------------------------------------------------------------------------------
---! Virtex7 PCIe Gen3 DMA Core
---! 
---! \copyright GNU LGPL License
---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br>
---! This library is free software; you can redistribute it and/or
---! modify it under the terms of the GNU Lesser General Public
---! License as published by the Free Software Foundation; either
---! version 3.0 of the License, or (at your option) any later version.
---! This library is distributed in the hope that it will be useful,
---! but WITHOUT ANY WARRANTY; without even the implied warranty of
---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
---! Lesser General Public License for more details.<br>
---! You should have received a copy of the GNU Lesser General Public
---! License along with this library.
---! 
 -- 
 --! @brief ieee
 
diff --git a/sources/templates/wupper.vhd.template b/sources/templates/wupper.vhd.template
index 5f67e2db8..942891fc1 100644
--- a/sources/templates/wupper.vhd.template
+++ b/sources/templates/wupper.vhd.template
@@ -1,3 +1,28 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Andrea Borga
+--!               Israel Grayzman
+--!               Enrico Gamberini
+--!               RHabraken
+--!               Nayib Boukadida
+--!               William Wulff
+--!               Thei Wijnen
+--!               Frans Schreuder
+--!               Alessandro Thea
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+{{ tree.warning|vhdl_comment }}
 
 --!------------------------------------------------------------------------------
 --!                                                             
@@ -31,18 +56,6 @@
 --! ------------------------------------------------------------------------------
 --! Virtex7 PCIe Gen3 DMA Core
 --! 
---! \copyright GNU LGPL License
---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br>
---! This library is free software; you can redistribute it and/or
---! modify it under the terms of the GNU Lesser General Public
---! License as published by the Free Software Foundation; either
---! version 3.0 of the License, or (at your option) any later version.
---! This library is distributed in the hope that it will be useful,
---! but WITHOUT ANY WARRANTY; without even the implied warranty of
---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
---! Lesser General Public License for more details.<br>
---! You should have received a copy of the GNU Lesser General Public
---! License along with this library.
 --! 
 -- 
 --! @brief ieee
diff --git a/sources/ttc/ttc_busy/ttc_busy_limit_timer.vhd b/sources/ttc/ttc_busy/ttc_busy_limit_timer.vhd
index 1f590a1cb..7aecc21cf 100644
--- a/sources/ttc/ttc_busy/ttc_busy_limit_timer.vhd
+++ b/sources/ttc/ttc_busy/ttc_busy_limit_timer.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Soo Ryu
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 -------------------------------------------------------------------------------
 --  Argonne National Laboratory
 -------------------------------------------------------------------------------
diff --git a/sources/ttc/ttc_busy/ttc_busy_limiter.vhd b/sources/ttc/ttc_busy/ttc_busy_limiter.vhd
index f7439ecb5..69d147112 100644
--- a/sources/ttc/ttc_busy/ttc_busy_limiter.vhd
+++ b/sources/ttc/ttc_busy/ttc_busy_limiter.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Soo Ryu
+--!               Frans Schreuder
+--!               Alexander Paramonov
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 -------------------------------------------------------------------------------
 --  Argonne National Laboratory
 -------------------------------------------------------------------------------
diff --git a/sources/ttc/ttc_busy/ttc_busy_or.vhd b/sources/ttc/ttc_busy/ttc_busy_or.vhd
index 8dd2ef6aa..7f7089ac1 100644
--- a/sources/ttc/ttc_busy/ttc_busy_or.vhd
+++ b/sources/ttc/ttc_busy/ttc_busy_or.vhd
@@ -1,3 +1,23 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Soo Ryu
+--!               Alexander Paramonov
+--!               Frans Schreuder
+--!               Thei Wijnen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 -------------------------------------------------------------------------------
 --  Argonne National Laboratory
 -------------------------------------------------------------------------------
diff --git a/sources/ttc/ttc_busy/ttc_busy_package.vhd b/sources/ttc/ttc_busy/ttc_busy_package.vhd
index 381c4c950..32e3697d4 100644
--- a/sources/ttc/ttc_busy/ttc_busy_package.vhd
+++ b/sources/ttc/ttc_busy/ttc_busy_package.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Soo Ryu
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 -- Author:   John Anderson
 -------------------------------------------------------------------------------
 -------------------------------------------------------------------------------
diff --git a/sources/ttc/ttc_decoder/TTC_hamming_decoder_alme.vhd b/sources/ttc/ttc_decoder/TTC_hamming_decoder_alme.vhd
index 6f449c3fe..7888a6be6 100644
--- a/sources/ttc/ttc_decoder/TTC_hamming_decoder_alme.vhd
+++ b/sources/ttc/ttc_decoder/TTC_hamming_decoder_alme.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 -------------------------------------------------------------------------------
 -- Title      : Serial B Communication Module
 -- Project    : RCU Trigger Receiver
diff --git a/sources/ttc/ttc_decoder/cdr2a_b_clk.vhd b/sources/ttc/ttc_decoder/cdr2a_b_clk.vhd
index dfa882dd3..32a56eb2a 100644
--- a/sources/ttc/ttc_decoder/cdr2a_b_clk.vhd
+++ b/sources/ttc/ttc_decoder/cdr2a_b_clk.vhd
@@ -1,3 +1,24 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Soo Ryu
+--!               Mesfin Gebyehu
+--!               Alexander Paramonov
+--!               Thei Wijnen
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --=================================================================================================--
 --==================================== Module Information =========================================--
 --=================================================================================================--
diff --git a/sources/ttc/ttc_decoder/pll_160MHz.vhd b/sources/ttc/ttc_decoder/pll_160MHz.vhd
index 1949d1155..f419621b3 100644
--- a/sources/ttc/ttc_decoder/pll_160MHz.vhd
+++ b/sources/ttc/ttc_decoder/pll_160MHz.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 -- file: dcm_replacement.vhd
 -- 
 -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
diff --git a/sources/ttc/ttc_decoder/ttc_decoder_core.vhd b/sources/ttc/ttc_decoder/ttc_decoder_core.vhd
index e94096f3a..4e2b8d885 100644
--- a/sources/ttc/ttc_decoder/ttc_decoder_core.vhd
+++ b/sources/ttc/ttc_decoder/ttc_decoder_core.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Soo Ryu
+--!               Frans Schreuder
+--!               Alexander Paramonov
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 --=================================================================================================--
 --==================================== Module Information =========================================--
 --=================================================================================================--
diff --git a/sources/ttc/ttc_decoder/ttc_fmc_wrapper_xilinx.vhd b/sources/ttc/ttc_decoder/ttc_fmc_wrapper_xilinx.vhd
index 36e1e1e06..dc19091b6 100644
--- a/sources/ttc/ttc_decoder/ttc_fmc_wrapper_xilinx.vhd
+++ b/sources/ttc/ttc_decoder/ttc_fmc_wrapper_xilinx.vhd
@@ -1,3 +1,29 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Soo Ryu
+--!               Israel Grayzman
+--!               Kai Chen
+--!               Ricardo Luz
+--!               Thei Wijnen
+--!               Alessandra Camplani
+--!               Ohad Shaked
+--!               Alexander Paramonov
+--!               Ali Skaf
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 library ieee;
 use ieee.std_logic_1164.all;
 use ieee.std_logic_arith.all;
diff --git a/sources/ttc/ttc_emulator.vhd b/sources/ttc/ttc_emulator.vhd
index 3671b5e70..2d51a6c73 100644
--- a/sources/ttc/ttc_emulator.vhd
+++ b/sources/ttc/ttc_emulator.vhd
@@ -1,3 +1,22 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Andrea Borga
+--!               Frans Schreuder
+--!               Thei Wijnen
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 -- Company: 
 -- Engineer: 
diff --git a/sources/ttc/ttc_fmc_top.vhd b/sources/ttc/ttc_fmc_top.vhd
index a234f3e11..3ecc738cd 100644
--- a/sources/ttc/ttc_fmc_top.vhd
+++ b/sources/ttc/ttc_fmc_top.vhd
@@ -1,3 +1,20 @@
+--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+--! Authors:
+--!               Frans Schreuder
+--! 
+--!   Licensed under the Apache License, Version 2.0 (the "License");
+--!   you may not use this file except in compliance with the License.
+--!   You may obtain a copy of the License at
+--!
+--!       http://www.apache.org/licenses/LICENSE-2.0
+--!
+--!   Unless required by applicable law or agreed to in writing, software
+--!   distributed under the License is distributed on an "AS IS" BASIS,
+--!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+--!   See the License for the specific language governing permissions and
+--!   limitations under the License.
+
 ----------------------------------------------------------------------------------
 -- Company: 
 -- Engineer: 
-- 
GitLab