diff --git a/sources/CRToHost/ToHostAxiStreamController.vhd b/sources/CRToHost/ToHostAxiStreamController.vhd index d246abf225efd4369d2721a7dfb93ed649d45f18..1a9d175b23b3ba60ae87c108fb06a65042210446 100644 --- a/sources/CRToHost/ToHostAxiStreamController.vhd +++ b/sources/CRToHost/ToHostAxiStreamController.vhd @@ -112,7 +112,9 @@ architecture Behavioral of ToHostAxiStreamController is signal timeOutCnt : std_logic_vector ((toHostTimeoutBitn-1) downto 0); -- @ clk240 domain - signal is_soc : std_logic_vector(STREAMS_TOHOST-1 downto 0); --This is the next valid word after s_axis.tlast. + signal is_soc : std_logic; --This is the next valid word after s_axis.tlast. + signal is_soc_all_streams : std_logic_vector(STREAMS_TOHOST downto 0); --This is the next valid word after s_axis.tlast. + signal next_is_soc, update_is_soc: std_logic; --Handshake signals between processes to update is_soc signal stream_select_s: integer range 0 to STREAMS_TOHOST; signal stream_select_p1_s: integer range 0 to STREAMS_TOHOST; --pipelined version signal stream_select_switched: std_logic; @@ -210,6 +212,8 @@ begin startSearchingAt <= 0; stream_select_and_value <= (others => '0'); SwitchAxiStream <= '0'; + is_soc_all_streams <= (others => '1'); + update_is_soc <= '0'; else SwitchAxiStream <= '0'; @@ -230,9 +234,11 @@ begin -- end if; stream_select_switched <= '0'; - + update_is_soc <= '0'; --Indication that we reached the end of a block, or no stream is selected (stream_select_s = STREAMS_TOHOST) if (SwitchAxiStream = '1' or (stream_select_s = STREAMS_TOHOST and nextFound = '1')) then + is_soc_all_streams(stream_select_s) <= is_soc; --Store for the next block with the same AXIs ID + --Start searching for available data each time at a different start point. if startSearchingAt /= STREAMS_TOHOST-1 then startSearchingAt <= startSearchingAt + 1; @@ -242,6 +248,10 @@ begin stream_select_and_value <= (others => '0'); --NextFound indicates that we have found data in a FIFO if nextFound = '1' and prog_full_in = '0' and (s_axis_prog_empty_in(nextAvailable_v) = '0' or s_axis_timeout_cnt(nextAvailable_v)(1) = '1') then --we found it, but let's check if data is still available + next_is_soc <= is_soc_all_streams(nextAvailable_v); + if stream_select_s /= nextAvailable_v then + update_is_soc <= '1'; + end if; stream_select_s <= nextAvailable_v; stream_select_and_value(nextAvailable_v) <= '1'; nextAvailable_v := startSearchingAt; @@ -440,7 +450,7 @@ begin reset_chunkCounter_chunk <= '0'; create_trailer <= '0'; create_zero_trailer <= '0'; - is_soc <= (others => '1'); + is_soc <= '1'; first_subchunk := (others => '0'); last_subchunk := (others => '0'); create_trailer_v := '0'; @@ -455,6 +465,9 @@ begin create_trailer_v := '0'; create_trailer <= '0'; stream_select_p1_s <= stream_select_s; --1 clock delayed stream_select for is_soc generation. + if(update_is_soc = '1') then + is_soc <= next_is_soc; + end if; if(s_axis.tvalid = '1' and s_axis_tready_s = '1') then if(s_axis.tuser(0) = '1') then -- Check for CRC error flag in tuser CRCErrorFlag := '1'; @@ -484,8 +497,8 @@ begin --First / last subchunk generation. if(s_axis.tvalid = '1' and s_axis_tready_s = '1') then - if(is_soc(stream_select_s) = '1') then - is_soc(stream_select_s) <= '0'; + if(is_soc = '1') then + is_soc <= '0'; first_subchunk(stream_select_s) := '1'; last_subchunk(stream_select_s) := '0'; end if; @@ -493,7 +506,7 @@ begin create_trailer <= '1'; create_trailer_v := '1'; last_subchunk(stream_select_s) := '1'; - is_soc(stream_select_s) <= '1'; + is_soc <= '1'; end if; end if; @@ -516,11 +529,11 @@ begin if create_zero_trailer = '1' then create_zero_trailer <= '0'; if chunk_trailer(12) = '1' then --Truncation flag was set - is_soc(stream_select_p1_s) <= '1'; + is_soc <= '1'; end if; end if; if ( create_timeout_trailer = '1' and create_trailer = '0' and create_zero_trailer = '0') then -- implement timout mechanism. Check reset chunk counter to make sure we don't get 2 timeoout trailers next to each other. - is_soc(stream_select_s) <= '1'; + is_soc <= '1'; first_subchunk(stream_select_s) := '0'; last_subchunk(stream_select_s) := '0'; end if;