diff --git a/sources/FullModeDataEmulator/FullModeDataEmulator.vhd b/sources/FullModeDataEmulator/FullModeDataEmulator.vhd index 51d7f73518b6282c154b638fa71654439ea6f82d..604e56eb8b56a417312e5e46c04c3e63ccd0ba66 100644 --- a/sources/FullModeDataEmulator/FullModeDataEmulator.vhd +++ b/sources/FullModeDataEmulator/FullModeDataEmulator.vhd @@ -199,6 +199,9 @@ end process; -- emulator ram --------------------------------------------------------------------------------------- g_blockram: if use_blockram generate + signal reset_sync_appregclk : std_logic; + signal reset_sync : std_logic; +begin emuram_00 : xpm_memory_tdpram generic map ( -- @suppress "Generic map uses default values. Missing optional actuals: USE_MEM_INIT_MMI, WRITE_PROTECT" @@ -248,8 +251,8 @@ g_blockram: if use_blockram generate injectsbiterrb => '0', injectdbiterrb => '0', clkb => clk240, - rsta => aresetn_sync_appregclk, - rstb => aresetn_sync, + rsta => reset_sync_appregclk, + rstb => reset_sync, enb => '1', regcea => '1', regceb => '1', @@ -260,6 +263,9 @@ g_blockram: if use_blockram generate dbiterra => open, dbiterrb => open ); + + reset_sync_appregclk <= not aresetn_sync_appregclk; + reset_sync <= not aresetn_sync; register_map_gbtemu_monitor.FE_EMU_READ.DATA <= emuram_douta(32 downto 0); --