From 6953dceeba0c61c5d06e6fc5f2ea757f6665097c Mon Sep 17 00:00:00 2001
From: Thei Wijnen <t.wijnen@hef.ru.nl>
Date: Sat, 4 Apr 2020 11:47:42 +0200
Subject: [PATCH] New CRFM_felixmrod and merged Master

Merged master code (Wupper, CR and housekeeping related) and new versions for CRFM_felixmrod and related.
---
 sources/FelixMROD/Busy_TTC.vhd                |   8 +-
 sources/FelixMROD/CRFM_felixmrod.vhd          | 122 +++-
 sources/FelixMROD/CR_Wupper.vhd               |  94 ++-
 sources/FelixMROD/CSMHandler.vhd              |  10 +-
 sources/FelixMROD/CareTaker.vhd               |  20 +-
 sources/FelixMROD/EvtMux.vhd                  |  17 +-
 sources/FelixMROD/GetControls.vhd             |  28 +-
 sources/FelixMROD/GetTRXControl.vhd           |  25 +-
 sources/FelixMROD/Transceiver-dum.vhd         | 103 ---
 sources/FelixMROD/Transceiver.vhd             | 640 ++----------------
 sources/FelixMROD/Transceiver_Quad.vhd        | 621 +++++++++++++++++
 sources/FelixMROD/TrxBuffer.vhd               |  12 +-
 .../{TrxBuffer-dum.vhd => TrxBuffer_1CH.vhd}  |  14 +-
 sources/FelixMROD/TxDriver.vhd                |  12 +-
 sources/FelixMROD/felix_mrod_package.vhd      |   9 +
 sources/FelixMROD/felix_mrod_top.vhd          |  61 +-
 .../FelixMROD/housekeeping_module_mrod.vhd    |  19 +-
 sources/FelixMROD/register_map_sync.vhd       |  71 +-
 sources/FelixMROD/thFMch_fifo_driver_mrod.vhd |  26 +-
 sources/FelixMROD/thFMdm_mrod.vhd             |  30 +-
 sources/FelixMROD/thfmPCIeManager_mrod.vhd    |  16 +-
 sources/centralRouter/CRFM.vhd                |  16 +-
 sources/centralRouter/thFMch_fifo_driver.vhd  | 113 +++-
 sources/centralRouter/thFMdm.vhd              |  20 +-
 sources/centralRouter/thfmPCIeManager.vhd     |  15 +-
 .../housekeeping/GenericConstantsToRegs.vhd   |  80 ++-
 sources/pcie/register_map_sync.vhd            |  18 +-
 sources/pcie/wupper.vhd                       |  17 +-
 sources/pcie/wupper_core.vhd                  |  83 +--
 sources/templates/build-doc.sh                |  15 +-
 sources/templates/build-html.sh               |   3 +-
 sources/templates/build.sh                    |   7 +-
 sources/templates/dma_control.vhd             |  81 +--
 sources/templates/dma_control.vhd.template    |  13 +-
 sources/templates/pcie_package.vhd            |  95 ++-
 sources/templates/pcie_package.vhd.template   |   1 +
 sources/templates/registermap.tex             | 161 +++--
 sources/templates/registers-4.10.yaml         | 116 ++--
 sources/templates/registers-5.0.yaml          |   0
 sources/templates/registers.pdf               | Bin 204908 -> 205969 bytes
 sources/templates/registers.tex               |   4 +-
 41 files changed, 1569 insertions(+), 1247 deletions(-)
 delete mode 100644 sources/FelixMROD/Transceiver-dum.vhd
 create mode 100644 sources/FelixMROD/Transceiver_Quad.vhd
 rename sources/FelixMROD/{TrxBuffer-dum.vhd => TrxBuffer_1CH.vhd} (92%)
 mode change 100644 => 100755 sources/templates/build-doc.sh
 mode change 100755 => 100644 sources/templates/registers-5.0.yaml

diff --git a/sources/FelixMROD/Busy_TTC.vhd b/sources/FelixMROD/Busy_TTC.vhd
index 92f7c40a7..2bfc95e55 100644
--- a/sources/FelixMROD/Busy_TTC.vhd
+++ b/sources/FelixMROD/Busy_TTC.vhd
@@ -14,7 +14,7 @@
 --!-----------------------------------------------------------------------------
 --! @object     Entity design.Busy_TTC
 --! =project    FELIX_MROD
---! @modified   Mon Nov 11 13:46:31 2019
+--! @modified   Thu Mar 26 17:13:28 2020
 --!-----------------------------------------------------------------------------
 
 library ieee, work;
@@ -33,7 +33,6 @@ entity Busy_TTC is
     generateTTCemu : boolean := false);
   port (
     BUSY_INTERRUPT    : out    std_logic;
-    BUSY_OUT          : out    std_logic;
     CDRlocked         : out    std_logic;
     CLK_TTC_N         : in     std_logic;
     CLK_TTC_P         : in     std_logic;
@@ -45,6 +44,7 @@ entity Busy_TTC is
     DATA_TTC_P        : in     std_logic;
     LOL_ADN           : in     std_logic;
     LOS_ADN           : in     std_logic;
+    MasterBusy        : out    std_logic;
     TTC_ToHost_Data   : out    TTC_ToHost_data_type;
     TTCout            : out    std_logic_vector(9 downto 0);
     clk40             : in     std_logic;
@@ -60,7 +60,7 @@ end entity Busy_TTC;
 --!-----------------------------------------------------------------------------
 --! @object     Architecture design.Busy_TTC.a0
 --! =project    FELIX_MROD
---! @modified   Mon Nov 11 13:46:31 2019
+--! @modified   Thu Mar 26 17:13:28 2020
 --!-----------------------------------------------------------------------------
 
 
@@ -147,7 +147,7 @@ begin
       ttc_TTC_BUSY_mon_array(i) <= TTC_BUSY_mon_array(i);
   end generate g3;
 
-  BUSY_OUT <= BUSY_OUT_s;       -- any busy request from entity TTC_BUSY
+  MasterBusy <= BUSY_OUT_s;       -- any busy request from entity MasterBusy
 
   u1: TTCdataSwitch
     generic map(
diff --git a/sources/FelixMROD/CRFM_felixmrod.vhd b/sources/FelixMROD/CRFM_felixmrod.vhd
index 7fc9d2c16..49365a244 100644
--- a/sources/FelixMROD/CRFM_felixmrod.vhd
+++ b/sources/FelixMROD/CRFM_felixmrod.vhd
@@ -11,7 +11,7 @@
 --! @version    FELIX_MROD: Interface to GOL links coming from the MDT Chambers.
 --! This code replaces the "CRFM" entity, adding special code
 --! to adapt to the readout of the MDT chambers (uses no GBT links to frontend).
---! @modified   Mon Feb 03 10:20:00 2020
+--! @modified   Thu Apr 02 10:20:00 2020
 --!-----------------------------------------------------------------------------
 
 --! Use standard library
@@ -52,12 +52,16 @@ generic (
     EnableFrHo_Egroup4Eproc8_8b10b  : boolean := true;
     wideMode                : boolean := false; -- [set in top module]
     STATIC_CENTRALROUTER    : boolean := false;  -- removes update process from central router register map, only initial constant values are used
+    FIRMWARE_MODE           : integer := 1;
     --
     FMCH_NUM                : integer := 1;
     toHostTimeoutBitn       : integer := 8;
     GENERATE_XOFF           : boolean := true;
     CARD_TYPE               : integer := 712;
-    CREnableFromHost        : boolean := true
+    CREnableFromHost        : boolean := true;
+    SUPER_CHUNK_FACTOR      : integer := 1;
+    BLOCKSIZE               : integer := 1024;
+    CHUNK_TRAILER_32B       : boolean := false
     );
 port  ( 
     clk40   : in  std_logic; 
@@ -132,7 +136,8 @@ signal fhMUXdout_valid, thMUXdin_rdy, thMUXdin_rdy_s : std_logic := '0';
 type gbtFHconfig_array_type is array (0 to (GBT_NUM-1)) of crUpstreamConfig_type;
 signal gbtFHconfig_array : gbtFHconfig_array_type;
 
-signal THtransferENA, crOUTfifo_pfull, croutfifo_full, DownFifoPEmpty_s, croutfifo_empty : std_logic;
+signal THtransferENA, DownFifoPEmpty_s : std_logic;
+signal crOUTfifo_pfull, croutfifo_full, croutfifo_empty : std_logic;
 signal fmch_monitor_array : fmch_monitor_array_type(0 to FMCH_NUM-1);
 ----
 signal busyOut_array : busyOut_array_type (0 to (FMCH_NUM-1));
@@ -155,6 +160,7 @@ signal register_queue_array : register_queue_array_type;
 signal fhFifoDout_rdy : std_logic := '0';
 signal fhFifoDout  : std_logic_vector (255 downto 0);
 signal thFMbusyOut_s : std_logic_vector ((FMCH_NUM-1) downto 0); 
+signal thFMXoff_s : std_logic;
 
 signal UpFifoFull_mon_array : UpFifoFull_mon_array_type(0 to 23);
 
@@ -163,8 +169,6 @@ signal TTC_DELAY_array : TTC_DELAY_array_type;
 
 signal auxUpstreamConfig : std_logic_vector (0 downto 0); 
 
-signal thFMXoff_s : std_logic;
-
 signal upfifoDoutClk : std_logic;
 constant FMCH_NUM_ZEROS: std_logic_vector(FMCH_NUM-1 downto 0) := (others => '0');
 
@@ -181,22 +185,16 @@ end function;
 signal ExtendedTestPulse    : std_logic; -- NSW required a 32x 40MHz clock pulse, 
 signal TestPulseCounter     : integer range 0 to 31; -- 32 states counter
 
-constant felix_mrod  : boolean := true;
-
 ------------------------------------------------------------------------------------------
 
 begin
 
-do_g0:  if (felix_mrod = true) generate
+do_g0: if (FIRMWARE_MODE = 8) generate  -- firmware for FelixMROD
     -- Assign clock (FromHost direction)
     upfifoDoutClk <= clk80;
-    -- cr_monitor unused
-    -- register_map_cr_monitor.IC_FROMHOST_FIFO <= (others => (others => '0'));
-    -- register_map_cr_monitor.IC_TOHOST_FIFO <= (others => (others => '0'));
-
 end generate do_g0;
 
-do_g1:  if (felix_mrod = false) generate
+do_g1: if (FIRMWARE_MODE /= 8) generate     -- not for FelixMROD
     --Assign multiplexer clock according to the number of channels (FromHost direction), we need at least 12.5MHz per GBT_NUM
 g_upfifoclk_40M: if GBT_NUM <= 3 generate
     upfifoDoutClk <= clk40;
@@ -232,7 +230,7 @@ port map(
 --
 
 
-do_g2: if (felix_mrod = false) generate
+do_g2: if (FIRMWARE_MODE /= 8) generate     -- not for FelixMROD
 
 ------------------------------------------------------------------------------------------
 -- CRFM monitor register connection module
@@ -433,7 +431,7 @@ port map(
     );
 -- 
 end generate crFH;
-
+--
 ------------------------------------------------------------
 -- from Host input fifo
 ------------------------------------------------------------
@@ -442,20 +440,22 @@ end generate crFH;
 UpFifoPfull_or  <= '0' when (UpFifoPfull_array = zerosGBT_NUMarray) else '1'; -- can't write to at least one GBT wm fifo -> stop reading from crINfifo
 crINfifo_re     <= (not crINfifo_empty) and (not UpFifoPfull_or) and (not cr_rst);
 --
-
 fhFifoDout<= fromHostFifo_dout;
 fromHostFifo_rd_en <= crINfifo_re;
 crINfifo_empty <= fromHostFifo_empty;
 fromHostFifo_rd_clk <= upfifoDoutClk;
 fromHostFifo_rst <= cr_fifo_flush;
-
+--
+fhXoff  <= '0' when (fhXoff_array = zerosGBT_NUMarray) else '1';
+--
 end generate g_enableFromHost;
 
-do_g3: if (CREnableFromHost = false and felix_mrod = true) generate
+do_g3: if (CREnableFromHost = false and FIRMWARE_MODE = 8) generate     -- for FelixMROD
 
+fhXoff_array   <= (others => '0');  -- no fhXoff_array output from GBTdmUpstream
+UpFifoPfull_or <= '0';              -- no UpFifoPfull_array output from GBTdmUpstream
+crINfifo_re    <= '1' when ((crINfifo_empty = '0') and (UpFifoPfull_or = '0') and (cr_rst = '0')) else '0';
 -- if I can't write (UpFifoPfull/=0) to at least one GBT wm fifo, then stop reading from crINfifo
-crINfifo_re <= '1' when ((crINfifo_empty = '0') and (cr_rst = '0')) else '0'; -- no UpFifoPfull_array
-fhXoff_array <= (others => '0');  -- no fhXoff_array and UpFifoPfull_array output from GBTdmUpstream
 
 fhFifoDout<= fromHostFifo_dout;
 fromHostFifo_rd_en <= crINfifo_re;
@@ -463,15 +463,14 @@ crINfifo_empty <= fromHostFifo_empty;
 fromHostFifo_rd_clk <= upfifoDoutClk;
 fromHostFifo_rst <= cr_fifo_flush;
 
-end generate do_g3;
+fhXoff  <= '0' when (fhXoff_array = zerosGBT_NUMarray) else '1';
 
+end generate do_g3;
 
 --
 --fhFifoPfull <= fhFifoPfull_s; -- goes to wupper
 --
-fhXoff  <= '0' when (fhXoff_array = zerosGBT_NUMarray) else '1';
 --
-
 process(upfifoDoutClk) -- 1-clock pipeline 
 begin
     if rising_edge(upfifoDoutClk) then
@@ -500,13 +499,17 @@ begin
 thFMdmN: entity work.thFMdm
 generic map(
     FMCHid                  => I,
+    FIRMWARE_MODE           => FIRMWARE_MODE,
     STATIC_CENTRALROUTER    => STATIC_CENTRALROUTER,
-    toHostTimeoutBitn       => toHostTimeoutBitn
+    toHostTimeoutBitn       => toHostTimeoutBitn,
+    SUPER_CHUNK_FACTOR      => SUPER_CHUNK_FACTOR,
+    BLOCKSIZE               => BLOCKSIZE,
+    CHUNK_TRAILER_32B       => CHUNK_TRAILER_32B
     )
 port map(
     clk40                      => clk40,
     clk250                     => clk250,
-    clk_wrth                   => clk80,    --
+    clk_wrth                   => clk80,    -- clock for write to host
     rst                        => cr_rst,
     register_map_control       => register_map_control, 
     register_map_control_appreg_clk     => register_map_control_appreg_clk, --register_map_control,
@@ -523,7 +526,7 @@ port map(
     fmchFifo_dvalid     => fmchFifo_dvalid_array(I),
     fmchFifo_hasBlock   => fmchFifo_hasBlock_array(I), -- out, 'block_ready' flag
     fmchXoffout         => fmchXoff_array(I), -- out test purposes only, flag to a data source to stop transmitting
-    fmchHighThreshCrossed      => register_map_xoff_monitor.XOFF_FM_HIGH_THRESH.CROSS_LATCHED(I+24),  -- max. 24
+    fmchHighThreshCrossed      => register_map_xoff_monitor.XOFF_FM_HIGH_THRESH.CROSS_LATCHED(I+24),
     fmchHighThreshCrossedLatch => register_map_xoff_monitor.XOFF_FM_HIGH_THRESH.CROSSED(I),
     fmchLowThreshCrossed       => register_map_xoff_monitor.XOFF_FM_LOW_THRESH_CROSSED(I),
     --fmchXoffin          => croutfifo_full, -- in, crOUTfifo is pfull 4060/3830 (out of 4096), stop writing flag 
@@ -558,7 +561,7 @@ port  map(
     -----
     TTC_ToHost_emu_ena  => register_map_control.CR_TTC_TOHOST.EMU_ENABLE(2),                        -- IG: enabling the emulator
     TTC_ToHost_Fake_ena => register_map_control.CR_TTC_TOHOST.EMU_FAKE_READY_ENABLE(63),            -- IG: enable the fake ready signals from the emulator
-    TTC_ToHost_Fake_Val => register_map_control.CR_TTC_TOHOST.EMU_FAKE_READY_VALUE(60 downto 48),   -- IG: set the value of the fake ready signals counter (vector is one bit bigger then the TTC_ToHost_TO_max) 
+    TTC_ToHost_Fake_Val => register_map_control.CR_TTC_TOHOST.EMU_FAKE_READY_VALUE(60 downto 48),   -- IG: set the value of the fake ready signals counter (vector is one bit bigger then the TTC_ToHost_TO_max)
     -----
     FIFOdout      => fmchFifo_dout_array(FMCH_NUM),
     FIFOhasBlock  => fmchFifo_hasBlock_array(FMCH_NUM),
@@ -574,11 +577,12 @@ port  map(
 ------------------------------------------------------------
 THPCIeM: entity work.thfmPCIeManager 
 generic map(
-    FMCH_NUM => FMCH_NUM+1
+    FMCH_NUM => FMCH_NUM+1,
+    BLOCKSIZE => BLOCKSIZE
     )
 port map(
     clk             => clk250,
-    rst             => cr_rst,                  -- reset is deasserted after fifo flush!
+    rst             => cr_rst,              -- reset is deasserted after fifo flush!
     thch_rdy_array  => fmchFifo_hasBlock_array, -- in, 'block_ready' flags from GBTdms
     PCIe_ena        => THtransferENA,       -- in, '1' when crOUTfifo is ready to accept 1 block (not fifo pfull)
     thch_sel        => thch_sel,            -- out, data mux select
@@ -611,12 +615,12 @@ dataMUXn: entity work.MUXn_d256b (Behavioral)
     sel_bitn => 5   -- max. 24
     )
   port map(
-    clk            => clk250,
-    data           => fmchFifo_dout_array,
-    data_rdy       => thMUXdin_rdy,
-    sel            => thMUXsel,
-    data_out       => fhMUXdout,
-    data_out_rdy   => fhMUXdout_valid
+    clk             => clk250,
+    data            => fmchFifo_dout_array,
+    data_rdy        => thMUXdin_rdy,
+    sel             => thMUXsel,
+    data_out        => fhMUXdout,
+    data_out_rdy    => fhMUXdout_valid
     );
 --
 ------------------------------------------------------------
@@ -668,10 +672,10 @@ begin
     elsif rising_edge(clk40) then
         for i in 0 to FMCH_NUM-1 loop
             if(thFMbusyOut_s(i) = '1') then
-                register_map_xoff_monitor.FM_BUSY_CHANNEL_STATUS.BUSY_LATCHED(i+24) <= '1';  -- max. 24
+                register_map_xoff_monitor.FM_BUSY_CHANNEL_STATUS.BUSY_LATCHED(i+24) <= '1';
             end if;
             if(register_map_control.FM_BUSY_CHANNEL_STATUS.CLEAR_LATCH="1") then
-                register_map_xoff_monitor.FM_BUSY_CHANNEL_STATUS.BUSY_LATCHED(i+24) <= '0';  -- max. 24
+                register_map_xoff_monitor.FM_BUSY_CHANNEL_STATUS.BUSY_LATCHED(i+24) <= '0';
             end if;
             register_map_xoff_monitor.FM_BUSY_CHANNEL_STATUS.BUSY(i) <= thFMbusyOut_s(i);
         end loop;
@@ -705,5 +709,49 @@ begin
 end process;
 
 
+do_g10: if (FIRMWARE_MODE = 8) generate  -- firmware for FelixMROD
+    -- drive unused data from host to gbt
+    do_g11: for i in 0 to (GBT_NUM-1) generate
+      fhOutData_array(i) <= (others => '0');
+    end generate do_g11;
+    --
+    -- drive unused registers xoff_monitor.dma...
+    register_map_xoff_monitor.DMA_BUSY_STATUS.FROMHOST_BUSY <= (others => '0');
+    register_map_xoff_monitor.DMA_BUSY_STATUS.TOHOST_BUSY   <= (others => '0');
+    register_map_xoff_monitor.DMA_BUSY_STATUS.FROMHOST_BUSY_LATCHED <= (others => '0');
+    register_map_xoff_monitor.DMA_BUSY_STATUS.TOHOST_BUSY_LATCHED   <= (others => '0');
+    register_map_cr_monitor.MAX_TIMEOUT <= (others => '0');
+    --
+    -- drive unused registers cr_monitor.ic...
+    do_g12: for i in 0 to (GBT_NUM-1) generate
+      register_map_cr_monitor.IC_FROMHOST_FIFO(i).FULL  <= (others => '0');
+      register_map_cr_monitor.IC_TOHOST_FIFO(i).EMPTY   <= (others => '0'); 
+      register_map_cr_monitor.IC_TOHOST_FIFO(i).DATAOUT <= (others => '0');
+    end generate do_g12;
+    -- drive unused registers cr_monitor.cr_tohost_gbt_mon...
+    do_g13: for i in 0 to (GBT_NUM-1) generate
+      register_map_cr_monitor.CR_TOHOST_GBT_MON(i).CROUTFIFO_PROG_FULL <= (others => '0');
+      register_map_cr_monitor.CR_TOHOST_GBT_MON(i).WMFIFO_FULL         <= (others => '0');
+      register_map_cr_monitor.CR_TOHOST_GBT_MON(i).MINI_EGROUP_ALMOST_FULL <= (others => '0');
+      register_map_cr_monitor.CR_TOHOST_GBT_MON(i).EPATH0_ALMOST_FULL  <= (others => '0');
+      register_map_cr_monitor.CR_TOHOST_GBT_MON(i).EPATH1_ALMOST_FULL  <= (others => '0');
+      register_map_cr_monitor.CR_TOHOST_GBT_MON(i).EPATH2_ALMOST_FULL  <= (others => '0');
+      register_map_cr_monitor.CR_TOHOST_GBT_MON(i).EPATH3_ALMOST_FULL  <= (others => '0');
+      register_map_cr_monitor.CR_TOHOST_GBT_MON(i).EPATH4_ALMOST_FULL  <= (others => '0');
+      register_map_cr_monitor.CR_TOHOST_GBT_MON(i).EPATH5_ALMOST_FULL  <= (others => '0');
+      register_map_cr_monitor.CR_TOHOST_GBT_MON(i).EPATH6_ALMOST_FULL  <= (others => '0');
+    end generate do_g13;
+    -- drive unused registers cr_monitor.cr_fromhost_gbt_mon...
+    do_g14: for i in 0 to (GBT_NUM-1) generate
+      -- register_map_cr_monitor.CR_FROMHOST_GBT_MON(i).xxx <= (others => '0');
+      register_map_cr_monitor.CR_FROMHOST_GBT_MON(i).MINI_EGROUP_ALMOST_FULL <= (others => '0');
+      register_map_cr_monitor.CR_FROMHOST_GBT_MON(i).EPATH0_ALMOST_FULL <= (others => '0');
+      register_map_cr_monitor.CR_FROMHOST_GBT_MON(i).EPATH1_ALMOST_FULL <= (others => '0');
+      register_map_cr_monitor.CR_FROMHOST_GBT_MON(i).EPATH2_ALMOST_FULL <= (others => '0');
+      register_map_cr_monitor.CR_FROMHOST_GBT_MON(i).EPATH3_ALMOST_FULL <= (others => '0');
+      register_map_cr_monitor.CR_FROMHOST_GBT_MON(i).EPATH4_ALMOST_FULL <= (others => '0');
+    end generate do_g14;
+end generate do_g10;
+
 end Behavioral;
 
diff --git a/sources/FelixMROD/CR_Wupper.vhd b/sources/FelixMROD/CR_Wupper.vhd
index f0fba404b..ed1903d35 100644
--- a/sources/FelixMROD/CR_Wupper.vhd
+++ b/sources/FelixMROD/CR_Wupper.vhd
@@ -14,7 +14,7 @@
 --!-----------------------------------------------------------------------------
 --! @object     Entity design.CR_Wupper
 --! =project    FELIX_MROD
---! @modified   Wed Jan 29 12:04:03 2020
+--! @modified   Fri Apr 03 20:45:11 2020
 --!-----------------------------------------------------------------------------
 
 library ieee, work;
@@ -43,7 +43,11 @@ entity CR_Wupper is
     STATIC_CENTRALROUTER           : boolean := true;
     CREnableFromHost               : boolean := true;
     toHostTimeoutBitn              : integer := 10;
+    BLOCKSIZE                      : integer := 1024;
+    CHUNK_TRAILER_32B              : boolean := false;
+    SUPER_CHUNK_FACTOR             : integer := 1;
     wideMode                       : boolean := false;
+    FIRMWARE_MODE                  : integer := 1;
     BUILD_DATETIME                 : std_logic_vector(39 downto 0) := x"0000FE71CE";
     GIT_HASH                       : std_logic_vector(159 downto 0) := x"0000000000000000000000000000000000000000";
     GIT_TAG                        : std_logic_vector(127 downto 0) := x"00000000000000000000000000000000";
@@ -74,11 +78,16 @@ entity CR_Wupper is
     BUSY_INTERRUPT    : in     std_logic;
     CRBusyOut         : out    std_logic_vector(NUMCH-1 downto 0);
     CR_FIFO_Busy      : out    std_logic;
+    CSM0Monitor       : in     regs_csm_monitor;
+    CSM1Monitor       : in     regs_csm_monitor;
     ChBusy            : out    std_logic_vector(NUMCH-1 downto 0);
     ChData            : in     slv33_array(0 to NUMCH-1);
     ChValid           : in     std_logic_vector(NUMCH-1 downto 0);
+    MasterBusy        : in     std_logic;
     TTC_ToHost_Data   : in     TTC_ToHost_data_type;
     TTCout            : in     std_logic_vector(9 downto 0);
+    Trx0Monitor       : in     regs_trx_monitor;
+    Trx1Monitor       : in     regs_trx_monitor;
     clk160            : in     std_logic;
     clk250            : in     std_logic;
     clk40             : in     std_logic;
@@ -99,8 +108,6 @@ entity CR_Wupper is
     prmap_app_control : out    register_map_control_type;
     prmap_board_info  : in     register_map_gen_board_info_type;
     prmap_hk_monitor  : in     register_map_hk_monitor_type;
-    prmap_mrodEP0_mon : in     regmap_mrodEP0_monitor_type;
-    prmap_mrodEP1_mon : in     regmap_mrodEP1_monitor_type;
     prmap_ttc_monitor : in     register_map_ttc_monitor_type;
     rst_hw            : in     std_logic;
     rst_soft_40       : out    std_logic;
@@ -112,7 +119,7 @@ end entity CR_Wupper;
 --!-----------------------------------------------------------------------------
 --! @object     Architecture design.CR_Wupper.a0
 --! =project    FELIX_MROD
---! @modified   Wed Jan 29 12:04:03 2020
+--! @modified   Fri Apr 03 20:45:11 2020
 --!-----------------------------------------------------------------------------
 
 
@@ -129,6 +136,10 @@ architecture a0 of CR_Wupper is
       GENERATE_XOFF                   : boolean := true;
       CARD_TYPE                       : integer := 712;
       CREnableFromHost                : boolean := true;
+      BLOCKSIZE                       : integer := 1024;
+      CHUNK_TRAILER_32B               : boolean := false;
+      SUPER_CHUNK_FACTOR              : integer := 1;
+      FIRMWARE_MODE                   : integer := 1;
       EnableFrHo_Egroup0Eproc2_HDLC   : boolean := false;
       EnableFrHo_Egroup0Eproc2_8b10b  : boolean := false;
       EnableFrHo_Egroup0Eproc4_8b10b  : boolean := false;
@@ -199,13 +210,13 @@ architecture a0 of CR_Wupper is
       register_map_gen_board_info : in     register_map_gen_board_info_type;
       register_map_hk_monitor     : in     register_map_hk_monitor_type;
       register_map_monitor        : out    register_map_monitor_type;
-      register_mrodEP0_mon        : in     regmap_mrodEP0_monitor_type;
-      register_mrodEP1_mon        : in     regmap_mrodEP1_monitor_type;
+      register_mrod_monitor       : in     regmap_mrod_monitor_type;
       register_map_ttc_monitor    : in     register_map_ttc_monitor_type;
       register_map_xoff_monitor   : in     register_map_xoff_monitor_type;
       rst_hw                      : in     std_logic;
       rst_soft_40                 : out    std_logic;
-      rst_soft_appregclk          : in     std_logic);
+      rst_soft_appregclk          : in     std_logic;
+      master_busy_in              : in     std_logic);
   end component register_map_sync;
 
   -- IP VLNV: xilinx.com:ip:fifo_generator:13.2
@@ -310,8 +321,7 @@ architecture a0 of CR_Wupper is
   signal register_map_cr_monitor                : register_map_cr_monitor_type;
   signal register_map_gbt_monitor               : register_map_gbt_monitor_type;
   signal register_map_gen_board_info            : register_map_gen_board_info_type;
-  signal register_mrodEP0_mon                   : regmap_mrodEP0_monitor_type;
-  signal register_mrodEP1_mon                   : regmap_mrodEP1_monitor_type;
+  signal register_mrod_monitor                  : regmap_mrod_monitor_type;
   signal register_map_ttc_monitor               : register_map_ttc_monitor_type;
   signal cr_register_map_xoff_monitor           : register_map_xoff_monitor_type;
   signal interrupt_call                         : std_logic_vector(NUMBER_OF_INTERRUPTS-1 downto 4);
@@ -363,28 +373,29 @@ begin
   thFMlinkValid_array <= ChValid;               -- input valid
   ChBusy              <= thFMbusy_array;        -- FMCR busy
   
-  CRBusyOut(NUMCH-1 downto 0) <= thFMbusy_array(FMCH_NUM-1 downto 0);   --@@GBT_NUM/2-1
+  CRBusyOut(NUMCH-1 downto 0) <= thFMbusy_array(FMCH_NUM-1 downto 0);
 
   rst_soft_40         <= rst_soft_40_0;         -- reset output
   pcie_DMA_Busy       <= pcie_tohost_busy_out;
   pcie_soft_reset     <= reset_soft_pcie;
   pcie_appreg_clk     <= appreg_clk;            --appreg_clk output from pcie
 
-  prmap_app_control             <= pcie_register_map_control_appreg_clk;  -- registers on appreg_clk
-  prmap_40_control              <= pcie_register_map_40_control;          -- registers on clk40
+  prmap_app_control   <= pcie_register_map_control_appreg_clk;  -- registers on appreg_clk
+  prmap_40_control    <= pcie_register_map_40_control;          -- registers on clk40
 
   register_map_hk_monitor       <= prmap_hk_monitor;
   register_map_gen_board_info   <= prmap_board_info;
   register_map_ttc_monitor      <= prmap_ttc_monitor;
-  register_mrodEP0_mon          <= prmap_mrodEP0_mon;
-  register_mrodEP1_mon          <= prmap_mrodEP1_mon;
 
-  -- gbt monitor registers unused
-  --register_map_gbt_monitor      <= prmap_gbt_mon;
+  register_mrod_monitor.MROD_EP0_RXLOCKED(NUMCH-1 downto 0)   <= Trx0Monitor.RXLOCKED(NUMCH-1 downto 0);
+  register_mrod_monitor.MROD_EP0_TXLOCKED(NUMCH-1 downto 0)   <= Trx0Monitor.RXLOCKED(NUMCH-1 downto 0);
+  register_mrod_monitor.MROD_EP0_CSMH_EMPTY(NUMCH-1 downto 0) <= CSM0Monitor.CSMH_EMPTY(NUMCH-1 downto 0);
+  register_mrod_monitor.MROD_EP0_CSMH_FULL(NUMCH-1 downto 0)  <= CSM0Monitor.CSMH_FULL(NUMCH-1 downto 0);
 
-  -- gbt emulator unused
-  register_map_emu_monitor.GBT_EMU_CONFIG.RDDATA <= (others => '0');
-  register_map_emu_monitor.GBT_FM_EMU_READ <= (others => '0');
+  register_mrod_monitor.MROD_EP1_RXLOCKED(NUMCH-1 downto 0)   <= Trx1Monitor.RXLOCKED(NUMCH-1 downto 0);
+  register_mrod_monitor.MROD_EP1_TXLOCKED(NUMCH-1 downto 0)   <= Trx1Monitor.RXLOCKED(NUMCH-1 downto 0);
+  register_mrod_monitor.MROD_EP1_CSMH_EMPTY(NUMCH-1 downto 0) <= CSM1Monitor.CSMH_EMPTY(NUMCH-1 downto 0);
+  register_mrod_monitor.MROD_EP1_CSMH_FULL(NUMCH-1 downto 0)  <= CSM1Monitor.CSMH_FULL(NUMCH-1 downto 0);
 
   interrupt_call_i <= interrupt_call(7) & BUSY_INTERRUPT & interrupt_call(5 downto 4);
 
@@ -428,6 +439,10 @@ begin
       GENERATE_XOFF                  => GENERATE_XOFF,
       CARD_TYPE                      => CARD_TYPE,
       CREnableFromHost               => CREnableFromHost,
+      BLOCKSIZE                      => BLOCKSIZE,
+      CHUNK_TRAILER_32B              => CHUNK_TRAILER_32B,
+      SUPER_CHUNK_FACTOR             => SUPER_CHUNK_FACTOR,
+      FIRMWARE_MODE                  => FIRMWARE_MODE,
       EnableFrHo_Egroup0Eproc2_HDLC  => EnableFrHo_Egroup0Eproc2_HDLC,
       EnableFrHo_Egroup0Eproc2_8b10b => EnableFrHo_Egroup0Eproc2_8b10b,
       EnableFrHo_Egroup0Eproc4_8b10b => EnableFrHo_Egroup0Eproc4_8b10b,
@@ -498,13 +513,13 @@ begin
       register_map_gen_board_info => register_map_gen_board_info,
       register_map_hk_monitor     => register_map_hk_monitor,
       register_map_monitor        => pcie_register_map_monitor,
-      register_mrodEP0_mon        => register_mrodEP0_mon,
-      register_mrodEP1_mon        => register_mrodEP1_mon,
+      register_mrod_monitor       => register_mrod_monitor,
       register_map_ttc_monitor    => register_map_ttc_monitor,
       register_map_xoff_monitor   => cr_register_map_xoff_monitor,
       rst_hw                      => rst_hw,
       rst_soft_40                 => rst_soft_40_0,
-      rst_soft_appregclk          => reset_soft_pcie
+      rst_soft_appregclk          => reset_soft_pcie,
+      master_busy_in              => MasterBusy
     );
 
   u3: fifo_16KB_256to32    -- small fromHost fifo (512x256 to 4096x32)
@@ -593,5 +608,40 @@ begin
       tohost_busy_out                     => pcie_tohost_busy_out
     );
 
+  ---------------------------------------------
+
+  -- drive unused registers emu_monitor...
+  register_map_emu_monitor.GBT_EMU_CONFIG.RDDATA <= (others => '0');
+  register_map_emu_monitor.GBT_FM_EMU_READ <= (others => '0');
+
+  -- drive unused registers gbt_monitor...
+  register_map_gbt_monitor.GBT_VERSION.DATE <= (others => '0');
+  register_map_gbt_monitor.GBT_VERSION.GBT_VERSION <= (others => '0');
+  register_map_gbt_monitor.GBT_VERSION.GTH_IP_VERSION <= (others => '0');
+  register_map_gbt_monitor.GBT_VERSION.RESERVED <= (others => '0');
+  register_map_gbt_monitor.GBT_VERSION.GTHREFCLK_SEL <= (others => '0');
+  register_map_gbt_monitor.GBT_VERSION.RX_CLK_SEL <= (others => '0');
+  register_map_gbt_monitor.GBT_VERSION.PLL_SEL <= (others => '0');
+  register_map_gbt_monitor.GBT_TXRESET_DONE <= (others => '0');
+  register_map_gbt_monitor.GBT_RXRESET_DONE <= (others => '0');
+  register_map_gbt_monitor.GBT_TXFSMRESET_DONE <= (others => '0');
+  register_map_gbt_monitor.GBT_RXFSMRESET_DONE <= (others => '0');
+  register_map_gbt_monitor.GBT_CPLL_FBCLK_LOST <= (others => '0');
+  register_map_gbt_monitor.GBT_PLL_LOCK.QPLL_LOCK <= (others => '0');
+  register_map_gbt_monitor.GBT_PLL_LOCK.CPLL_LOCK <= (others => '0');
+  register_map_gbt_monitor.GBT_RXCDR_LOCK <= (others => '0');
+  register_map_gbt_monitor.GBT_CLK_SAMPLED <= (others => '0');
+  register_map_gbt_monitor.GBT_RX_IS_HEADER <= (others => '0');
+  register_map_gbt_monitor.GBT_RX_IS_DATA <= (others => '0');
+  register_map_gbt_monitor.GBT_RX_HEADER_FOUND <= (others => '0');
+  register_map_gbt_monitor.GBT_ALIGNMENT_DONE <= (others => '0');
+  register_map_gbt_monitor.GBT_OUT_MUX_STATUS <= (others => '0');
+  register_map_gbt_monitor.GBT_ERROR <= (others => '0');
+  register_map_gbt_monitor.GBT_GBT_TOPBOT_C <= (others => '0');
+  register_map_gbt_monitor.GBT_FM_RX_DISP_ERROR1 <= (others => '0');
+  register_map_gbt_monitor.GBT_FM_RX_DISP_ERROR2 <= (others => '0');
+  register_map_gbt_monitor.GBT_FM_RX_NOTINTABLE1 <= (others => '0');
+  register_map_gbt_monitor.GBT_FM_RX_NOTINTABLE2 <= (others => '0');
+
 end architecture a0 ; -- of CR_Wupper
 
diff --git a/sources/FelixMROD/CSMHandler.vhd b/sources/FelixMROD/CSMHandler.vhd
index a2c023017..b9ae50657 100644
--- a/sources/FelixMROD/CSMHandler.vhd
+++ b/sources/FelixMROD/CSMHandler.vhd
@@ -14,7 +14,7 @@
 --!-----------------------------------------------------------------------------
 --! @object     Entity design.CSMHandler
 --! =project    FELIX_MROD
---! @modified   Wed Nov 27 14:27:03 2019
+--! @modified   Wed Apr 01 23:09:11 2020
 --!-----------------------------------------------------------------------------
 
 library ieee, work;
@@ -31,6 +31,7 @@ entity CSMHandler is
     NUMCH      : integer := 2;
     W_ENDPOINT : integer := 0); -- select Wupper_Endpoint partition
   port (
+    CSMMonitor        : out    regs_csm_monitor;
     ChBusy            : in     std_logic_vector(NUMCH-1 downto 0);
     ChData            : out    slv33_array(0 to NUMCH-1);
     ChValid           : out    std_logic_vector(NUMCH-1 downto 0);
@@ -50,15 +51,13 @@ entity CSMHandler is
     fhFifoRE          : out    std_logic;
     fhFifoValid       : in     std_logic;
     prmap_app_control : in     register_map_control_type;
-    prmap_mrodEP0_mon : out    regmap_mrodEP0_monitor_type;
-    prmap_mrodEP1_mon : out    regmap_mrodEP1_monitor_type;
     sys_reset_n       : in     std_logic);
 end entity CSMHandler;
 
 --!-----------------------------------------------------------------------------
 --! @object     Architecture design.CSMHandler.a0
 --! =project    FELIX_MROD
---! @modified   Wed Nov 27 14:27:03 2019
+--! @modified   Wed Apr 01 23:09:11 2020
 --!-----------------------------------------------------------------------------
 
 architecture a0 of CSMHandler is
@@ -158,6 +157,7 @@ begin
       NUMCH      => NUMCH,
       W_ENDPOINT => W_ENDPOINT)
     port map(
+      CSMMonitor        => CSMMonitor,
       ClearCh           => ClearCh,
       Empty             => Empty,
       EmptySupp         => EmptySupp,
@@ -173,8 +173,6 @@ begin
       clk50             => clk50,
       clk80             => clk80,
       prmap_app_control => prmap_app_control,
-      prmap_mrodEP0_mon => prmap_mrodEP0_mon,
-      prmap_mrodEP1_mon => prmap_mrodEP1_mon,
       sys_reset_n       => sys_reset_n);
 
 end architecture a0 ; -- of CSMHandler
diff --git a/sources/FelixMROD/CareTaker.vhd b/sources/FelixMROD/CareTaker.vhd
index 1005fc30a..820d5bb08 100644
--- a/sources/FelixMROD/CareTaker.vhd
+++ b/sources/FelixMROD/CareTaker.vhd
@@ -14,7 +14,7 @@
 --!-----------------------------------------------------------------------------
 --! @object     Entity design.CareTaker
 --! =project    FELIX_MROD
---! @modified   Mon Feb 03 10:21:29 2020
+--! @modified   Sun Mar 29 15:07:09 2020
 --!-----------------------------------------------------------------------------
 
 library ieee, work;
@@ -47,7 +47,6 @@ entity CareTaker is
     USE_Si5324_RefCLK               : boolean := false;
     generateTTCemu                  : boolean := false;
     generate_IC_EC_TTC_only         : boolean := false;
-    GENERATE_FEI4B                  : boolean := false;
     GENERATE_TRUNCATION_MECHANISM   : boolean := false;
     wideMode                        : boolean := false;
     EnableFrHo_Egroup0Eproc2_HDLC   : boolean := false;
@@ -94,7 +93,10 @@ entity CareTaker is
     EnableToHo_Egroup4Eproc2_8b10b  : boolean := false;
     EnableToHo_Egroup4Eproc4_8b10b  : boolean := false;
     EnableToHo_Egroup4Eproc8_8b10b  : boolean := false;
-    EnableToHo_Egroup4Eproc16_8b10b : boolean := false);
+    EnableToHo_Egroup4Eproc16_8b10b : boolean := false;
+    GENERATE_FEI4B                  : boolean := false;
+    BLOCKSIZE                       : integer := 1024;
+    CHUNK_TRAILER_32B               : boolean := false);
   port (
     I2C_SMB           : out    std_logic;
     I2C_SMBUS_CFG_nEN : out    std_logic;
@@ -159,7 +161,7 @@ end entity CareTaker;
 --!-----------------------------------------------------------------------------
 --! @object     Architecture design.CareTaker.a0
 --! =project    FELIX_MROD
---! @modified   Mon Feb 03 10:21:29 2020
+--! @modified   Sun Mar 29 15:07:09 2020
 --!-----------------------------------------------------------------------------
 
 
@@ -231,7 +233,9 @@ architecture a0 of CareTaker is
       EnableFrHo_Egroup4Eproc2_8b10b  : boolean := false;
       EnableFrHo_Egroup4Eproc4_8b10b  : boolean := false;
       EnableFrHo_Egroup4Eproc8_8b10b  : boolean := false;
-      GENERATE_FEI4B                  : boolean := false);
+      GENERATE_FEI4B                  : boolean := false;
+      BLOCKSIZE                       : integer := 1024;
+      CHUNK_TRAILER_32B               : boolean := false);
     port (
       LMK_locked                  : in     std_logic_vector(0 downto 0);
       MMCM_Locked_in              : in     std_logic;
@@ -340,7 +344,6 @@ begin
       includeDirectMode               => includeDirectMode,
       CREnableFromHost                => CREnableFromHost,
       GENERATE_XOFF                   => GENERATE_XOFF,
-      GENERATE_FEI4B                  => GENERATE_FEI4B,
       EnableToHo_Egroup0Eproc2_HDLC   => EnableToHo_Egroup0Eproc2_HDLC,
       EnableToHo_Egroup0Eproc2_8b10b  => EnableToHo_Egroup0Eproc2_8b10b,
       EnableToHo_Egroup0Eproc4_8b10b  => EnableToHo_Egroup0Eproc4_8b10b,
@@ -385,7 +388,10 @@ begin
       EnableFrHo_Egroup4Eproc2_HDLC   => EnableFrHo_Egroup4Eproc2_HDLC,
       EnableFrHo_Egroup4Eproc2_8b10b  => EnableFrHo_Egroup4Eproc2_8b10b,
       EnableFrHo_Egroup4Eproc4_8b10b  => EnableFrHo_Egroup4Eproc4_8b10b,
-      EnableFrHo_Egroup4Eproc8_8b10b  => EnableFrHo_Egroup4Eproc8_8b10b)
+      EnableFrHo_Egroup4Eproc8_8b10b  => EnableFrHo_Egroup4Eproc8_8b10b,
+      GENERATE_FEI4B                  => GENERATE_FEI4B,
+      BLOCKSIZE                       => BLOCKSIZE,
+      CHUNK_TRAILER_32B               => CHUNK_TRAILER_32B)
     port map(
       LMK_locked                  => slv_LMK_locked,
       MMCM_Locked_in              => MMCM_Locked,
diff --git a/sources/FelixMROD/EvtMux.vhd b/sources/FelixMROD/EvtMux.vhd
index f47753d8c..309fb18a5 100644
--- a/sources/FelixMROD/EvtMux.vhd
+++ b/sources/FelixMROD/EvtMux.vhd
@@ -14,7 +14,7 @@
 --!-----------------------------------------------------------------------------
 --! @object     Entity design.EvtMux
 --! =project    FELIX_MROD
---! @modified   Mon Oct 14 18:30:25 2019
+--! @modified   Sat Mar 14 11:26:45 2020
 --!-----------------------------------------------------------------------------
 
 library ieee, work;
@@ -49,7 +49,7 @@ end entity EvtMux;
 --!-----------------------------------------------------------------------------
 --! @object     Architecture design.EvtMux.a0
 --! =project    FELIX_MROD
---! @modified   Mon Oct 14 18:30:25 2019
+--! @modified   Sat Mar 14 11:26:45 2020
 --!-----------------------------------------------------------------------------
 
 
@@ -76,6 +76,9 @@ architecture a0 of EvtMux is
   --GTMode(1) : 1/0 : circulate fifo / fill fifo from HOST SHARC (replace BOT+EOT)
   --GTMode(2) : 1/0 : triggered / untriggered test mode
 
+  -- BOT:  31-29="101",  28-24="TDCnr", 23-12=ECnt, 11-00=BCnt
+  -- EOT:  31-28="1100", 27-24="00x0" , 23-12=ECnt, 11-00=wcnt
+
 begin
 
   EnaRun <= GTMode(0);  -- GTMode(0)='1': run the emulator;  when '0': load data into fifo
@@ -95,9 +98,13 @@ begin
   -- Select ECnt to overwrite parts of the BOT and EOT words in the data.
   -- Force bit 26 to zero before calculating the (horizontal) parity (odd parity goes to bit 26)
 
-  Fdata <= DF(31 downto 27) & '0' & DF(25) & DF(24) & ECnt & DF(11 downto 0)  -- insert new ECnt
-    when ((GTMode(1) = '1') and (BOTEvt = '1' or EOTEvt = '1'))               -- when BOT or EOT
-    else   DF(31 downto 27) & '0' & DF(25 downto 0);                -- any other data unchanged
+  Fdata(31 downto 12) <= DF(31 downto 27) & '0' & DF(25) & DF(24) & ECnt  -- insert new ECnt
+    when ((GTMode(1) = '1') and (BOTEvt = '1' or EOTEvt = '1'))           -- when BOT or EOT
+    else   DF(31 downto 27) & '0' & DF(25 downto 12);           -- any other data unchanged
+
+  Fdata(11 downto 0)  <= x"BC" & ECnt(3 downto 0)   -- insert new BCnt: x"BC" & ECnt(3-0)
+    when ((GTMode(1) = '1') and (BOTEvt = '1'))     -- when BOT
+    else   DF(11 downto 0);                         -- any other data unchanged
 
   -- read FifoBuffer when (not EmptyBuffer and not FullSender and not ForceIdle)
 
diff --git a/sources/FelixMROD/GetControls.vhd b/sources/FelixMROD/GetControls.vhd
index d33228ef8..c901f6c01 100644
--- a/sources/FelixMROD/GetControls.vhd
+++ b/sources/FelixMROD/GetControls.vhd
@@ -14,7 +14,7 @@
 --!-----------------------------------------------------------------------------
 --! @object     Entity design.GetControls
 --! =project    FELIX_MROD
---! @modified   Wed Nov 27 15:06:33 2019
+--! @modified   Fri Apr 03 20:44:55 2020
 --!-----------------------------------------------------------------------------
 
 library ieee, work;
@@ -31,6 +31,7 @@ entity GetControls is
     NUMCH      : integer := 2;
     W_ENDPOINT : integer := 0);
   port (
+    CSMMonitor        : out    regs_csm_monitor;
     ClearCh           : out    std_logic_vector(NUMCH-1 downto 0);
     Empty             : in     std_logic_vector(NUMCH-1 downto 0);
     EmptySupp         : out    std_logic_vector(NUMCH-1 downto 0);
@@ -46,15 +47,13 @@ entity GetControls is
     clk50             : in     std_logic;
     clk80             : in     std_logic;
     prmap_app_control : in     register_map_control_type;
-    prmap_mrodEP0_mon : out    regmap_mrodEP0_monitor_type;
-    prmap_mrodEP1_mon : out    regmap_mrodEP1_monitor_type;
     sys_reset_n       : in     std_logic);
 end entity GetControls;
 
 --!-----------------------------------------------------------------------------
 --! @object     Architecture design.GetControls.a0
 --! =project    FELIX_MROD
---! @modified   Wed Nov 27 15:06:33 2019
+--! @modified   Fri Apr 03 20:44:55 2020
 --!-----------------------------------------------------------------------------
 
 
@@ -93,9 +92,6 @@ begin
         end if;
       end loop;
       EnaReadHost <= check;     -- enable when one or more bits are set
-      --
-      prmap_mrodEP0_mon.MROD_EP0_CSMH_EMPTY(NUMCH-1 downto 0) <= Empty(NUMCH-1 downto 0);
-      prmap_mrodEP0_mon.MROD_EP0_CSMH_FULL(NUMCH-1 downto 0)  <= Full(NUMCH-1 downto 0);
     end if;
   end process;
 
@@ -156,9 +152,6 @@ begin
         end if;
       end loop;
       EnaReadHost <= check;     -- enable when one or more bits are set
-      --
-      prmap_mrodEP1_mon.MROD_EP1_CSMH_EMPTY(NUMCH-1 downto 0) <= Empty(NUMCH-1 downto 0);
-      prmap_mrodEP1_mon.MROD_EP1_CSMH_FULL(NUMCH-1 downto 0)  <= Full(NUMCH-1 downto 0);
     end if;
   end process;
 
@@ -195,5 +188,20 @@ begin
 
   end generate gen1;
 
+  -- synchronize monitor registers into clk80 domain (centralrouter)
+
+  pr20:
+  process (clk80, sys_reset_n)
+    variable check : std_logic;
+  begin
+    if (sys_reset_n = '0') then
+      CSMMonitor.CSMH_EMPTY(NUMCH-1 downto 0) <= (others => '0');
+      CSMMonitor.CSMH_FULL(NUMCH-1 downto 0)  <= (others => '0');
+    elsif (rising_edge(clk80)) then
+      CSMMonitor.CSMH_EMPTY(NUMCH-1 downto 0) <= Empty(NUMCH-1 downto 0);
+      CSMMonitor.CSMH_FULL(NUMCH-1 downto 0)  <= Full(NUMCH-1 downto 0);
+    end if;
+  end process;
+
 end architecture a0 ; -- of GetControls
 
diff --git a/sources/FelixMROD/GetTRXControl.vhd b/sources/FelixMROD/GetTRXControl.vhd
index 22c03805a..67461c331 100644
--- a/sources/FelixMROD/GetTRXControl.vhd
+++ b/sources/FelixMROD/GetTRXControl.vhd
@@ -14,7 +14,7 @@
 --!-----------------------------------------------------------------------------
 --! @object     Entity design.GetTRXControl
 --! =project    FELIX_MROD
---! @modified   Tue Jan 21 22:22:08 2020
+--! @modified   Fri Apr 03 20:51:07 2020
 --!-----------------------------------------------------------------------------
 
 library ieee, work;
@@ -40,18 +40,17 @@ entity GetTRXControl is
     TXCVR_ResetAll    : out    std_logic_vector(NUMCH-1 downto 0);
     TX_CHxLocked      : in     std_logic_vector(NUMCH-1 downto 0);
     TX_CHxReset       : out    std_logic_vector(NUMCH-1 downto 0);
+    TrxMonitor        : out    regs_trx_monitor;
     clk40             : in     std_logic;
     clk50             : in     std_logic;
     prmap_app_control : in     register_map_control_type;
-    prmap_mrodEP0_mon : out    regmap_mrodEP0_monitor_type;
-    prmap_mrodEP1_mon : out    regmap_mrodEP1_monitor_type;
     sys_reset_n       : in     std_logic);
 end entity GetTRXControl;
 
 --!-----------------------------------------------------------------------------
 --! @object     Architecture design.GetTRXControl.a0
 --! =project    FELIX_MROD
---! @modified   Tue Jan 21 22:22:08 2020
+--! @modified   Fri Apr 03 20:51:07 2020
 --!-----------------------------------------------------------------------------
 
 
@@ -79,8 +78,6 @@ begin
       TX_CHxReset(NUMCH-1 downto 0) <= prmap_app_control.MROD_EP0_TXRESET(NUMCH-1 downto 0);
       TXCVR_ResetAll(NUMCH-1 downto 0) <= prmap_app_control.MROD_EP0_TXCVRRESET(NUMCH-1 downto 0);
       EnManSlide <= prmap_app_control.MROD_CTRL.OPTIONS(12);
-      prmap_mrodEP0_mon.MROD_EP0_RXLOCKED(NUMCH-1 downto 0) <= RX_CHxLocked(NUMCH-1 downto 0);
-      prmap_mrodEP0_mon.MROD_EP0_TXLOCKED(NUMCH-1 downto 0) <= TX_CHxLocked(NUMCH-1 downto 0);
     end if;
   end process;
 
@@ -128,8 +125,6 @@ begin
       TX_CHxReset(NUMCH-1 downto 0) <= prmap_app_control.MROD_EP1_TXRESET(NUMCH-1 downto 0);
       TXCVR_ResetAll(NUMCH-1 downto 0) <= prmap_app_control.MROD_EP1_TXCVRRESET(NUMCH-1 downto 0);
       EnManSlide <= prmap_app_control.MROD_CTRL.OPTIONS(12);
-      prmap_mrodEP1_mon.MROD_EP1_RXLOCKED(NUMCH-1 downto 0) <= RX_CHxLocked(NUMCH-1 downto 0);
-      prmap_mrodEP1_mon.MROD_EP1_TXLOCKED(NUMCH-1 downto 0) <= TX_CHxLocked(NUMCH-1 downto 0);
     end if;
   end process;
 
@@ -158,5 +153,19 @@ begin
 
   end generate gen1;
 
+  -- synchronize monitor registers into the clk40 domain (centralrouter)
+
+  pr20:
+  process (clk40, sys_reset_n)
+  begin
+    if (sys_reset_n = '0') then
+      TrxMonitor.RXLOCKED(NUMCH-1 downto 0) <= (others => '0');
+      TrxMonitor.TXLOCKED(NUMCH-1 downto 0) <= (others => '0');
+    elsif (rising_edge(clk40)) then
+      TrxMonitor.RXLOCKED(NUMCH-1 downto 0) <= RX_CHxLocked(NUMCH-1 downto 0);
+      TrxMonitor.TXLOCKED(NUMCH-1 downto 0) <= TX_CHxLocked(NUMCH-1 downto 0);
+    end if;
+  end process;
+
 end architecture a0 ; -- of GetTRXControl
 
diff --git a/sources/FelixMROD/Transceiver-dum.vhd b/sources/FelixMROD/Transceiver-dum.vhd
deleted file mode 100644
index de36f1164..000000000
--- a/sources/FelixMROD/Transceiver-dum.vhd
+++ /dev/null
@@ -1,103 +0,0 @@
---!-----------------------------------------------------------------------------
---! @authors    R. Habraken, T. Wijnen
---! @company    Radboud University Nijmegen
---! @startdate  01-Feb-2019
---! @version    1.0
---! @project    FELIX_MROD: MROD functionality implemented on a FELIX board.
---!-----------------------------------------------------------------------------
---! @brief
---! Use a FELIX board to interface to GOL links coming from the MDT Chambers.
---! Provides a new type of interface to possibly replace the MROD system.
---!
---!-----------------------------------------------------------------------------
-
---!-----------------------------------------------------------------------------
---! @object     Entity design.Transceiver
---! =project    FELIX_MROD
---! @modified   Tue Jan 21 22:44:46 2020
---!-----------------------------------------------------------------------------
-
-library ieee, work;
-use ieee.std_logic_1164.all;
-use ieee.numeric_std.all;
-use ieee.std_logic_unsigned.all;
-use work.felix_mrod_package.all;
-use work.centralRouter_package.all;
-use work.FELIX_gbt_package.all;
-use work.pcie_package.all;
-
-entity Transceiver is
-  generic(
-    GBT_NUM    : integer := 4;
-    NUMCH      : integer := 4;
-    W_ENDPOINT : integer := 0);
-  port (
-    EnChan            : in     std_logic_vector(NUMCH-1 downto 0);
-    EnManSlide        : in     std_logic;
-    MReset            : in     std_logic;
-    QX_GTREFCLK_N     : in     std_logic_vector(4 downto 0);
-    QX_GTREFCLK_P     : in     std_logic_vector(4 downto 0);
-    RX_CHxLocked      : out    std_logic_vector(NUMCH-1 downto 0);
-    RX_CHxReset       : in     std_logic_vector(NUMCH-1 downto 0);
-    RxClk             : out    std_logic_vector(NUMCH-1 downto 0);
-    RxData            : out    slv32_array(0 to NUMCH-1);
-    RxValid           : out    std_logic_vector(NUMCH-1 downto 0);
-    TRXloopback       : in     std_logic_vector(NUMCH-1 downto 0);
-    TXCVR_ResetAll    : in     std_logic_vector(NUMCH-1 downto 0);
-    TX_CHxLocked      : out    std_logic_vector(NUMCH-1 downto 0);
-    TX_CHxReset       : in     std_logic_vector(NUMCH-1 downto 0);
-    TxClk             : out    std_logic_vector(NUMCH-1 downto 0);
-    TxData            : in     slv33_array(0 to NUMCH-1);
-    TxValid           : in     std_logic_vector(NUMCH-1 downto 0);
-    clk50             : in     std_logic;
-    gtrxn_in          : in     std_logic_vector(NUMCH-1 downto 0);
-    gtrxp_in          : in     std_logic_vector(NUMCH-1 downto 0);
-    gttxn_out         : out    std_logic_vector(NUMCH-1 downto 0);
-    gttxp_out         : out    std_logic_vector(NUMCH-1 downto 0);
-    prmap_app_control : in     register_map_control_type;
-    sysclk_in         : in     std_logic);
-end entity Transceiver;
-
---!-----------------------------------------------------------------------------
---! @object     Architecture design.Transceiver.a0
---! =project    FELIX_MROD
---! @modified   Tue Jan 21 22:44:46 2020
---!-----------------------------------------------------------------------------
-
-
-architecture a0 of Transceiver is
-
---  signal loopback_s : std_logic_vector(2 downto 0);  -- "000" = no loopback
---  loopback_s <= prmap_app_control.GTH_LOOPBACK_CONTROL;
-
-  signal loopback : std_logic_vector(23 downto 0);
-  signal txDvalid : std_logic_vector(NUMCH-1 downto 0);
-
-begin
-
-  RxClk <= (others => clk50);
-  TxClk <= (others => clk50);
-
-  g0: if (W_ENDPOINT = 0) generate      --
-    loopback <= prmap_app_control.MROD_EP0_TRXLOOPBACK;
-  end generate g0;
-
-  g1: if (W_ENDPOINT = 1) generate      --
-    loopback <= prmap_app_control.MROD_EP1_TRXLOOPBACK;
-  end generate g1;
-
-  g2: for i in NUMCH-1 downto 0 generate
-  begin
-    txDvalid(i) <= '1' when (TxValid(i) = '1' and TxData(i)(32) = '0') else '0';
-    RxValid(i)  <= txDvalid(i) when (loopback(i) = '1') else '0';
-    RxData(i)   <= TxData(i)(31 downto 0) when (txDvalid(i) = '1') else x"04000000";
-  end generate g2;
-
-  g3: for i in NUMCH-1 downto 0 generate
-  begin
-    gttxn_out(i) <= '1';
-    gttxp_out(i) <= '0';
-  end generate g3;
-
-end architecture a0 ; -- of Transceiver
-
diff --git a/sources/FelixMROD/Transceiver.vhd b/sources/FelixMROD/Transceiver.vhd
index 906092934..5cbbae4d6 100644
--- a/sources/FelixMROD/Transceiver.vhd
+++ b/sources/FelixMROD/Transceiver.vhd
@@ -13,8 +13,8 @@
 
 --!-----------------------------------------------------------------------------
 --! @object     Entity design.Transceiver
---! project     FELIX_MROD
---! modified    Tue Jun 04 12:14:23 2019
+--! =project    FELIX_MROD
+--! @modified   Mon Mar 30 10:32:32 2020
 --!-----------------------------------------------------------------------------
 
 library ieee, work;
@@ -23,599 +23,83 @@ use ieee.numeric_std.all;
 use ieee.std_logic_unsigned.all;
 use work.felix_mrod_package.all;
 use work.centralRouter_package.all;
---use work.FELIX_gbt_package.all;
+use work.FELIX_gbt_package.all;
 use work.pcie_package.all;
-library UNISIM;
-use UNISIM.VCOMPONENTS.ALL;
 
 entity Transceiver is
   generic(
-    W_ENDPOINT : integer := 0;
-    NUMCH      : integer := 2;
-    GBT_NUM    : integer := 4);
+    GBT_NUM    : integer := 4;
+    NUMCH      : integer := 4;
+    W_ENDPOINT : integer := 0);
   port (
-    EnChan                              : in   std_logic_vector(NUMCH-1 downto 0);
-    MReset                              : in   std_logic;
-    QX_GTREFCLK_N                       : in   std_logic_vector(4 downto 0);
-    QX_GTREFCLK_P                       : in   std_logic_vector(4 downto 0);
-    RX_CHxLocked                        : out  std_logic_vector(NUMCH-1 downto 0);
-    RX_CHxReset                         : in   std_logic_vector(NUMCH-1 downto 0);
-    --RX_FSM_RESET_DONE                   : out  std_logic_vector(NUMCH/4-1 downto 0);
-    ------------------ Receive Ports - FPGA RX interface Ports -----------------
-    RxClk                               : out  std_logic_vector(NUMCH-1 downto 0);
-    RxData                              : out  slv32_array(0 to NUMCH-1);
-    RxValid                             : out  std_logic_vector(NUMCH-1 downto 0);
-    ------------------ Transmit Ports - TX Data Path interface -----------------
-    TRXloopback                         : in   std_logic_vector(NUMCH-1 downto 0);
-    TX_CHxLocked                        : in   std_logic_vector(NUMCH-1 downto 0);
-    TX_CHxReset                        : in   std_logic_vector(NUMCH-1 downto 0);
-    TxClk                               : out  std_logic_vector(NUMCH-1 downto 0);
-    TxData                              : in   slv33_array(0 to NUMCH-1);
-    TxValid                             : in   std_logic_vector(NUMCH-1 downto 0);
-    ------------------ Transmit Ports - pattern Generator Ports ----------------
-    --Txprbssel_in                        : in   std_logic_vector(2 downto 0);
-    clk50                               : in   std_logic;
-    gtrxn_in                            : in   std_logic_vector(NUMCH-1 downto 0);
-    gtrxp_in                            : in   std_logic_vector(NUMCH-1 downto 0);
-    gttxn_out                           : out  std_logic_vector(NUMCH-1 downto 0);
-    gttxp_out                           : out  std_logic_vector(NUMCH-1 downto 0);
-    ------------------ 40 MHz system (DRP) clk
-    prmap_app_control                       : in  register_map_control_type;
-    --register_map_control                : in   register_map_control_type;
-    sysclk_in                           : in   std_logic
-    );
-
+    EnChan            : in     std_logic_vector(NUMCH-1 downto 0);
+    EnManSlide        : in     std_logic;
+    MReset            : in     std_logic;
+    QX_GTREFCLK_N     : in     std_logic_vector(4 downto 0);
+    QX_GTREFCLK_P     : in     std_logic_vector(4 downto 0);
+    RX_CHxLocked      : out    std_logic_vector(NUMCH-1 downto 0);
+    RX_CHxReset       : in     std_logic_vector(NUMCH-1 downto 0);
+    RxClk             : out    std_logic_vector(NUMCH-1 downto 0);
+    RxData            : out    slv32_array(0 to NUMCH-1);
+    RxValid           : out    std_logic_vector(NUMCH-1 downto 0);
+    TRXloopback       : in     std_logic_vector(NUMCH-1 downto 0);
+    TXCVR_ResetAll    : in     std_logic_vector(NUMCH-1 downto 0);
+    TX_CHxLocked      : out    std_logic_vector(NUMCH-1 downto 0);
+    TX_CHxReset       : in     std_logic_vector(NUMCH-1 downto 0);
+    TxClk             : out    std_logic_vector(NUMCH-1 downto 0);
+    TxData            : in     slv33_array(0 to NUMCH-1);
+    TxValid           : in     std_logic_vector(NUMCH-1 downto 0);
+    clk50             : in     std_logic;
+    gtrxn_in          : in     std_logic_vector(NUMCH-1 downto 0);
+    gtrxp_in          : in     std_logic_vector(NUMCH-1 downto 0);
+    gttxn_out         : out    std_logic_vector(NUMCH-1 downto 0);
+    gttxp_out         : out    std_logic_vector(NUMCH-1 downto 0);
+    prmap_app_control : in     register_map_control_type;
+    sysclk_in         : in     std_logic);
 end entity Transceiver;
 
 --!-----------------------------------------------------------------------------
 --! @object     Architecture design.Transceiver.a0
---! project     FELIX_MROD
---! modified    Tue Jun 04 12:14:23 2019
+--! =project    FELIX_MROD
+--! @modified   Mon Mar 30 10:32:32 2020
 --!-----------------------------------------------------------------------------
 
-architecture a0 of Transceiver is
-
---COMPONENT MRODtransceiver_core
---  PORT (
---    gtwiz_userclk_tx_reset_in : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
---    gtwiz_userclk_tx_srcclk_out : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
---    gtwiz_userclk_tx_usrclk_out : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
---    gtwiz_userclk_tx_usrclk2_out : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
---    gtwiz_userclk_tx_active_out : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
---    gtwiz_userclk_rx_active_in : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
---    gtwiz_reset_clk_freerun_in : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
---    gtwiz_reset_all_in : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
---    gtwiz_reset_tx_pll_and_datapath_in : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
---    gtwiz_reset_tx_datapath_in : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
---    gtwiz_reset_rx_pll_and_datapath_in : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
---    gtwiz_reset_rx_datapath_in : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
---    gtwiz_reset_rx_cdr_stable_out : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
---    gtwiz_reset_tx_done_out : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
---    gtwiz_reset_rx_done_out : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
---    gtwiz_userdata_tx_in : IN STD_LOGIC_VECTOR(127 DOWNTO 0);
---    gtwiz_userdata_rx_out : OUT STD_LOGIC_VECTOR(127 DOWNTO 0);
---    gtrefclk01_in : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
---    qpll1outclk_out : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
---    qpll1outrefclk_out : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
---    drpclk_in : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
---    gthrxn_in : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
---    gthrxp_in : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
---    gtrefclk0_in : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
---    loopback_in : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
---    rx8b10ben_in : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
---    rxusrclk_in : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
---    rxusrclk2_in : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
---    tx8b10ben_in : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
---    txctrl0_in : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
---    txctrl1_in : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
---    txctrl2_in : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
---    gthtxn_out : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
---    gthtxp_out : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
---    gtpowergood_out : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
---    rxcdrlock_out : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
---    rxctrl0_out : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
---    rxctrl1_out : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
---    rxctrl2_out : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
---    rxctrl3_out : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
---    rxoutclk_out : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
---    rxpmaresetdone_out : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
---    txpmaresetdone_out : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
---    txprgdivresetdone_out : OUT STD_LOGIC_VECTOR(3 DOWNTO 0)
---  );
---END COMPONENT;
-
-COMPONENT MRODtransceiver_core
-  PORT (
-    gtwiz_userclk_tx_active_in : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
-    gtwiz_userclk_rx_active_in : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
-    gtwiz_reset_clk_freerun_in : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
-    gtwiz_reset_all_in : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
-    gtwiz_reset_tx_pll_and_datapath_in : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
-    gtwiz_reset_tx_datapath_in : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
-    gtwiz_reset_rx_pll_and_datapath_in : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
-    gtwiz_reset_rx_datapath_in : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
-    gtwiz_reset_rx_cdr_stable_out : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
-    gtwiz_reset_tx_done_out : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
-    gtwiz_reset_rx_done_out : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
-    gtwiz_userdata_tx_in : IN STD_LOGIC_VECTOR(127 DOWNTO 0);
-    gtwiz_userdata_rx_out : OUT STD_LOGIC_VECTOR(127 DOWNTO 0);
-    gtrefclk01_in : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
-    qpll1outclk_out : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
-    qpll1outrefclk_out : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
-    drpclk_in : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
-    gthrxn_in : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
-    gthrxp_in : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
-    gtrefclk0_in : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
-    loopback_in : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
-    rx8b10ben_in : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
-    rxusrclk_in : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
-    rxusrclk2_in : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
-    tx8b10ben_in : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
-    txctrl0_in : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
-    txctrl1_in : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
-    txctrl2_in : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
-    txusrclk_in : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
-    txusrclk2_in : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
-    gthtxn_out : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
-    gthtxp_out : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
-    gtpowergood_out : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
-    rxcdrlock_out : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
-    rxctrl0_out : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
-    rxctrl1_out : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
-    rxctrl2_out : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
-    rxctrl3_out : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
-    rxoutclk_out : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
-    rxpmaresetdone_out : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
-    txoutclk_out : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
-    txpmaresetdone_out : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
-    txprgdivresetdone_out : OUT STD_LOGIC_VECTOR(3 DOWNTO 0)
-  );
-END COMPONENT;
-
-
-COMPONENT vio_0_quad
-  PORT (
-    clk : IN STD_LOGIC;
-    probe_in0 : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
-    probe_in1 : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
-    probe_in2 : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
-    probe_in3 : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
-    probe_in4 : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
-    probe_in5 : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
-    probe_out0 : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
-    probe_out1 : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
-    probe_out2 : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
-    probe_out3 : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
-    probe_out4 : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
-    probe_out5 : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
-    probe_out6 : OUT STD_LOGIC_VECTOR(0 DOWNTO 0)
-  );
-END COMPONENT;
-
-COMPONENT ila_gth_quad
-
-PORT (
-	clk : IN STD_LOGIC;
-	probe0 : IN STD_LOGIC_VECTOR(127 DOWNTO 0); 
-	probe1 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); 
-	probe2 : IN STD_LOGIC_VECTOR(127 DOWNTO 0); 
-	probe3 : IN STD_LOGIC_VECTOR(63 DOWNTO 0); 
-	probe4 : IN STD_LOGIC_VECTOR(63 DOWNTO 0); 
-	probe5 : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
-	probe6 : IN STD_LOGIC_VECTOR(31 DOWNTO 0)
-);
-END COMPONENT  ;
 
-signal RESETslv: std_logic_vector (0 downto 0);
-signal RX_FSM_RESET_DONE_sA,RX_FSM_RESET_DONE_sB: std_logic_vector(NUMCH/4-1 downto 0);
---signal RXSLIDE_S: std_logic_vector(NUMCH - 1 downto 0);
-signal gtrefclk0_i, gtrefclk1_i, gtrefclk2_i : std_logic;
-signal loopback_in_s : std_logic_vector(2 downto 0);
-signal rxusrclk_sA, rxusrclk_sB : std_logic_vector(NUMCH-1 downto 0);
-signal rxoutclk_sA, rxoutclk_sB : std_logic_vector(NUMCH-1 downto 0);
-signal txusrclk_sA, txusrclk_sB : std_logic_vector(NUMCH-1 downto 0);
-signal txoutclk_sA, txoutclk_sB : std_logic_vector(NUMCH-1 downto 0);
-signal rxdata_out_sA, rxdata_out_sB : std_logic_vector((NUMCH*32)-1 downto 0);
-signal txdata_in_sA, txdata_in_sB : std_logic_vector((NUMCH*32)-1 downto 0);
-signal gtrxp_in_sA, gtrxn_in_sA, gttxp_out_sA, gttxn_out_sA : std_logic_vector(NUMCH-1 downto 0);
-signal gtrxp_in_sB, gtrxn_in_sB, gttxp_out_sB, gttxn_out_sB : std_logic_vector(NUMCH-1 downto 0);
-signal txctrl2_in_sA, txctrl2_in_sB : std_logic_vector((NUMCH*8)-1 downto 0);
-signal rxctrl0_out_sA, rxctrl0_out_sB : std_logic_vector((NUMCH*16)-1 downto 0);
-signal rxctrl1_out_sA, rxctrl1_out_sB : std_logic_vector((NUMCH*16)-1 downto 0);
-signal rxctrl2_out_sA, rxctrl2_out_sB : std_logic_vector((NUMCH*8)-1 downto 0);
-signal rxctrl3_out_sA, rxctrl3_out_sB : std_logic_vector((NUMCH*8)-1 downto 0);
-
-signal rxcdrlock_out_sA, rxcdrlock_out_sB		: std_logic_vector(NUMCH-1 downto 0);
-
---Monitor signals (connect to VIO)
-signal rxpmaresetdone_out_sA, rxpmaresetdone_out_sB     : std_logic_vector(NUMCH-1 downto 0);
-signal txpmaresetdone_out_sA, txpmaresetdone_out_sB     : std_logic_vector(NUMCH-1 downto 0);
-signal gtpowergood_out_sA, gtpowergood_out_sB  	        : std_logic_vector(NUMCH-1 downto 0);
-signal gtwiz_reset_rx_done_out_sA                       : std_logic_vector(NUMCH/4-1 downto 0);
-signal gtwiz_reset_rx_done_out_sB                       : std_logic_vector(NUMCH/4-1 downto 0);
-signal gtwiz_reset_tx_done_out_sA                       : std_logic_vector(NUMCH/4-1 downto 0);
-signal gtwiz_reset_tx_done_out_sB                       : std_logic_vector(NUMCH/4-1 downto 0);
-signal gtwiz_reset_rx_cdr_stable_out_sA                 : std_logic_vector(NUMCH/4-1 downto 0);
-signal gtwiz_reset_rx_cdr_stable_out_sB                 : std_logic_vector(NUMCH/4-1 downto 0);
-
---Resets, connected to VIO
---signal gtwiz_reset_rx_datapath_in 			: std_logic_vector(NUMCH/4-1 downto 0);
-signal gtwiz_reset_rx_datapath_in_sA                    : std_logic_vector(NUMCH/4-1 downto 0);
-signal gtwiz_reset_rx_datapath_in_sB   	       	        : std_logic_vector(NUMCH/4-1 downto 0);
---signal gtwiz_reset_rx_pll_and_datapath_in 		: std_logic_vector(NUMCH/4-1 downto 0);
-signal gtwiz_reset_rx_pll_and_datapath_in_sA            : std_logic_vector(NUMCH/4-1 downto 0);
-signal gtwiz_reset_rx_pll_and_datapath_in_sB            : std_logic_vector(NUMCH/4-1 downto 0);
-signal gtwiz_reset_all_in                               : std_logic_vector(NUMCH/4-1 downto 0);
-signal gtwiz_reset_all_in_sA                            : std_logic_vector(NUMCH/4-1 downto 0);
-signal gtwiz_reset_all_in_sB                            : std_logic_vector(NUMCH/4-1 downto 0);
---signal gtwiz_reset_tx_pll_and_datapath_in 		: std_logic_vector(NUMCH/4-1 downto 0);
-signal gtwiz_reset_tx_pll_and_datapath_in_sA            : std_logic_vector(NUMCH/4-1 downto 0);
-signal gtwiz_reset_tx_pll_and_datapath_in_sB            : std_logic_vector(NUMCH/4-1 downto 0);
---signal gtwiz_reset_tx_datapath_in 			: std_logic_vector(NUMCH/4-1 downto 0);
-signal gtwiz_reset_tx_datapath_in_sA                    : std_logic_vector(NUMCH/4-1 downto 0);
-signal gtwiz_reset_tx_datapath_in_sB                    : std_logic_vector(NUMCH/4-1 downto 0);
---signal gtwiz_userclk_tx_reset_in 			: std_logic_vector(NUMCH/4-1 downto 0);
-signal gtwiz_userclk_tx_reset_in_sA                     : std_logic_vector(NUMCH/4-1 downto 0);
-signal gtwiz_userclk_tx_reset_in_sB                     : std_logic_vector(NUMCH/4-1 downto 0);
---signal gtwiz_userclk_rx_active_in 			: std_logic_vector(NUMCH/4-1 downto 0);
-signal gtwiz_userclk_rx_active_in_sA                    : std_logic_vector(NUMCH/4-1 downto 0);
-signal gtwiz_userclk_rx_active_in_sB                    : std_logic_vector(NUMCH/4-1 downto 0);
---signal gtwiz_userclk_tx_active_out 			: std_logic_vector(NUMCH/4-1 downto 0);
-signal gtwiz_userclk_tx_active_out_sA                   : std_logic_vector(NUMCH/4-1 downto 0);
-signal gtwiz_userclk_tx_active_out_sB                   : std_logic_vector(NUMCH/4-1 downto 0);
+architecture a0 of Transceiver is
 
-type drpclk_in_type is array (NUMCH/4-1 downto 0) of std_logic_vector(3 downto 0);
-signal drpclk_in, gtrefclk0_in_sA, gtrefclk0_in_sB      : drpclk_in_type;
-signal cesyncRX_s, clrsyncRX_s                              : std_logic_vector(NUMCH-1 downto 0);
-signal cesyncTX_s, clrsyncTX_s                              : std_logic_vector(NUMCH-1 downto 0);
+  --signal loopback_s : std_logic_vector(2 downto 0);  -- "000" = no loopback
+  --loopback_s <= prmap_app_control.GTH_LOOPBACK_CONTROL;
 
---signal rxdata_out       : slv32_array(0 to NUMCH-1);
-signal rxcharisk_out    : slv04_array(0 to NUMCH -1);
-signal txdata_in_bufA, txdata_in_bufB        : slv32_array(0 to NUMCH-1);
-signal txcharisk_in     : slv04_array(0 to NUMCH-1);
-signal RXUSRCLK_OUT     : std_logic_vector(NUMCH-1 downto 0);
-signal TXUSRCLK_OUT     : std_logic_vector(NUMCH-1 downto 0);
+  signal loopback : std_logic_vector(23 downto 0);
+  signal txDvalid : std_logic_vector(NUMCH-1 downto 0);
 
 begin
 
-  loopback_in_s <= prmap_app_control.GTH_LOOPBACK_CONTROL;
-  RESETslv <= (others => MReset);
-
--- generate once per PCIE end point
-  genEP_0: if (W_ENDPOINT = 0) generate
-
--- generate once per channel
-    g_CHx_A: for i in 0 to (NUMCH - 1) generate
-      RX_CHxLocked(i) <= rxcdrlock_out_sA(i); --'1';
-
-      gttxp_out(i)  <= gttxp_out_sA(i);
-      gttxn_out(i)  <= gttxn_out_sA(i);
-      gtrxp_in_sA(i) <= gtrxp_in(i);
-      gtrxn_in_sA(i) <= gtrxn_in(i); 
-
-      --RX data, ctrl and clk
-      RxData(i) <= rxdata_out_sA(i*32+31 downto i*32);
-      rxcharisk_out(i) <= rxctrl0_out_sA(i*16+3 downto i*16);
-      RxValid(i) <= '1' when (rxcharisk_out(i) = "0000") else '0';
-      RxClk(i) <= rxusrclk_sA(i);
-      
-      -- EP 0: TRX data, ctrl and clk   
-      txdata_in_bufA(i) <= TxData(31 downto 0)(i);
-      txdata_in_sA(i*32+31 downto i*32) <= txdata_in_bufA(i);
-      txcharisk_in(i) <= (others => TxData(i)(32) );
-      txctrl2_in_sA(i*8+3 downto i*8) <= txcharisk_in(i);      
-      TxClk(i) <= txusrclk_sA(i);
-     
-      -- Rx and Tx clk buffers
-      BUFG_GT_RXinst: BUFG_GT port map(
-       O         => rxusrclk_sA(i),
-       CE        => cesyncRX_s(i),
-       CEMASK    => '1',
-       CLR       => clrsyncRX_s(i),
-       CLRMASK   => '1',
-       DIV       => "000",
-       I         => rxoutclk_sA(i)
-      );
-      
-      BUFG_GT_SYNC_RXinst: BUFG_GT_SYNC port map(
-       CESYNC     => cesyncRX_s(i),
-       CLRSYNC    => clrsyncRX_s(i),
-       CLK        => rxoutclk_sA(i),
-       CE         => '1',
-       CLR        => '0'
-      );
-       
-      BUFG_GT_TXinst: BUFG_GT port map(
-       O         => txusrclk_sA(i),
-       CE        => cesyncTX_s(i),
-       CEMASK    => '1',
-       CLR       => clrsyncTX_s(i),
-       CLRMASK   => '1',
-       DIV       => "000",
-       I         => txoutclk_sA(i)
-      );
-            
-      BUFG_GT_SYNC_TXinst: BUFG_GT_SYNC port map(
-       CESYNC     => cesyncTX_s(i),
-       CLRSYNC    => clrsyncTX_s(i),
-       CLK        => txoutclk_sA(i),
-       CE         => '1',
-       CLR        => '0'
-      );        
-    end generate g_CHx_A;
-
---gen XCVR CoreA: if (W_ENDPOINT = 0) generate
-g_quadsA: for i in 0 to (NUMCH/4-1) generate -- channel A LOWER SLR generate in multiples of 4 channels
-
-    -- RefClk
-    IBUFDS_GTE3_inst0 : IBUFDS_GTE3
-    generic map (
-    REFCLK_EN_TX_PATH => '0', -- Refer to Transceiver User Guide
-    REFCLK_HROW_CK_SEL => "00", -- Refer to Transceiver User Guide
-    REFCLK_ICNTL_RX => "00" -- Refer to Transceiver User Guide
-    )
-    port map (
-    O => gtrefclk0_i, -- 1-bit output: Refer to Transceiver User Guide
-    ODIV2 => open, -- 1-bit output: Refer to Transceiver User Guide
-    CEB => '0', -- 1-bit input: Refer to Transceiver User Guide
-    I => Qx_GTREFCLK_P(0), -- 1-bit input: Refer to Transceiver User Guide
-    IB => Qx_GTREFCLK_N(0) -- 1-bit input: Refer to Transceiver User Guide
-    );
-
-    drpclk_in(i)     <=  (others => sysclk_in);
-    gtrefclk0_in_sA(i) <= (others => gtrefclk0_i);
-    --RX_FSM_RESET_DONE(i) <= RX_FSM_RESET_DONE_sA(i);
-
-    u0 : MRODtransceiver_core
-   PORT MAP (
-      gtwiz_userclk_tx_active_in => (others => '1'),
-      gtwiz_userclk_rx_active_in => gtwiz_userclk_rx_active_in_sA(i downto i),
-      gtwiz_reset_clk_freerun_in(0) => sysclk_in,
-      gtwiz_reset_all_in => gtwiz_reset_all_in_sA(i downto i),
-      gtwiz_reset_tx_pll_and_datapath_in => gtwiz_reset_tx_pll_and_datapath_in_sA(i downto i) or RESETslv,
-      gtwiz_reset_tx_datapath_in => gtwiz_reset_tx_datapath_in_sA(i downto i),
-      gtwiz_reset_rx_pll_and_datapath_in => gtwiz_reset_rx_pll_and_datapath_in_sA(i downto i),
-      gtwiz_reset_rx_datapath_in => gtwiz_reset_rx_datapath_in_sA(i downto i),
-      gtwiz_reset_rx_cdr_stable_out => gtwiz_reset_rx_cdr_stable_out_sA(i downto i),
-      gtwiz_reset_tx_done_out => gtwiz_reset_tx_done_out_sA(i downto i),
-      gtwiz_reset_rx_done_out => RX_FSM_RESET_DONE_sA(i downto i),
-      gtwiz_userdata_tx_in => txdata_in_sA((128*(i+1))-1 downto (128 * (i))),
-      gtwiz_userdata_rx_out => rxdata_out_sA((128*(i+1))-1 downto (128 * (i))),
-      gtrefclk01_in(0) => gtrefclk0_i,
-      qpll1outclk_out => open,
-      qpll1outrefclk_out => open,
-      drpclk_in => drpclk_in(i),
-      gthrxn_in => gtrxn_in_sA,
-      gthrxp_in => gtrxp_in_sA,
-      gtrefclk0_in => gtrefclk0_in_sA(i),
-      loopback_in => (loopback_in_s & loopback_in_s & loopback_in_s & loopback_in_s),-- "1111",
-      rx8b10ben_in => (others => '1'),
-      rxusrclk_in => rxusrclk_sA(i*4+3 downto i*4),
-      rxusrclk2_in => rxusrclk_sA(i*4+3 downto i*4),
-      tx8b10ben_in => (others => '1'),
-      txctrl0_in => (others => '0'),
-      txctrl1_in => (others => '0'),
-      txctrl2_in => txctrl2_in_sA((32*(i+1))-1 downto 32*(i)),
-      txusrclk_in => txusrclk_sA(i*4+3 downto i*4),
-      txusrclk2_in => txusrclk_sA(i*4+3 downto i*4),
-      gthtxn_out => gttxn_out_sA,
-      gthtxp_out => gttxp_out_sA,
-      gtpowergood_out => gtpowergood_out_sA,
-      rxcdrlock_out => rxcdrlock_out_sA((4*(i+1))-1 downto 4*(i)),
-      rxctrl0_out => rxctrl0_out_sA,
-      rxctrl1_out => rxctrl1_out_sA,
-      rxctrl2_out => rxctrl2_out_sA,
-      rxctrl3_out => rxctrl3_out_sA,
-      rxoutclk_out => rxoutclk_sA(i*4+3 downto i*4),
-      rxpmaresetdone_out => rxpmaresetdone_out_sA((4*(i+1))-1 downto 4*(i)),
-      txoutclk_out => txoutclk_sA(i*4+3 downto i*4),
-      txpmaresetdone_out => txpmaresetdone_out_sA((4*(i+1))-1 downto 4*(i)),
-      txprgdivresetdone_out => open
-      );
-
-    transceiver_vioA: vio_0_quad
-      PORT MAP (
-        clk => sysclk_in,
-        probe_in0 => rxpmaresetdone_out_sA,--4
-        probe_in1 => txpmaresetdone_out_sA,--4
-        probe_in2 => gtpowergood_out_sA, --4 
-        probe_in3 => RX_FSM_RESET_DONE_sA, --1
-        probe_in4 => gtwiz_reset_tx_done_out_sA, --1
-        probe_in5 => gtwiz_reset_rx_cdr_stable_out_sA,--1
-        probe_out0 => gtwiz_reset_rx_datapath_in_sA,--1
-        probe_out1 => gtwiz_reset_rx_pll_and_datapath_in_sA,--1
-        probe_out2 => gtwiz_reset_all_in_sA,--1
-        probe_out3 => gtwiz_reset_tx_pll_and_datapath_in_sA,--1
-        probe_out4 => gtwiz_reset_tx_datapath_in_sA,--1
-        probe_out5 => gtwiz_userclk_tx_reset_in_sA,--1
-        probe_out6 => gtwiz_userclk_rx_active_in_sA--1 Set to 1 in VIO.
-      );
-        
-    transceiver_ilaA : ila_gth_quad
-      PORT MAP (
-        clk => rxusrclk_sA(0),
-        probe0 => txdata_in_sA,
-        probe1 => txctrl2_in_sA,
-        probe2 => rxdata_out_sA,
-        probe3 => rxctrl0_out_sA,
-        probe4 => rxctrl1_out_sA,
-        probe5 => rxctrl2_out_sA,
-        probe6 => rxctrl3_out_sA
-      );
- 
-    end generate g_quadsA; --A channel
-  end generate genEP_0;
-
-
-  genEP_1: if (W_ENDPOINT = 1) generate
-
--- generate once per channel
-    g_CHx_B: for i in 0 to (NUMCH - 1) generate
-      RX_CHxLocked(i) <= rxcdrlock_out_sB(i); --'1';
-      
-      gttxp_out(i)  <= gttxp_out_sB(i);
-      gttxn_out(i)  <= gttxn_out_sB(i);
-      gtrxp_in_sB(i) <= gtrxp_in(i);
-      gtrxn_in_sB(i) <= gtrxn_in(i); 
-
-  --RX data, ctrl and clk
-  RxData(i) <= rxdata_out_sB(i*32+31 downto i*32);
-  rxcharisk_out(i) <= rxctrl0_out_sB(i*16+3 downto i*16);
-  RxValid(i) <= '1' when (rxcharisk_out(i) = "0000") else '0';
-  
-  RxClk(i) <= rxusrclk_sB(i);
- 
-  --EP 1: TRX data, ctrl and clk  
-  txdata_in_bufB(i) <= TxData(31 downto 0)(i);
-  txdata_in_sB(i*32+31 downto i*32) <= txdata_in_bufB(i);      
-  txcharisk_in(i) <= (others => TxData(i)(32) );
-  txctrl2_in_sB(i*8+3 downto i*8) <= txcharisk_in(i); 
-  TxClk(i) <= txusrclk_sB(i);
- 
-  -- Rx and Tx clk buffers
-      BUFG_GT_RXinst: BUFG_GT port map(
-        O         => rxusrclk_sB(i),
-        CE         => cesyncRX_s(i),
-        CEMASK     => '1',
-        CLR         => clrsyncRX_s(i),
-        CLRMASK   => '1',
-        DIV         => "000",
-        I         => rxoutclk_sB(i)
-      );
-      
-      BUFG_GT_SYNC_RXinst: BUFG_GT_SYNC port map(
-       CESYNC     => cesyncRX_s(i),
-       CLRSYNC    => clrsyncRX_s(i),
-       CLK        => rxoutclk_sB(i),
-       CE         => '1',
-       CLR        => '0'
-       );
-       
-      BUFG_GT_TXinst: BUFG_GT port map(
-         O         => txusrclk_sB(i),
-         CE         => cesyncTX_s(i),
-         CEMASK     => '1',
-         CLR         => clrsyncTX_s(i),
-         CLRMASK   => '1',
-         DIV         => "000",
-         I         => txoutclk_sB(i)
-       );
-       
-       BUFG_GT_SYNC_TXinst: BUFG_GT_SYNC port map(
-        CESYNC     => cesyncTX_s(i),
-        CLRSYNC    => clrsyncTX_s(i),
-        CLK        => txoutclk_sB(i),
-        CE         => '1',
-        CLR        => '0'
-        );       
-    end generate g_CHx_B;
-
-
---gen XCVR_CoreB: if (W_ENDPOINT = 1) generate
-g_quadsB: for i in 0 to (NUMCH/4-1) generate -- channel B UPPER SLR: generate in multiples of 4 channels
-
-    IBUFDS_GTE3_inst1 : IBUFDS_GTE3
-    generic map (
-    REFCLK_EN_TX_PATH => '0', -- Refer to Transceiver User Guide
-    REFCLK_HROW_CK_SEL => "00", -- Refer to Transceiver User Guide
-    REFCLK_ICNTL_RX => "00" -- Refer to Transceiver User Guide
-    )
-    port map (
-    O => gtrefclk1_i, -- 1-bit output: Refer to Transceiver User Guide
-    ODIV2 => open, -- 1-bit output: Refer to Transceiver User Guide
-    CEB => '0', -- 1-bit input: Refer to Transceiver User Guide
-    I => Qx_GTREFCLK_P(1), -- 1-bit input: Refer to Transceiver User Guide
-    IB => Qx_GTREFCLK_N(1) -- 1-bit input: Refer to Transceiver User Guide
-    );
-    
-    drpclk_in(i)     <=  (others => sysclk_in);
-    gtrefclk0_in_sB(i) <= (others => gtrefclk1_i);
-    --RX_FSM_RESET_DONE(i) <= RX_FSM_RESET_DONE_sB(i);
-      
-    u0 : MRODtransceiver_core
-   PORT MAP (
-      --gtwiz_userclk_tx_reset_in => gtwiz_userclk_tx_reset_in_sB(i downto i),
-      --gtwiz_userclk_tx_srcclk_out => open,
-      --gtwiz_userclk_tx_usrclk_out => txusrclk_sB(i*4+3 downto i*4),
-      --gtwiz_userclk_tx_usrclk2_out => open,
-      --gtwiz_userclk_tx_active_out => gtwiz_userclk_tx_active_out_sB(i downto i),
-      gtwiz_userclk_tx_active_in => (others => '1'),
-      gtwiz_userclk_rx_active_in => gtwiz_userclk_rx_active_in_sB(i downto i),
-      gtwiz_reset_clk_freerun_in(0) => sysclk_in,
-      gtwiz_reset_all_in => gtwiz_reset_all_in_sB(i downto i),
-      gtwiz_reset_tx_pll_and_datapath_in => gtwiz_reset_tx_pll_and_datapath_in_sB(i downto i) or RESETslv,
-      gtwiz_reset_tx_datapath_in => gtwiz_reset_tx_datapath_in_sB(i downto i),
-      gtwiz_reset_rx_pll_and_datapath_in => gtwiz_reset_rx_pll_and_datapath_in_sB(i downto i),
-      gtwiz_reset_rx_datapath_in => gtwiz_reset_rx_datapath_in_sB(i downto i),
-      gtwiz_reset_rx_cdr_stable_out => gtwiz_reset_rx_cdr_stable_out_sB(i downto i),
-      gtwiz_reset_tx_done_out => gtwiz_reset_tx_done_out_sB(i downto i),
-      gtwiz_reset_rx_done_out => RX_FSM_RESET_DONE_sB(i downto i),
-      gtwiz_userdata_tx_in => txdata_in_sB((128*(i+1))-1 downto (128 * (i))),
-      gtwiz_userdata_rx_out => rxdata_out_sB((128*(i+1))-1 downto (128 * (i))),
-      gtrefclk01_in(0) => gtrefclk1_i,
-      qpll1outclk_out => open,
-      qpll1outrefclk_out => open,
-      drpclk_in => drpclk_in(i),
-      gthrxn_in => gtrxn_in_sB,
-      gthrxp_in => gtrxp_in_sB,
-      gtrefclk0_in => gtrefclk0_in_sB(i),
-      loopback_in => (loopback_in_s & loopback_in_s & loopback_in_s & loopback_in_s),-- "010010010010",
-      rx8b10ben_in => (others => '1'),
-      rxusrclk_in => rxusrclk_sB(i*4+3 downto i*4),
-      rxusrclk2_in => rxusrclk_sB(i*4+3 downto i*4),
-      tx8b10ben_in => (others => '1'),
-      txctrl0_in => (others => '0'),
-      txctrl1_in => (others => '0'),
-      txctrl2_in => txctrl2_in_sB((32*(i+1))-1 downto 32*(i)),
-      txusrclk_in => txusrclk_sB(i*4+3 downto i*4),
-      txusrclk2_in => txusrclk_sB(i*4+3 downto i*4),
-      gthtxn_out => gttxn_out_sB,
-      gthtxp_out => gttxp_out_sB,
-      gtpowergood_out => gtpowergood_out_sB,
-      rxcdrlock_out => rxcdrlock_out_sB((4*(i+1))-1 downto 4*(i)),
-      rxctrl0_out => rxctrl0_out_sB,
-      rxctrl1_out => rxctrl1_out_sB,
-      rxctrl2_out => rxctrl2_out_sB,
-      rxctrl3_out => rxctrl3_out_sB,
-      rxoutclk_out => rxoutclk_sB(i*4+3 downto i*4),
-      rxpmaresetdone_out => rxpmaresetdone_out_sB((4*(i+1))-1 downto 4*(i)),
-      txoutclk_out => txoutclk_sB(i*4+3 downto i*4),
-      txpmaresetdone_out => txpmaresetdone_out_sB((4*(i+1))-1 downto 4*(i)),
-      txprgdivresetdone_out => open
-      );
-
-    transceiver_vioB: vio_0_quad
-        PORT MAP (
-          clk => sysclk_in,
-          probe_in0 => rxpmaresetdone_out_sB,--4
-          probe_in1 => txpmaresetdone_out_sB,--4
-          probe_in2 => gtpowergood_out_sB, --4 
-          probe_in3 => RX_FSM_RESET_DONE_sB, --1
-          probe_in4 => gtwiz_reset_tx_done_out_sB, --1
-          probe_in5 => gtwiz_reset_rx_cdr_stable_out_sB,--1
-          probe_out0 => gtwiz_reset_rx_datapath_in_sB,--1
-          probe_out1 => gtwiz_reset_rx_pll_and_datapath_in_sB,--1
-          probe_out2 => gtwiz_reset_all_in_sB,--1
-          probe_out3 => gtwiz_reset_tx_pll_and_datapath_in_sB,--1
-          probe_out4 => gtwiz_reset_tx_datapath_in_sB,--1
-          probe_out5 => gtwiz_userclk_tx_reset_in_sB,--1
-          probe_out6 => gtwiz_userclk_rx_active_in_sB--1
-        );
-
-    transceiver_ilaB : ila_gth_quad
-      PORT MAP (
-        clk => rxusrclk_sB(0),
-        probe0 => txdata_in_sB,
-        probe1 => txctrl2_in_sB,
-        probe2 => rxdata_out_sB,
-        probe3 => rxctrl0_out_sB,
-        probe4 => rxctrl1_out_sB,
-        probe5 => rxctrl2_out_sB,
-        probe6 => rxctrl3_out_sB
-      );
-
-    end generate g_quadsB; -- B-CHANNEL
-  end generate genEP_1;
+  RxClk <= (others => clk50);
+  TxClk <= (others => clk50);
+
+  g0: if (W_ENDPOINT = 0) generate      --
+    loopback <= prmap_app_control.MROD_EP0_TRXLOOPBACK;
+  end generate g0;
+
+  g1: if (W_ENDPOINT = 1) generate      --
+    loopback <= prmap_app_control.MROD_EP1_TRXLOOPBACK;
+  end generate g1;
+
+  g2: for i in NUMCH-1 downto 0 generate
+  begin
+    txDvalid(i) <= '1' when (TxValid(i) = '1' and TxData(i)(32) = '0') else '0';
+    RxValid(i)  <= txDvalid(i) when (loopback(i) = '1') else '0';
+    RxData(i)   <= TxData(i)(31 downto 0) when (txDvalid(i) = '1') else x"04000000";
+  end generate g2;
+
+  g3: for i in NUMCH-1 downto 0 generate
+  begin
+    gttxn_out(i) <= '1';
+    gttxp_out(i) <= '0';
+    RX_CHxLocked(i) <= '1';
+    TX_CHxLocked(i) <= '1';
+  end generate g3;
 
 end architecture a0 ; -- of Transceiver
 
diff --git a/sources/FelixMROD/Transceiver_Quad.vhd b/sources/FelixMROD/Transceiver_Quad.vhd
new file mode 100644
index 000000000..906092934
--- /dev/null
+++ b/sources/FelixMROD/Transceiver_Quad.vhd
@@ -0,0 +1,621 @@
+--!-----------------------------------------------------------------------------
+--! @authors    R. Habraken, T. Wijnen
+--! @company    Radboud University Nijmegen
+--! @startdate  01-Feb-2019
+--! @version    1.0
+--! @project    FELIX_MROD: MROD functionality implemented on a FELIX board.
+--!-----------------------------------------------------------------------------
+--! @brief
+--! Use a FELIX board to interface to GOL links coming from the MDT Chambers.
+--! Provides a new type of interface to possibly replace the MROD system.
+--!
+--!-----------------------------------------------------------------------------
+
+--!-----------------------------------------------------------------------------
+--! @object     Entity design.Transceiver
+--! project     FELIX_MROD
+--! modified    Tue Jun 04 12:14:23 2019
+--!-----------------------------------------------------------------------------
+
+library ieee, work;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+use ieee.std_logic_unsigned.all;
+use work.felix_mrod_package.all;
+use work.centralRouter_package.all;
+--use work.FELIX_gbt_package.all;
+use work.pcie_package.all;
+library UNISIM;
+use UNISIM.VCOMPONENTS.ALL;
+
+entity Transceiver is
+  generic(
+    W_ENDPOINT : integer := 0;
+    NUMCH      : integer := 2;
+    GBT_NUM    : integer := 4);
+  port (
+    EnChan                              : in   std_logic_vector(NUMCH-1 downto 0);
+    MReset                              : in   std_logic;
+    QX_GTREFCLK_N                       : in   std_logic_vector(4 downto 0);
+    QX_GTREFCLK_P                       : in   std_logic_vector(4 downto 0);
+    RX_CHxLocked                        : out  std_logic_vector(NUMCH-1 downto 0);
+    RX_CHxReset                         : in   std_logic_vector(NUMCH-1 downto 0);
+    --RX_FSM_RESET_DONE                   : out  std_logic_vector(NUMCH/4-1 downto 0);
+    ------------------ Receive Ports - FPGA RX interface Ports -----------------
+    RxClk                               : out  std_logic_vector(NUMCH-1 downto 0);
+    RxData                              : out  slv32_array(0 to NUMCH-1);
+    RxValid                             : out  std_logic_vector(NUMCH-1 downto 0);
+    ------------------ Transmit Ports - TX Data Path interface -----------------
+    TRXloopback                         : in   std_logic_vector(NUMCH-1 downto 0);
+    TX_CHxLocked                        : in   std_logic_vector(NUMCH-1 downto 0);
+    TX_CHxReset                        : in   std_logic_vector(NUMCH-1 downto 0);
+    TxClk                               : out  std_logic_vector(NUMCH-1 downto 0);
+    TxData                              : in   slv33_array(0 to NUMCH-1);
+    TxValid                             : in   std_logic_vector(NUMCH-1 downto 0);
+    ------------------ Transmit Ports - pattern Generator Ports ----------------
+    --Txprbssel_in                        : in   std_logic_vector(2 downto 0);
+    clk50                               : in   std_logic;
+    gtrxn_in                            : in   std_logic_vector(NUMCH-1 downto 0);
+    gtrxp_in                            : in   std_logic_vector(NUMCH-1 downto 0);
+    gttxn_out                           : out  std_logic_vector(NUMCH-1 downto 0);
+    gttxp_out                           : out  std_logic_vector(NUMCH-1 downto 0);
+    ------------------ 40 MHz system (DRP) clk
+    prmap_app_control                       : in  register_map_control_type;
+    --register_map_control                : in   register_map_control_type;
+    sysclk_in                           : in   std_logic
+    );
+
+end entity Transceiver;
+
+--!-----------------------------------------------------------------------------
+--! @object     Architecture design.Transceiver.a0
+--! project     FELIX_MROD
+--! modified    Tue Jun 04 12:14:23 2019
+--!-----------------------------------------------------------------------------
+
+architecture a0 of Transceiver is
+
+--COMPONENT MRODtransceiver_core
+--  PORT (
+--    gtwiz_userclk_tx_reset_in : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
+--    gtwiz_userclk_tx_srcclk_out : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
+--    gtwiz_userclk_tx_usrclk_out : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
+--    gtwiz_userclk_tx_usrclk2_out : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
+--    gtwiz_userclk_tx_active_out : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
+--    gtwiz_userclk_rx_active_in : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
+--    gtwiz_reset_clk_freerun_in : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
+--    gtwiz_reset_all_in : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
+--    gtwiz_reset_tx_pll_and_datapath_in : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
+--    gtwiz_reset_tx_datapath_in : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
+--    gtwiz_reset_rx_pll_and_datapath_in : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
+--    gtwiz_reset_rx_datapath_in : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
+--    gtwiz_reset_rx_cdr_stable_out : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
+--    gtwiz_reset_tx_done_out : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
+--    gtwiz_reset_rx_done_out : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
+--    gtwiz_userdata_tx_in : IN STD_LOGIC_VECTOR(127 DOWNTO 0);
+--    gtwiz_userdata_rx_out : OUT STD_LOGIC_VECTOR(127 DOWNTO 0);
+--    gtrefclk01_in : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
+--    qpll1outclk_out : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
+--    qpll1outrefclk_out : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
+--    drpclk_in : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
+--    gthrxn_in : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
+--    gthrxp_in : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
+--    gtrefclk0_in : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
+--    loopback_in : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
+--    rx8b10ben_in : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
+--    rxusrclk_in : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
+--    rxusrclk2_in : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
+--    tx8b10ben_in : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
+--    txctrl0_in : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
+--    txctrl1_in : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
+--    txctrl2_in : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
+--    gthtxn_out : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
+--    gthtxp_out : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
+--    gtpowergood_out : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
+--    rxcdrlock_out : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
+--    rxctrl0_out : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
+--    rxctrl1_out : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
+--    rxctrl2_out : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
+--    rxctrl3_out : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
+--    rxoutclk_out : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
+--    rxpmaresetdone_out : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
+--    txpmaresetdone_out : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
+--    txprgdivresetdone_out : OUT STD_LOGIC_VECTOR(3 DOWNTO 0)
+--  );
+--END COMPONENT;
+
+COMPONENT MRODtransceiver_core
+  PORT (
+    gtwiz_userclk_tx_active_in : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
+    gtwiz_userclk_rx_active_in : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
+    gtwiz_reset_clk_freerun_in : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
+    gtwiz_reset_all_in : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
+    gtwiz_reset_tx_pll_and_datapath_in : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
+    gtwiz_reset_tx_datapath_in : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
+    gtwiz_reset_rx_pll_and_datapath_in : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
+    gtwiz_reset_rx_datapath_in : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
+    gtwiz_reset_rx_cdr_stable_out : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
+    gtwiz_reset_tx_done_out : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
+    gtwiz_reset_rx_done_out : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
+    gtwiz_userdata_tx_in : IN STD_LOGIC_VECTOR(127 DOWNTO 0);
+    gtwiz_userdata_rx_out : OUT STD_LOGIC_VECTOR(127 DOWNTO 0);
+    gtrefclk01_in : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
+    qpll1outclk_out : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
+    qpll1outrefclk_out : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
+    drpclk_in : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
+    gthrxn_in : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
+    gthrxp_in : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
+    gtrefclk0_in : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
+    loopback_in : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
+    rx8b10ben_in : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
+    rxusrclk_in : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
+    rxusrclk2_in : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
+    tx8b10ben_in : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
+    txctrl0_in : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
+    txctrl1_in : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
+    txctrl2_in : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
+    txusrclk_in : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
+    txusrclk2_in : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
+    gthtxn_out : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
+    gthtxp_out : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
+    gtpowergood_out : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
+    rxcdrlock_out : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
+    rxctrl0_out : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
+    rxctrl1_out : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
+    rxctrl2_out : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
+    rxctrl3_out : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
+    rxoutclk_out : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
+    rxpmaresetdone_out : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
+    txoutclk_out : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
+    txpmaresetdone_out : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
+    txprgdivresetdone_out : OUT STD_LOGIC_VECTOR(3 DOWNTO 0)
+  );
+END COMPONENT;
+
+
+COMPONENT vio_0_quad
+  PORT (
+    clk : IN STD_LOGIC;
+    probe_in0 : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
+    probe_in1 : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
+    probe_in2 : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
+    probe_in3 : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
+    probe_in4 : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
+    probe_in5 : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
+    probe_out0 : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
+    probe_out1 : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
+    probe_out2 : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
+    probe_out3 : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
+    probe_out4 : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
+    probe_out5 : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
+    probe_out6 : OUT STD_LOGIC_VECTOR(0 DOWNTO 0)
+  );
+END COMPONENT;
+
+COMPONENT ila_gth_quad
+
+PORT (
+	clk : IN STD_LOGIC;
+	probe0 : IN STD_LOGIC_VECTOR(127 DOWNTO 0); 
+	probe1 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); 
+	probe2 : IN STD_LOGIC_VECTOR(127 DOWNTO 0); 
+	probe3 : IN STD_LOGIC_VECTOR(63 DOWNTO 0); 
+	probe4 : IN STD_LOGIC_VECTOR(63 DOWNTO 0); 
+	probe5 : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
+	probe6 : IN STD_LOGIC_VECTOR(31 DOWNTO 0)
+);
+END COMPONENT  ;
+
+signal RESETslv: std_logic_vector (0 downto 0);
+signal RX_FSM_RESET_DONE_sA,RX_FSM_RESET_DONE_sB: std_logic_vector(NUMCH/4-1 downto 0);
+--signal RXSLIDE_S: std_logic_vector(NUMCH - 1 downto 0);
+signal gtrefclk0_i, gtrefclk1_i, gtrefclk2_i : std_logic;
+signal loopback_in_s : std_logic_vector(2 downto 0);
+signal rxusrclk_sA, rxusrclk_sB : std_logic_vector(NUMCH-1 downto 0);
+signal rxoutclk_sA, rxoutclk_sB : std_logic_vector(NUMCH-1 downto 0);
+signal txusrclk_sA, txusrclk_sB : std_logic_vector(NUMCH-1 downto 0);
+signal txoutclk_sA, txoutclk_sB : std_logic_vector(NUMCH-1 downto 0);
+signal rxdata_out_sA, rxdata_out_sB : std_logic_vector((NUMCH*32)-1 downto 0);
+signal txdata_in_sA, txdata_in_sB : std_logic_vector((NUMCH*32)-1 downto 0);
+signal gtrxp_in_sA, gtrxn_in_sA, gttxp_out_sA, gttxn_out_sA : std_logic_vector(NUMCH-1 downto 0);
+signal gtrxp_in_sB, gtrxn_in_sB, gttxp_out_sB, gttxn_out_sB : std_logic_vector(NUMCH-1 downto 0);
+signal txctrl2_in_sA, txctrl2_in_sB : std_logic_vector((NUMCH*8)-1 downto 0);
+signal rxctrl0_out_sA, rxctrl0_out_sB : std_logic_vector((NUMCH*16)-1 downto 0);
+signal rxctrl1_out_sA, rxctrl1_out_sB : std_logic_vector((NUMCH*16)-1 downto 0);
+signal rxctrl2_out_sA, rxctrl2_out_sB : std_logic_vector((NUMCH*8)-1 downto 0);
+signal rxctrl3_out_sA, rxctrl3_out_sB : std_logic_vector((NUMCH*8)-1 downto 0);
+
+signal rxcdrlock_out_sA, rxcdrlock_out_sB		: std_logic_vector(NUMCH-1 downto 0);
+
+--Monitor signals (connect to VIO)
+signal rxpmaresetdone_out_sA, rxpmaresetdone_out_sB     : std_logic_vector(NUMCH-1 downto 0);
+signal txpmaresetdone_out_sA, txpmaresetdone_out_sB     : std_logic_vector(NUMCH-1 downto 0);
+signal gtpowergood_out_sA, gtpowergood_out_sB  	        : std_logic_vector(NUMCH-1 downto 0);
+signal gtwiz_reset_rx_done_out_sA                       : std_logic_vector(NUMCH/4-1 downto 0);
+signal gtwiz_reset_rx_done_out_sB                       : std_logic_vector(NUMCH/4-1 downto 0);
+signal gtwiz_reset_tx_done_out_sA                       : std_logic_vector(NUMCH/4-1 downto 0);
+signal gtwiz_reset_tx_done_out_sB                       : std_logic_vector(NUMCH/4-1 downto 0);
+signal gtwiz_reset_rx_cdr_stable_out_sA                 : std_logic_vector(NUMCH/4-1 downto 0);
+signal gtwiz_reset_rx_cdr_stable_out_sB                 : std_logic_vector(NUMCH/4-1 downto 0);
+
+--Resets, connected to VIO
+--signal gtwiz_reset_rx_datapath_in 			: std_logic_vector(NUMCH/4-1 downto 0);
+signal gtwiz_reset_rx_datapath_in_sA                    : std_logic_vector(NUMCH/4-1 downto 0);
+signal gtwiz_reset_rx_datapath_in_sB   	       	        : std_logic_vector(NUMCH/4-1 downto 0);
+--signal gtwiz_reset_rx_pll_and_datapath_in 		: std_logic_vector(NUMCH/4-1 downto 0);
+signal gtwiz_reset_rx_pll_and_datapath_in_sA            : std_logic_vector(NUMCH/4-1 downto 0);
+signal gtwiz_reset_rx_pll_and_datapath_in_sB            : std_logic_vector(NUMCH/4-1 downto 0);
+signal gtwiz_reset_all_in                               : std_logic_vector(NUMCH/4-1 downto 0);
+signal gtwiz_reset_all_in_sA                            : std_logic_vector(NUMCH/4-1 downto 0);
+signal gtwiz_reset_all_in_sB                            : std_logic_vector(NUMCH/4-1 downto 0);
+--signal gtwiz_reset_tx_pll_and_datapath_in 		: std_logic_vector(NUMCH/4-1 downto 0);
+signal gtwiz_reset_tx_pll_and_datapath_in_sA            : std_logic_vector(NUMCH/4-1 downto 0);
+signal gtwiz_reset_tx_pll_and_datapath_in_sB            : std_logic_vector(NUMCH/4-1 downto 0);
+--signal gtwiz_reset_tx_datapath_in 			: std_logic_vector(NUMCH/4-1 downto 0);
+signal gtwiz_reset_tx_datapath_in_sA                    : std_logic_vector(NUMCH/4-1 downto 0);
+signal gtwiz_reset_tx_datapath_in_sB                    : std_logic_vector(NUMCH/4-1 downto 0);
+--signal gtwiz_userclk_tx_reset_in 			: std_logic_vector(NUMCH/4-1 downto 0);
+signal gtwiz_userclk_tx_reset_in_sA                     : std_logic_vector(NUMCH/4-1 downto 0);
+signal gtwiz_userclk_tx_reset_in_sB                     : std_logic_vector(NUMCH/4-1 downto 0);
+--signal gtwiz_userclk_rx_active_in 			: std_logic_vector(NUMCH/4-1 downto 0);
+signal gtwiz_userclk_rx_active_in_sA                    : std_logic_vector(NUMCH/4-1 downto 0);
+signal gtwiz_userclk_rx_active_in_sB                    : std_logic_vector(NUMCH/4-1 downto 0);
+--signal gtwiz_userclk_tx_active_out 			: std_logic_vector(NUMCH/4-1 downto 0);
+signal gtwiz_userclk_tx_active_out_sA                   : std_logic_vector(NUMCH/4-1 downto 0);
+signal gtwiz_userclk_tx_active_out_sB                   : std_logic_vector(NUMCH/4-1 downto 0);
+
+type drpclk_in_type is array (NUMCH/4-1 downto 0) of std_logic_vector(3 downto 0);
+signal drpclk_in, gtrefclk0_in_sA, gtrefclk0_in_sB      : drpclk_in_type;
+signal cesyncRX_s, clrsyncRX_s                              : std_logic_vector(NUMCH-1 downto 0);
+signal cesyncTX_s, clrsyncTX_s                              : std_logic_vector(NUMCH-1 downto 0);
+
+--signal rxdata_out       : slv32_array(0 to NUMCH-1);
+signal rxcharisk_out    : slv04_array(0 to NUMCH -1);
+signal txdata_in_bufA, txdata_in_bufB        : slv32_array(0 to NUMCH-1);
+signal txcharisk_in     : slv04_array(0 to NUMCH-1);
+signal RXUSRCLK_OUT     : std_logic_vector(NUMCH-1 downto 0);
+signal TXUSRCLK_OUT     : std_logic_vector(NUMCH-1 downto 0);
+
+begin
+
+  loopback_in_s <= prmap_app_control.GTH_LOOPBACK_CONTROL;
+  RESETslv <= (others => MReset);
+
+-- generate once per PCIE end point
+  genEP_0: if (W_ENDPOINT = 0) generate
+
+-- generate once per channel
+    g_CHx_A: for i in 0 to (NUMCH - 1) generate
+      RX_CHxLocked(i) <= rxcdrlock_out_sA(i); --'1';
+
+      gttxp_out(i)  <= gttxp_out_sA(i);
+      gttxn_out(i)  <= gttxn_out_sA(i);
+      gtrxp_in_sA(i) <= gtrxp_in(i);
+      gtrxn_in_sA(i) <= gtrxn_in(i); 
+
+      --RX data, ctrl and clk
+      RxData(i) <= rxdata_out_sA(i*32+31 downto i*32);
+      rxcharisk_out(i) <= rxctrl0_out_sA(i*16+3 downto i*16);
+      RxValid(i) <= '1' when (rxcharisk_out(i) = "0000") else '0';
+      RxClk(i) <= rxusrclk_sA(i);
+      
+      -- EP 0: TRX data, ctrl and clk   
+      txdata_in_bufA(i) <= TxData(31 downto 0)(i);
+      txdata_in_sA(i*32+31 downto i*32) <= txdata_in_bufA(i);
+      txcharisk_in(i) <= (others => TxData(i)(32) );
+      txctrl2_in_sA(i*8+3 downto i*8) <= txcharisk_in(i);      
+      TxClk(i) <= txusrclk_sA(i);
+     
+      -- Rx and Tx clk buffers
+      BUFG_GT_RXinst: BUFG_GT port map(
+       O         => rxusrclk_sA(i),
+       CE        => cesyncRX_s(i),
+       CEMASK    => '1',
+       CLR       => clrsyncRX_s(i),
+       CLRMASK   => '1',
+       DIV       => "000",
+       I         => rxoutclk_sA(i)
+      );
+      
+      BUFG_GT_SYNC_RXinst: BUFG_GT_SYNC port map(
+       CESYNC     => cesyncRX_s(i),
+       CLRSYNC    => clrsyncRX_s(i),
+       CLK        => rxoutclk_sA(i),
+       CE         => '1',
+       CLR        => '0'
+      );
+       
+      BUFG_GT_TXinst: BUFG_GT port map(
+       O         => txusrclk_sA(i),
+       CE        => cesyncTX_s(i),
+       CEMASK    => '1',
+       CLR       => clrsyncTX_s(i),
+       CLRMASK   => '1',
+       DIV       => "000",
+       I         => txoutclk_sA(i)
+      );
+            
+      BUFG_GT_SYNC_TXinst: BUFG_GT_SYNC port map(
+       CESYNC     => cesyncTX_s(i),
+       CLRSYNC    => clrsyncTX_s(i),
+       CLK        => txoutclk_sA(i),
+       CE         => '1',
+       CLR        => '0'
+      );        
+    end generate g_CHx_A;
+
+--gen XCVR CoreA: if (W_ENDPOINT = 0) generate
+g_quadsA: for i in 0 to (NUMCH/4-1) generate -- channel A LOWER SLR generate in multiples of 4 channels
+
+    -- RefClk
+    IBUFDS_GTE3_inst0 : IBUFDS_GTE3
+    generic map (
+    REFCLK_EN_TX_PATH => '0', -- Refer to Transceiver User Guide
+    REFCLK_HROW_CK_SEL => "00", -- Refer to Transceiver User Guide
+    REFCLK_ICNTL_RX => "00" -- Refer to Transceiver User Guide
+    )
+    port map (
+    O => gtrefclk0_i, -- 1-bit output: Refer to Transceiver User Guide
+    ODIV2 => open, -- 1-bit output: Refer to Transceiver User Guide
+    CEB => '0', -- 1-bit input: Refer to Transceiver User Guide
+    I => Qx_GTREFCLK_P(0), -- 1-bit input: Refer to Transceiver User Guide
+    IB => Qx_GTREFCLK_N(0) -- 1-bit input: Refer to Transceiver User Guide
+    );
+
+    drpclk_in(i)     <=  (others => sysclk_in);
+    gtrefclk0_in_sA(i) <= (others => gtrefclk0_i);
+    --RX_FSM_RESET_DONE(i) <= RX_FSM_RESET_DONE_sA(i);
+
+    u0 : MRODtransceiver_core
+   PORT MAP (
+      gtwiz_userclk_tx_active_in => (others => '1'),
+      gtwiz_userclk_rx_active_in => gtwiz_userclk_rx_active_in_sA(i downto i),
+      gtwiz_reset_clk_freerun_in(0) => sysclk_in,
+      gtwiz_reset_all_in => gtwiz_reset_all_in_sA(i downto i),
+      gtwiz_reset_tx_pll_and_datapath_in => gtwiz_reset_tx_pll_and_datapath_in_sA(i downto i) or RESETslv,
+      gtwiz_reset_tx_datapath_in => gtwiz_reset_tx_datapath_in_sA(i downto i),
+      gtwiz_reset_rx_pll_and_datapath_in => gtwiz_reset_rx_pll_and_datapath_in_sA(i downto i),
+      gtwiz_reset_rx_datapath_in => gtwiz_reset_rx_datapath_in_sA(i downto i),
+      gtwiz_reset_rx_cdr_stable_out => gtwiz_reset_rx_cdr_stable_out_sA(i downto i),
+      gtwiz_reset_tx_done_out => gtwiz_reset_tx_done_out_sA(i downto i),
+      gtwiz_reset_rx_done_out => RX_FSM_RESET_DONE_sA(i downto i),
+      gtwiz_userdata_tx_in => txdata_in_sA((128*(i+1))-1 downto (128 * (i))),
+      gtwiz_userdata_rx_out => rxdata_out_sA((128*(i+1))-1 downto (128 * (i))),
+      gtrefclk01_in(0) => gtrefclk0_i,
+      qpll1outclk_out => open,
+      qpll1outrefclk_out => open,
+      drpclk_in => drpclk_in(i),
+      gthrxn_in => gtrxn_in_sA,
+      gthrxp_in => gtrxp_in_sA,
+      gtrefclk0_in => gtrefclk0_in_sA(i),
+      loopback_in => (loopback_in_s & loopback_in_s & loopback_in_s & loopback_in_s),-- "1111",
+      rx8b10ben_in => (others => '1'),
+      rxusrclk_in => rxusrclk_sA(i*4+3 downto i*4),
+      rxusrclk2_in => rxusrclk_sA(i*4+3 downto i*4),
+      tx8b10ben_in => (others => '1'),
+      txctrl0_in => (others => '0'),
+      txctrl1_in => (others => '0'),
+      txctrl2_in => txctrl2_in_sA((32*(i+1))-1 downto 32*(i)),
+      txusrclk_in => txusrclk_sA(i*4+3 downto i*4),
+      txusrclk2_in => txusrclk_sA(i*4+3 downto i*4),
+      gthtxn_out => gttxn_out_sA,
+      gthtxp_out => gttxp_out_sA,
+      gtpowergood_out => gtpowergood_out_sA,
+      rxcdrlock_out => rxcdrlock_out_sA((4*(i+1))-1 downto 4*(i)),
+      rxctrl0_out => rxctrl0_out_sA,
+      rxctrl1_out => rxctrl1_out_sA,
+      rxctrl2_out => rxctrl2_out_sA,
+      rxctrl3_out => rxctrl3_out_sA,
+      rxoutclk_out => rxoutclk_sA(i*4+3 downto i*4),
+      rxpmaresetdone_out => rxpmaresetdone_out_sA((4*(i+1))-1 downto 4*(i)),
+      txoutclk_out => txoutclk_sA(i*4+3 downto i*4),
+      txpmaresetdone_out => txpmaresetdone_out_sA((4*(i+1))-1 downto 4*(i)),
+      txprgdivresetdone_out => open
+      );
+
+    transceiver_vioA: vio_0_quad
+      PORT MAP (
+        clk => sysclk_in,
+        probe_in0 => rxpmaresetdone_out_sA,--4
+        probe_in1 => txpmaresetdone_out_sA,--4
+        probe_in2 => gtpowergood_out_sA, --4 
+        probe_in3 => RX_FSM_RESET_DONE_sA, --1
+        probe_in4 => gtwiz_reset_tx_done_out_sA, --1
+        probe_in5 => gtwiz_reset_rx_cdr_stable_out_sA,--1
+        probe_out0 => gtwiz_reset_rx_datapath_in_sA,--1
+        probe_out1 => gtwiz_reset_rx_pll_and_datapath_in_sA,--1
+        probe_out2 => gtwiz_reset_all_in_sA,--1
+        probe_out3 => gtwiz_reset_tx_pll_and_datapath_in_sA,--1
+        probe_out4 => gtwiz_reset_tx_datapath_in_sA,--1
+        probe_out5 => gtwiz_userclk_tx_reset_in_sA,--1
+        probe_out6 => gtwiz_userclk_rx_active_in_sA--1 Set to 1 in VIO.
+      );
+        
+    transceiver_ilaA : ila_gth_quad
+      PORT MAP (
+        clk => rxusrclk_sA(0),
+        probe0 => txdata_in_sA,
+        probe1 => txctrl2_in_sA,
+        probe2 => rxdata_out_sA,
+        probe3 => rxctrl0_out_sA,
+        probe4 => rxctrl1_out_sA,
+        probe5 => rxctrl2_out_sA,
+        probe6 => rxctrl3_out_sA
+      );
+ 
+    end generate g_quadsA; --A channel
+  end generate genEP_0;
+
+
+  genEP_1: if (W_ENDPOINT = 1) generate
+
+-- generate once per channel
+    g_CHx_B: for i in 0 to (NUMCH - 1) generate
+      RX_CHxLocked(i) <= rxcdrlock_out_sB(i); --'1';
+      
+      gttxp_out(i)  <= gttxp_out_sB(i);
+      gttxn_out(i)  <= gttxn_out_sB(i);
+      gtrxp_in_sB(i) <= gtrxp_in(i);
+      gtrxn_in_sB(i) <= gtrxn_in(i); 
+
+  --RX data, ctrl and clk
+  RxData(i) <= rxdata_out_sB(i*32+31 downto i*32);
+  rxcharisk_out(i) <= rxctrl0_out_sB(i*16+3 downto i*16);
+  RxValid(i) <= '1' when (rxcharisk_out(i) = "0000") else '0';
+  
+  RxClk(i) <= rxusrclk_sB(i);
+ 
+  --EP 1: TRX data, ctrl and clk  
+  txdata_in_bufB(i) <= TxData(31 downto 0)(i);
+  txdata_in_sB(i*32+31 downto i*32) <= txdata_in_bufB(i);      
+  txcharisk_in(i) <= (others => TxData(i)(32) );
+  txctrl2_in_sB(i*8+3 downto i*8) <= txcharisk_in(i); 
+  TxClk(i) <= txusrclk_sB(i);
+ 
+  -- Rx and Tx clk buffers
+      BUFG_GT_RXinst: BUFG_GT port map(
+        O         => rxusrclk_sB(i),
+        CE         => cesyncRX_s(i),
+        CEMASK     => '1',
+        CLR         => clrsyncRX_s(i),
+        CLRMASK   => '1',
+        DIV         => "000",
+        I         => rxoutclk_sB(i)
+      );
+      
+      BUFG_GT_SYNC_RXinst: BUFG_GT_SYNC port map(
+       CESYNC     => cesyncRX_s(i),
+       CLRSYNC    => clrsyncRX_s(i),
+       CLK        => rxoutclk_sB(i),
+       CE         => '1',
+       CLR        => '0'
+       );
+       
+      BUFG_GT_TXinst: BUFG_GT port map(
+         O         => txusrclk_sB(i),
+         CE         => cesyncTX_s(i),
+         CEMASK     => '1',
+         CLR         => clrsyncTX_s(i),
+         CLRMASK   => '1',
+         DIV         => "000",
+         I         => txoutclk_sB(i)
+       );
+       
+       BUFG_GT_SYNC_TXinst: BUFG_GT_SYNC port map(
+        CESYNC     => cesyncTX_s(i),
+        CLRSYNC    => clrsyncTX_s(i),
+        CLK        => txoutclk_sB(i),
+        CE         => '1',
+        CLR        => '0'
+        );       
+    end generate g_CHx_B;
+
+
+--gen XCVR_CoreB: if (W_ENDPOINT = 1) generate
+g_quadsB: for i in 0 to (NUMCH/4-1) generate -- channel B UPPER SLR: generate in multiples of 4 channels
+
+    IBUFDS_GTE3_inst1 : IBUFDS_GTE3
+    generic map (
+    REFCLK_EN_TX_PATH => '0', -- Refer to Transceiver User Guide
+    REFCLK_HROW_CK_SEL => "00", -- Refer to Transceiver User Guide
+    REFCLK_ICNTL_RX => "00" -- Refer to Transceiver User Guide
+    )
+    port map (
+    O => gtrefclk1_i, -- 1-bit output: Refer to Transceiver User Guide
+    ODIV2 => open, -- 1-bit output: Refer to Transceiver User Guide
+    CEB => '0', -- 1-bit input: Refer to Transceiver User Guide
+    I => Qx_GTREFCLK_P(1), -- 1-bit input: Refer to Transceiver User Guide
+    IB => Qx_GTREFCLK_N(1) -- 1-bit input: Refer to Transceiver User Guide
+    );
+    
+    drpclk_in(i)     <=  (others => sysclk_in);
+    gtrefclk0_in_sB(i) <= (others => gtrefclk1_i);
+    --RX_FSM_RESET_DONE(i) <= RX_FSM_RESET_DONE_sB(i);
+      
+    u0 : MRODtransceiver_core
+   PORT MAP (
+      --gtwiz_userclk_tx_reset_in => gtwiz_userclk_tx_reset_in_sB(i downto i),
+      --gtwiz_userclk_tx_srcclk_out => open,
+      --gtwiz_userclk_tx_usrclk_out => txusrclk_sB(i*4+3 downto i*4),
+      --gtwiz_userclk_tx_usrclk2_out => open,
+      --gtwiz_userclk_tx_active_out => gtwiz_userclk_tx_active_out_sB(i downto i),
+      gtwiz_userclk_tx_active_in => (others => '1'),
+      gtwiz_userclk_rx_active_in => gtwiz_userclk_rx_active_in_sB(i downto i),
+      gtwiz_reset_clk_freerun_in(0) => sysclk_in,
+      gtwiz_reset_all_in => gtwiz_reset_all_in_sB(i downto i),
+      gtwiz_reset_tx_pll_and_datapath_in => gtwiz_reset_tx_pll_and_datapath_in_sB(i downto i) or RESETslv,
+      gtwiz_reset_tx_datapath_in => gtwiz_reset_tx_datapath_in_sB(i downto i),
+      gtwiz_reset_rx_pll_and_datapath_in => gtwiz_reset_rx_pll_and_datapath_in_sB(i downto i),
+      gtwiz_reset_rx_datapath_in => gtwiz_reset_rx_datapath_in_sB(i downto i),
+      gtwiz_reset_rx_cdr_stable_out => gtwiz_reset_rx_cdr_stable_out_sB(i downto i),
+      gtwiz_reset_tx_done_out => gtwiz_reset_tx_done_out_sB(i downto i),
+      gtwiz_reset_rx_done_out => RX_FSM_RESET_DONE_sB(i downto i),
+      gtwiz_userdata_tx_in => txdata_in_sB((128*(i+1))-1 downto (128 * (i))),
+      gtwiz_userdata_rx_out => rxdata_out_sB((128*(i+1))-1 downto (128 * (i))),
+      gtrefclk01_in(0) => gtrefclk1_i,
+      qpll1outclk_out => open,
+      qpll1outrefclk_out => open,
+      drpclk_in => drpclk_in(i),
+      gthrxn_in => gtrxn_in_sB,
+      gthrxp_in => gtrxp_in_sB,
+      gtrefclk0_in => gtrefclk0_in_sB(i),
+      loopback_in => (loopback_in_s & loopback_in_s & loopback_in_s & loopback_in_s),-- "010010010010",
+      rx8b10ben_in => (others => '1'),
+      rxusrclk_in => rxusrclk_sB(i*4+3 downto i*4),
+      rxusrclk2_in => rxusrclk_sB(i*4+3 downto i*4),
+      tx8b10ben_in => (others => '1'),
+      txctrl0_in => (others => '0'),
+      txctrl1_in => (others => '0'),
+      txctrl2_in => txctrl2_in_sB((32*(i+1))-1 downto 32*(i)),
+      txusrclk_in => txusrclk_sB(i*4+3 downto i*4),
+      txusrclk2_in => txusrclk_sB(i*4+3 downto i*4),
+      gthtxn_out => gttxn_out_sB,
+      gthtxp_out => gttxp_out_sB,
+      gtpowergood_out => gtpowergood_out_sB,
+      rxcdrlock_out => rxcdrlock_out_sB((4*(i+1))-1 downto 4*(i)),
+      rxctrl0_out => rxctrl0_out_sB,
+      rxctrl1_out => rxctrl1_out_sB,
+      rxctrl2_out => rxctrl2_out_sB,
+      rxctrl3_out => rxctrl3_out_sB,
+      rxoutclk_out => rxoutclk_sB(i*4+3 downto i*4),
+      rxpmaresetdone_out => rxpmaresetdone_out_sB((4*(i+1))-1 downto 4*(i)),
+      txoutclk_out => txoutclk_sB(i*4+3 downto i*4),
+      txpmaresetdone_out => txpmaresetdone_out_sB((4*(i+1))-1 downto 4*(i)),
+      txprgdivresetdone_out => open
+      );
+
+    transceiver_vioB: vio_0_quad
+        PORT MAP (
+          clk => sysclk_in,
+          probe_in0 => rxpmaresetdone_out_sB,--4
+          probe_in1 => txpmaresetdone_out_sB,--4
+          probe_in2 => gtpowergood_out_sB, --4 
+          probe_in3 => RX_FSM_RESET_DONE_sB, --1
+          probe_in4 => gtwiz_reset_tx_done_out_sB, --1
+          probe_in5 => gtwiz_reset_rx_cdr_stable_out_sB,--1
+          probe_out0 => gtwiz_reset_rx_datapath_in_sB,--1
+          probe_out1 => gtwiz_reset_rx_pll_and_datapath_in_sB,--1
+          probe_out2 => gtwiz_reset_all_in_sB,--1
+          probe_out3 => gtwiz_reset_tx_pll_and_datapath_in_sB,--1
+          probe_out4 => gtwiz_reset_tx_datapath_in_sB,--1
+          probe_out5 => gtwiz_userclk_tx_reset_in_sB,--1
+          probe_out6 => gtwiz_userclk_rx_active_in_sB--1
+        );
+
+    transceiver_ilaB : ila_gth_quad
+      PORT MAP (
+        clk => rxusrclk_sB(0),
+        probe0 => txdata_in_sB,
+        probe1 => txctrl2_in_sB,
+        probe2 => rxdata_out_sB,
+        probe3 => rxctrl0_out_sB,
+        probe4 => rxctrl1_out_sB,
+        probe5 => rxctrl2_out_sB,
+        probe6 => rxctrl3_out_sB
+      );
+
+    end generate g_quadsB; -- B-CHANNEL
+  end generate genEP_1;
+
+end architecture a0 ; -- of Transceiver
+
diff --git a/sources/FelixMROD/TrxBuffer.vhd b/sources/FelixMROD/TrxBuffer.vhd
index fa2bb0f97..54c8128cd 100644
--- a/sources/FelixMROD/TrxBuffer.vhd
+++ b/sources/FelixMROD/TrxBuffer.vhd
@@ -14,7 +14,7 @@
 --!-----------------------------------------------------------------------------
 --! @object     Entity design.TrxBuffer
 --! =project    FELIX_MROD
---! @modified   Tue Jan 21 22:44:30 2020
+--! @modified   Wed Apr 01 23:14:18 2020
 --!-----------------------------------------------------------------------------
 
 library ieee, work;
@@ -41,21 +41,20 @@ entity TrxBuffer is
     RxValid           : out    std_logic_vector(NUMCH-1 downto 0);
     TXfrGTH_N         : out    std_logic_vector(NUMCH-1 downto 0);
     TXfrGTH_P         : out    std_logic_vector(NUMCH-1 downto 0);
+    TrxMonitor        : out    regs_trx_monitor;
     TxClk             : out    std_logic_vector(NUMCH-1 downto 0);
     TxData            : in     slv33_array(0 to NUMCH-1);
     TxValid           : in     std_logic_vector(NUMCH-1 downto 0);
     clk40             : in     std_logic;
     clk50             : in     std_logic;
     prmap_app_control : in     register_map_control_type;
-    prmap_mrodEP0_mon : out    regmap_mrodEP0_monitor_type;
-    prmap_mrodEP1_mon : out    regmap_mrodEP1_monitor_type;
     sys_reset_n       : in     std_logic);
 end entity TrxBuffer;
 
 --!-----------------------------------------------------------------------------
 --! @object     Architecture design.TrxBuffer.a0
 --! =project    FELIX_MROD
---! @modified   Tue Jan 21 22:44:30 2020
+--! @modified   Wed Apr 01 23:14:18 2020
 --!-----------------------------------------------------------------------------
 
 architecture a0 of TrxBuffer is
@@ -86,14 +85,13 @@ begin
       TXCVR_ResetAll    => TXCVR_ResetAll,
       TX_CHxLocked      => TX_CHxLocked,
       TX_CHxReset       => TX_CHxReset,
+      TrxMonitor        => TrxMonitor,
       clk40             => clk40,
       clk50             => clk50,
       prmap_app_control => prmap_app_control,
-      prmap_mrodEP0_mon => prmap_mrodEP0_mon,
-      prmap_mrodEP1_mon => prmap_mrodEP1_mon,
       sys_reset_n       => sys_reset_n);
 
-  u1: entity work.TransceiverGen1CH(a0)
+  u1: entity work.Transceiver(a0)
     generic map(
       GBT_NUM    => GBT_NUM,
       NUMCH      => NUMCH,
diff --git a/sources/FelixMROD/TrxBuffer-dum.vhd b/sources/FelixMROD/TrxBuffer_1CH.vhd
similarity index 92%
rename from sources/FelixMROD/TrxBuffer-dum.vhd
rename to sources/FelixMROD/TrxBuffer_1CH.vhd
index ca3bfddf4..513685beb 100644
--- a/sources/FelixMROD/TrxBuffer-dum.vhd
+++ b/sources/FelixMROD/TrxBuffer_1CH.vhd
@@ -12,7 +12,7 @@
 --!-----------------------------------------------------------------------------
 
 --!-----------------------------------------------------------------------------
---! @object     Entity design.TrxBuffer
+--! @object     Entity design.TrxBuffer_1CH
 --! =project    FELIX_MROD
 --! @modified   Tue Jan 21 22:44:30 2020
 --!-----------------------------------------------------------------------------
@@ -26,7 +26,7 @@ use work.centralRouter_package.all;
 use work.FELIX_gbt_package.all;
 use work.pcie_package.all;
 
-entity TrxBuffer is
+entity TrxBuffer_1CH is
   generic(
     GBT_NUM    : integer := 4;
     NUMCH      : integer := 4;
@@ -50,15 +50,15 @@ entity TrxBuffer is
     prmap_mrodEP0_mon : out    regmap_mrodEP0_monitor_type;
     prmap_mrodEP1_mon : out    regmap_mrodEP1_monitor_type;
     sys_reset_n       : in     std_logic);
-end entity TrxBuffer;
+end entity TrxBuffer_1CH;
 
 --!-----------------------------------------------------------------------------
---! @object     Architecture design.TrxBuffer.a0
+--! @object     Architecture design.TrxBuffer_1CH.a0
 --! =project    FELIX_MROD
 --! @modified   Tue Jan 21 22:44:30 2020
 --!-----------------------------------------------------------------------------
 
-architecture a0 of TrxBuffer is
+architecture a0 of TrxBuffer_1CH is
 
   signal MReset         : std_logic;
   signal RX_CHxReset    : std_logic_vector(NUMCH-1 downto 0);
@@ -93,7 +93,7 @@ begin
       prmap_mrodEP1_mon => prmap_mrodEP1_mon,
       sys_reset_n       => sys_reset_n);
 
-  u1: entity work.Transceiver(a0)
+  u1: entity work.TransceiverGen1CH(a0)
     generic map(
       GBT_NUM    => GBT_NUM,
       NUMCH      => NUMCH,
@@ -124,5 +124,5 @@ begin
       prmap_app_control => prmap_app_control,
       sysclk_in         => clk50);
 
-end architecture a0 ; -- of TrxBuffer
+end architecture a0 ; -- of TrxBuffer_1CH
 
diff --git a/sources/FelixMROD/TxDriver.vhd b/sources/FelixMROD/TxDriver.vhd
index 3d452dd05..cd2d70a4a 100644
--- a/sources/FelixMROD/TxDriver.vhd
+++ b/sources/FelixMROD/TxDriver.vhd
@@ -14,7 +14,7 @@
 --!-----------------------------------------------------------------------------
 --! @object     Entity design.TxDriver
 --! =project    FELIX_MROD
---! @modified   Tue Jan 21 17:41:18 2020
+--! @modified   Fri Mar 13 16:10:27 2020
 --!-----------------------------------------------------------------------------
 
 library ieee, work;
@@ -45,7 +45,7 @@ end entity TxDriver;
 --!-----------------------------------------------------------------------------
 --! @object     Architecture design.TxDriver.a0
 --! =project    FELIX_MROD
---! @modified   Tue Jan 21 17:41:18 2020
+--! @modified   Fri Mar 13 16:10:27 2020
 --!-----------------------------------------------------------------------------
 
 
@@ -123,8 +123,12 @@ begin
           dcnt <= dcnt + "00001";       -- count words
         elsif (EnaTxSimpleCnt = '1') then
           QValid <= '1';                -- word valid, set pattern
-          data <= '0' & idcode & "000" & std_logic_vector(dcnt)
-              & std_logic_vector(ccnt) & std_logic_vector(ccnt) & "000" & std_logic_vector(dcnt);
+          if (idcode = x"D0") then
+            data <= '0' & idcode & x"000000";
+          else
+            data <= '0' & idcode & "000" & std_logic_vector(dcnt)
+            & std_logic_vector(ccnt) & std_logic_vector(ccnt) & "000" & std_logic_vector(dcnt);
+          end if;
           dcnt <= dcnt + "00001";       -- count words
           idcode <= x"04";              -- next idcode
         else
diff --git a/sources/FelixMROD/felix_mrod_package.vhd b/sources/FelixMROD/felix_mrod_package.vhd
index babf103e6..c547671b7 100644
--- a/sources/FelixMROD/felix_mrod_package.vhd
+++ b/sources/FelixMROD/felix_mrod_package.vhd
@@ -25,6 +25,15 @@ package felix_mrod_package is
   type slv33_array  is array (natural range <>) of std_logic_vector(32 downto 0);
   type slv36_array  is array (natural range <>) of std_logic_vector(35 downto 0);
 
+  type regs_csm_monitor is record
+    CSMH_EMPTY  : std_logic_vector(23 downto 0);    -- CSM Handler FIFO Empty 23-0
+    CSMH_FULL   : std_logic_vector(23 downto 0);    -- CSM Handler FIFO Full 23-0
+  end record;
+  type regs_trx_monitor is record
+    RXLOCKED    : std_logic_vector(23 downto 0);    -- Receiver Locked monitor 23-0
+    TXLOCKED    : std_logic_vector(23 downto 0);    -- Transmitter Locked monitor 23-0
+  end record;
+
   constant SLV32ZERO  : std_logic_vector(31 downto 0) := x"00000000";
   constant SLV36ZERO  : std_logic_vector(35 downto 0) := x"000000000";
   constant SLV33ZERO  : std_logic_vector(32 downto 0) := '0' & x"00000000";
diff --git a/sources/FelixMROD/felix_mrod_top.vhd b/sources/FelixMROD/felix_mrod_top.vhd
index 437c84ad2..1f45d49cf 100644
--- a/sources/FelixMROD/felix_mrod_top.vhd
+++ b/sources/FelixMROD/felix_mrod_top.vhd
@@ -14,7 +14,7 @@
 --!-----------------------------------------------------------------------------
 --! @object     Entity design.felix_mrod_top
 --! =project    FELIX_MROD
---! @modified   Fri Jan 31 16:10:06 2020
+--! @modified   Wed Apr 01 23:19:08 2020
 --!-----------------------------------------------------------------------------
 
 library ieee, work;
@@ -29,7 +29,7 @@ use work.pcie_package.all;
 entity felix_mrod_top is
   generic(
     NUMBER_OF_INTERRUPTS            : integer := 8;
-    NUMBER_OF_DESCRIPTORS           : integer := 8;
+    NUMBER_OF_DESCRIPTORS           : integer := 2;
     OPTO_TRX                        : integer := 4;
     APP_CLK_FREQ                    : integer := 200;
     GBT_NUM                         : integer := 4; -- number of GBT channels
@@ -52,7 +52,7 @@ entity felix_mrod_top is
     useToFrontendGBTdataEmulator    : boolean := false;
     useToHostGBTdataEmulator        : boolean := false;
     TTC_test_mode                   : boolean := false;
-    CREnableFromHost                : boolean := false;
+    CREnableFromHost                : boolean := true;
     ENDPOINTS                       : integer := 2;
     FIRMWARE_MODE                   : integer := 1;
     BUILD_DATETIME                  : std_logic_vector(39 downto 0) := x"0000FE71CE";
@@ -68,6 +68,9 @@ entity felix_mrod_top is
     GENERATE_FEI4B                  : boolean := false;
     GENERATE_TRUNCATION_MECHANISM   : boolean := false;
     GENERATE_FM_WRAP                : boolean := false;
+    BLOCKSIZE                       : integer := 1024;
+    CHUNK_TRAILER_32B               : boolean := false;
+    SUPER_CHUNK_FACTOR              : integer := 1;
     wideMode                        : boolean := false;
     EnableFrHo_Egroup0Eproc2_HDLC   : boolean := false;
     EnableFrHo_Egroup0Eproc2_8b10b  : boolean := false;
@@ -209,7 +212,7 @@ end entity felix_mrod_top;
 --!-----------------------------------------------------------------------------
 --! @object     Architecture design.felix_mrod_top.a0
 --! =project    FELIX_MROD
---! @modified   Fri Jan 31 16:10:06 2020
+--! @modified   Wed Apr 01 23:19:08 2020
 --!-----------------------------------------------------------------------------
 
 architecture a0 of felix_mrod_top is
@@ -288,12 +291,16 @@ architecture a0 of felix_mrod_top is
   signal u21_TxClk         : std_logic_vector(NUMCH-1 downto 0);
   signal u11_TxClk         : std_logic_vector(NUMCH-1 downto 0);
   signal BUSY_INTERRUPT    : std_logic;
-  signal prmap_mrodEP0_mon : regmap_mrodEP0_monitor_type;
-  signal prmap_mrodEP1_mon : regmap_mrodEP1_monitor_type;
   signal u11_RxClk         : std_logic_vector(NUMCH-1 downto 0);
   signal u21_RxClk         : std_logic_vector(NUMCH-1 downto 0);
+  signal MasterBusy        : std_logic;
+  signal Trx0Monitor       : regs_trx_monitor;
+  signal Trx1Monitor       : regs_trx_monitor;
+  signal CSM0Monitor       : regs_csm_monitor;
+  signal CSM1Monitor       : regs_csm_monitor;
 
 begin
+  BUSY_OUT <= MasterBusy;
 
   u11: entity work.TrxBuffer(a0)
     generic map(
@@ -310,14 +317,13 @@ begin
       RxValid           => u11_RxValid,
       TXfrGTH_N         => u11_TX_N,
       TXfrGTH_P         => u11_TX_P,
+      TrxMonitor        => Trx0Monitor,
       TxClk             => u11_TxClk,
       TxData            => u12_TxData,
       TxValid           => u12_TxValid,
       clk40             => clk40,
       clk50             => clk50,
       prmap_app_control => prmap_app_control,
-      prmap_mrodEP0_mon => prmap_mrodEP0_mon,
-      prmap_mrodEP1_mon => open,
       sys_reset_n       => sys_reset_n);
 
   u21: entity work.TrxBuffer(a0)
@@ -335,14 +341,13 @@ begin
       RxValid           => u21_RxValid,
       TXfrGTH_N         => u21_TX_N,
       TXfrGTH_P         => u21_TX_P,
+      TrxMonitor        => Trx1Monitor,
       TxClk             => u21_TxClk,
       TxData            => u22_TxData,
       TxValid           => u22_TxValid,
       clk40             => clk40,
       clk50             => clk50,
       prmap_app_control => prmap_app_control,
-      prmap_mrodEP0_mon => open,
-      prmap_mrodEP1_mon => prmap_mrodEP1_mon,
       sys_reset_n       => sys_reset_n);
 
   u12: entity work.CSMHandler(a0)
@@ -350,6 +355,7 @@ begin
       NUMCH      => NUMCH,
       W_ENDPOINT => 0)
     port map(
+      CSMMonitor        => CSM0Monitor,
       ChBusy            => u13_ChBusy,
       ChData            => u12_ChData,
       ChValid           => u12_ChValid,
@@ -369,8 +375,6 @@ begin
       fhFifoRE          => u12_fhFifoRE,
       fhFifoValid       => u13_fhFifoValid,
       prmap_app_control => prmap_app_control,
-      prmap_mrodEP0_mon => prmap_mrodEP0_mon,
-      prmap_mrodEP1_mon => open,
       sys_reset_n       => sys_reset_n);
 
   u22: entity work.CSMHandler(a0)
@@ -378,6 +382,7 @@ begin
       NUMCH      => NUMCH,
       W_ENDPOINT => 1)
     port map(
+      CSMMonitor        => CSM1Monitor,
       ChBusy            => u23_ChBusy,
       ChData            => u22_ChData,
       ChValid           => u22_ChValid,
@@ -397,8 +402,6 @@ begin
       fhFifoRE          => u22_fhFifoRE,
       fhFifoValid       => u23_fhFifoValid,
       prmap_app_control => prmap_app_control,
-      prmap_mrodEP0_mon => open,
-      prmap_mrodEP1_mon => prmap_mrodEP1_mon,
       sys_reset_n       => sys_reset_n);
 
   u31: entity work.Busy_TTC(a0)
@@ -408,7 +411,6 @@ begin
       generateTTCemu => generateTTCemu)
     port map(
       BUSY_INTERRUPT    => BUSY_INTERRUPT,
-      BUSY_OUT          => BUSY_OUT,
       CDRlocked         => CDRlocked,
       CLK_TTC_N         => CLK_TTC_N,
       CLK_TTC_P         => CLK_TTC_P,
@@ -420,6 +422,7 @@ begin
       DATA_TTC_P        => DATA_TTC_P,
       LOL_ADN           => LOL_ADN,
       LOS_ADN           => LOS_ADN,
+      MasterBusy        => MasterBusy,
       TTC_ToHost_Data   => TTC_ToHost_Data,
       TTCout            => TTCout,
       clk40             => clk40,
@@ -491,7 +494,6 @@ begin
       USE_Si5324_RefCLK               => USE_Si5324_RefCLK,
       generateTTCemu                  => generateTTCemu,
       generate_IC_EC_TTC_only         => generate_IC_EC_TTC_only,
-      GENERATE_FEI4B                  => GENERATE_FEI4B,
       GENERATE_TRUNCATION_MECHANISM   => GENERATE_TRUNCATION_MECHANISM,
       wideMode                        => wideMode,
       EnableFrHo_Egroup0Eproc2_HDLC   => EnableFrHo_Egroup0Eproc2_HDLC,
@@ -538,7 +540,10 @@ begin
       EnableToHo_Egroup4Eproc2_8b10b  => EnableToHo_Egroup4Eproc2_8b10b,
       EnableToHo_Egroup4Eproc4_8b10b  => EnableToHo_Egroup4Eproc4_8b10b,
       EnableToHo_Egroup4Eproc8_8b10b  => EnableToHo_Egroup4Eproc8_8b10b,
-      EnableToHo_Egroup4Eproc16_8b10b => EnableToHo_Egroup3Eproc16_8b10b)
+      EnableToHo_Egroup4Eproc16_8b10b => EnableToHo_Egroup3Eproc16_8b10b,
+      GENERATE_FEI4B                  => GENERATE_FEI4B,
+      BLOCKSIZE                       => BLOCKSIZE,
+      CHUNK_TRAILER_32B               => CHUNK_TRAILER_32B)
     port map(
       I2C_SMB           => I2C_SMB,
       I2C_SMBUS_CFG_nEN => I2C_SMBUS_CFG_nEN,
@@ -657,7 +662,11 @@ begin
       STATIC_CENTRALROUTER           => STATIC_CENTRALROUTER,
       CREnableFromHost               => CREnableFromHost,
       toHostTimeoutBitn              => toHostTimeoutBitn,
+      BLOCKSIZE                      => BLOCKSIZE,
+      CHUNK_TRAILER_32B              => CHUNK_TRAILER_32B,
+      SUPER_CHUNK_FACTOR             => SUPER_CHUNK_FACTOR,
       wideMode                       => wideMode,
+      FIRMWARE_MODE                  => FIRMWARE_MODE,
       BUILD_DATETIME                 => BUILD_DATETIME,
       GIT_HASH                       => GIT_HASH,
       GIT_TAG                        => GIT_TAG,
@@ -688,11 +697,16 @@ begin
       BUSY_INTERRUPT    => BUSY_INTERRUPT,
       CRBusyOut         => CR0BusyOut,
       CR_FIFO_Busy      => CR0_FIFO_Busy,
+      CSM0Monitor       => CSM0Monitor,
+      CSM1Monitor       => CSM1Monitor,
       ChBusy            => u13_ChBusy,
       ChData            => u12_ChData,
       ChValid           => u12_ChValid,
+      MasterBusy        => MasterBusy,
       TTC_ToHost_Data   => TTC_ToHost_Data,
       TTCout            => TTCout,
+      Trx0Monitor       => Trx0Monitor,
+      Trx1Monitor       => Trx1Monitor,
       clk160            => clk160,
       clk250            => clk250,
       clk40             => clk40,
@@ -713,8 +727,6 @@ begin
       prmap_app_control => prmap_app_control,
       prmap_board_info  => prmap_board_info,
       prmap_hk_monitor  => prmap_hk_monitor,
-      prmap_mrodEP0_mon => prmap_mrodEP0_mon,
-      prmap_mrodEP1_mon => prmap_mrodEP1_mon,
       prmap_ttc_monitor => prmap_ttc_monitor,
       rst_hw            => rst_hw,
       rst_soft_40       => rst0_soft_40,
@@ -739,7 +751,11 @@ begin
       STATIC_CENTRALROUTER           => STATIC_CENTRALROUTER,
       CREnableFromHost               => CREnableFromHost,
       toHostTimeoutBitn              => toHostTimeoutBitn,
+      BLOCKSIZE                      => BLOCKSIZE,
+      CHUNK_TRAILER_32B              => CHUNK_TRAILER_32B,
+      SUPER_CHUNK_FACTOR             => SUPER_CHUNK_FACTOR,
       wideMode                       => wideMode,
+      FIRMWARE_MODE                  => FIRMWARE_MODE,
       BUILD_DATETIME                 => BUILD_DATETIME,
       GIT_HASH                       => GIT_HASH,
       GIT_TAG                        => GIT_TAG,
@@ -770,11 +786,16 @@ begin
       BUSY_INTERRUPT    => BUSY_INTERRUPT,
       CRBusyOut         => CR1BusyOut,
       CR_FIFO_Busy      => CR1_FIFO_Busy,
+      CSM0Monitor       => CSM0Monitor,
+      CSM1Monitor       => CSM1Monitor,
       ChBusy            => u23_ChBusy,
       ChData            => u22_ChData,
       ChValid           => u22_ChValid,
+      MasterBusy        => MasterBusy,
       TTC_ToHost_Data   => TTC_ToHost_Data,
       TTCout            => TTCout,
+      Trx0Monitor       => Trx0Monitor,
+      Trx1Monitor       => Trx1Monitor,
       clk160            => clk160,
       clk250            => clk250,
       clk40             => clk40,
@@ -795,8 +816,6 @@ begin
       prmap_app_control => open,
       prmap_board_info  => prmap_board_info,
       prmap_hk_monitor  => prmap_hk_monitor,
-      prmap_mrodEP0_mon => prmap_mrodEP0_mon,
-      prmap_mrodEP1_mon => prmap_mrodEP1_mon,
       prmap_ttc_monitor => prmap_ttc_monitor,
       rst_hw            => rst_hw,
       rst_soft_40       => open,
diff --git a/sources/FelixMROD/housekeeping_module_mrod.vhd b/sources/FelixMROD/housekeeping_module_mrod.vhd
index 7f52b2510..d4700bc2c 100644
--- a/sources/FelixMROD/housekeeping_module_mrod.vhd
+++ b/sources/FelixMROD/housekeeping_module_mrod.vhd
@@ -1,3 +1,7 @@
+--!------------------------------------------------------------------------------
+--!           NIKHEF - National Institute for Subatomic Physics
+--!                       Electronics Department
+--!------------------------------------------------------------------------------
 
 
 
@@ -75,7 +79,10 @@ entity housekeeping_module is
     EnableFrHo_Egroup4Eproc2_HDLC   : boolean := true;
     EnableFrHo_Egroup4Eproc4_8b10b  : boolean := true;
     EnableFrHo_Egroup4Eproc8_8b10b  : boolean := true;
-    GENERATE_FEI4B                  : boolean := false);
+    GENERATE_FEI4B                  : boolean := false;
+    --SUPER_CHUNK_FACTOR              : integer := 12;
+    BLOCKSIZE                       : integer := 1024;
+    CHUNK_TRAILER_32B               : boolean := false);
   port (
     LMK_locked                  : in     std_logic_vector(0 downto 0);
     MMCM_Locked_in              : in     std_logic;
@@ -228,7 +235,9 @@ architecture structure of housekeeping_module is
       EnableFrHo_Egroup4Eproc2_HDLC   : boolean := true;
       EnableFrHo_Egroup4Eproc4_8b10b  : boolean := true;
       EnableFrHo_Egroup4Eproc8_8b10b  : boolean := true;
-      GENERATE_FEI4B                  : boolean := false);
+      GENERATE_FEI4B                  : boolean := false;
+      BLOCKSIZE                       : integer := 1024;
+      CHUNK_TRAILER_32B               : boolean := false);
     port (
       AUTOMATIC_CLOCK_SWITCH_ENABLED : out    std_logic_vector(0 downto 0);
       register_map_gen_board_info    : out    register_map_gen_board_info_type);
@@ -393,7 +402,9 @@ begin
       EnableFrHo_Egroup4Eproc2_HDLC   => EnableFrHo_Egroup4Eproc2_HDLC,
       EnableFrHo_Egroup4Eproc4_8b10b  => EnableFrHo_Egroup4Eproc4_8b10b,
       EnableFrHo_Egroup4Eproc8_8b10b  => EnableFrHo_Egroup4Eproc8_8b10b,
-      GENERATE_FEI4B                  => GENERATE_FEI4B)
+      GENERATE_FEI4B                  => GENERATE_FEI4B,
+      BLOCKSIZE                       => BLOCKSIZE,
+      CHUNK_TRAILER_32B               => CHUNK_TRAILER_32B)
     port map(
       AUTOMATIC_CLOCK_SWITCH_ENABLED => AUTOMATIC_CLOCK_SWITCH_ENABLED,
       register_map_gen_board_info    => register_map_gen_board_info);
@@ -454,7 +465,7 @@ begin
       g_WITH_INTERNAL_TIMEBASE => true, --: boolean := true;
       g_CLK_SYS_FREQ           => 25000000, --: integer;
       g_COUNTER_BITS           => 32, --: integer := 32;
-      g_CHANNELS               => GBT_NUM) --: integer := 1)
+      g_CHANNELS               => GBT_NUM)  --: integer := 1)
     port map(
       clk_sys_i     => appreg_clk,--: in  std_logic;
       clk_in_i      => RXUSRCLK_IN,--: in  std_logic_vector(g_CHANNELS -1 downto 0);
diff --git a/sources/FelixMROD/register_map_sync.vhd b/sources/FelixMROD/register_map_sync.vhd
index a94a42e55..3f86beddf 100644
--- a/sources/FelixMROD/register_map_sync.vhd
+++ b/sources/FelixMROD/register_map_sync.vhd
@@ -1,3 +1,8 @@
+--!------------------------------------------------------------------------------
+--!           NIKHEF - National Institute for Subatomic Physics
+--!                       Electronics Department
+--!------------------------------------------------------------------------------
+--! 01-mar-2020, RH,TW: added FELIX_MROD monitor registers
 
 
 
@@ -25,13 +30,13 @@ entity register_map_sync is
     register_map_gen_board_info : in     register_map_gen_board_info_type;
     register_map_hk_monitor     : in     register_map_hk_monitor_type;
     register_map_monitor        : out    register_map_monitor_type;
-    register_mrodEP0_mon        : in     regmap_mrodEP0_monitor_type;
-    register_mrodEP1_mon        : in     regmap_mrodEP1_monitor_type;
+    register_mrod_monitor       : in     regmap_mrod_monitor_type;
     register_map_ttc_monitor    : in     register_map_ttc_monitor_type;
     register_map_xoff_monitor   : in     register_map_xoff_monitor_type;
     rst_hw                      : in     std_logic;
     rst_soft_40                 : out    std_logic;
-    rst_soft_appregclk          : in     std_logic);
+    rst_soft_appregclk          : in     std_logic;
+    master_busy_in              : in     std_logic);
 end entity register_map_sync;
 
 
@@ -46,8 +51,7 @@ architecture rtl of register_map_sync is
   signal register_map_cr_monitor_p1                : register_map_cr_monitor_type;
   signal register_map_gbt_monitor_p1               : register_map_gbt_monitor_type;
   signal register_map_emu_monitor_p1               : register_map_gbtemu_monitor_type;
-  signal register_map_mrodEP0_monitor_p1           : regmap_mrodEP0_monitor_type;
-  signal register_map_mrodEP1_monitor_p1           : regmap_mrodEP1_monitor_type;
+  signal register_map_mrod_monitor_p1              : regmap_mrod_monitor_type;
   signal register_map_ttc_monitor_p1               : register_map_ttc_monitor_type;
   signal register_map_xoff_monitor_p1              : register_map_xoff_monitor_type;
   
@@ -61,7 +65,6 @@ architecture rtl of register_map_sync is
   signal rst_soft_p1                  : std_logic;
   --attribute ASYNC_REG of register_map_control_p1   : signal is "TRUE";
   --attribute ASYNC_REG of rst_soft_p1                  : signal is "TRUE";
-  
 
 
 begin
@@ -77,9 +80,21 @@ begin
     end process;
 
     appreg_sync: process(appreg_clk)
+      variable master_busy_p1, master_busy_p2: std_logic;
     begin
       if(rising_edge(appreg_clk)) then
-        interrupt_call <= interrupt_call_p1;
+        if master_busy_p1 /= master_busy_p2 then
+            interrupt_call(6) <= '1';
+        else 
+            interrupt_call(6) <= '0';
+        end if;
+        
+        master_busy_p2 := master_busy_p1;
+        master_busy_p1 := master_busy_in;
+        
+        interrupt_call(7) <= interrupt_call_p1(7);
+        interrupt_call(5) <= interrupt_call_p1(5);
+        interrupt_call(4) <= interrupt_call_p1(4);
         interrupt_call_p1 <= interrupt_call_cr;
         register_map_monitor.register_map_gen_board_info  <= register_map_gen_board_info; --does not need synchronization as it contains only constants
         register_map_monitor.register_map_hk_monitor      <= register_map_hk_monitor_p1;
@@ -90,10 +105,8 @@ begin
         register_map_gbt_monitor_p1                       <= register_map_gbt_monitor;
         register_map_monitor.register_map_gbtemu_monitor  <= register_map_emu_monitor_p1;
         register_map_emu_monitor_p1                       <= register_map_emu_monitor;
-        register_map_monitor.regmap_mrodEP0_monitor       <= register_map_mrodEP0_monitor_p1; 
-        register_map_mrodEP0_monitor_p1                   <= register_mrodEP0_mon; 
-        register_map_monitor.regmap_mrodEP1_monitor       <= register_map_mrodEP1_monitor_p1; 
-        register_map_mrodEP1_monitor_p1                   <= register_mrodEP1_mon;                
+        register_map_monitor.regmap_mrod_monitor          <= register_map_mrod_monitor_p1;
+        register_map_mrod_monitor_p1                      <= register_mrod_monitor;
         register_map_monitor.register_map_ttc_monitor     <= register_map_ttc_monitor_p1;
         register_map_ttc_monitor_p1                       <= register_map_ttc_monitor;
         register_map_monitor.register_map_xoff_monitor    <= register_map_xoff_monitor_p1;
@@ -101,5 +114,39 @@ begin
       end if;
     end process;
 
-end architecture rtl ; -- of register_map_sync
+    -- drive unused registers felig_mon_ttc...
+    do_g0: for i in 0 to 23 generate
+      register_map_monitor.register_map_generators.FELIG_MON_TTC_0(i).L1ID  <= (others => '0');
+      register_map_monitor.register_map_generators.FELIG_MON_TTC_0(i).XL1ID <= (others => '0');
+      register_map_monitor.register_map_generators.FELIG_MON_TTC_0(i).BCID  <= (others => '0');
+      register_map_monitor.register_map_generators.FELIG_MON_TTC_0(i).RESERVED0 <= (others => '0');
+      register_map_monitor.register_map_generators.FELIG_MON_TTC_0(i).LEN   <= (others => '0');
+      register_map_monitor.register_map_generators.FELIG_MON_TTC_0(i).FMT   <= (others => '0');
+      register_map_monitor.register_map_generators.FELIG_MON_TTC_1(i).RESERVED1    <= (others => '0');
+      register_map_monitor.register_map_generators.FELIG_MON_TTC_1(i).TRIGGER_TYPE <= (others => '0');
+      register_map_monitor.register_map_generators.FELIG_MON_TTC_1(i).ORBIT <= (others => '0');
+      -- drive unused registers felig_mon_xxx...
+      register_map_monitor.register_map_generators.FELIG_MON_COUNTERS(i).SLIDE_COUNT <= (others => '0');
+      register_map_monitor.register_map_generators.FELIG_MON_COUNTERS(i).FC_ERROR_COUNT <= (others => '0');
+      register_map_monitor.register_map_generators.FELIG_MON_FREQ(i).TX <= (others => '0');
+      register_map_monitor.register_map_generators.FELIG_MON_FREQ(i).RX <= (others => '0');
+      register_map_monitor.register_map_generators.FELIG_MON_L1A_ID(i) <= (others => '0');
+      register_map_monitor.register_map_generators.FELIG_MON_PICXO(i).VLOT <= (others => '0');
+      register_map_monitor.register_map_generators.FELIG_MON_PICXO(i).ERROR <= (others => '0');
+      register_map_monitor.register_map_generators.FELIG_MON_ITK_STRIPS(i) <= (others => '0');
+    end generate do_g0;
+
+    -- drive unused registers fmemu_control...
+    register_map_monitor.register_map_generators.FMEMU_CONTROL.INT_STATUS_EMU <= (others => '0');
+    -- drive unused registers wishbone_monitor...
+    register_map_monitor.wishbone_monitor.WISHBONE_WRITE.FULL <= (others => '0');
+    register_map_monitor.wishbone_monitor.WISHBONE_READ.EMPTY <= (others => '0');
+    register_map_monitor.wishbone_monitor.WISHBONE_READ.DATA <= (others => '0');
+    register_map_monitor.wishbone_monitor.WISHBONE_STATUS.INT <= (others => '0');
+    register_map_monitor.wishbone_monitor.WISHBONE_STATUS.RETRY <= (others => '0');
+    register_map_monitor.wishbone_monitor.WISHBONE_STATUS.STALL <= (others => '0');
+    register_map_monitor.wishbone_monitor.WISHBONE_STATUS.ACKNOWLEDGE <= (others => '0');
+    register_map_monitor.wishbone_monitor.WISHBONE_STATUS.ERROR <= (others => '0');
 
+end architecture rtl ; -- of register_map_sync
+     
diff --git a/sources/FelixMROD/thFMch_fifo_driver_mrod.vhd b/sources/FelixMROD/thFMch_fifo_driver_mrod.vhd
index cbea8de67..ecdd41eab 100644
--- a/sources/FelixMROD/thFMch_fifo_driver_mrod.vhd
+++ b/sources/FelixMROD/thFMch_fifo_driver_mrod.vhd
@@ -6,14 +6,6 @@
 --! Module Name:    thFMch_fifo_driver
 --! Project Name:   FELIX
 ----------------------------------------------------------------------------------
---!-----------------------------------------------------------------------------
---! @authors    R. Habraken, T. Wijnen  (Radboud University Nijmegen)
---! @version    FELIX_MROD: Interface to GOL links coming from the MDT Chambers.
---! This code replaces the "thFMch_fifo_driver" entity, adding special code
---! to adapt to the readout of the MDT chambers (uses no GBT links to frontend).
---! @modified   Thu Oct 31 12:40:40 2019
---!-----------------------------------------------------------------------------
-
 --! Use standard library
 library ieee;
 use ieee.std_logic_1164.all;
@@ -26,7 +18,11 @@ use work.centralRouter_package.all;
 entity thFMch_fifo_driver is
 generic (
     FMCHid              : integer := 0;
-    toHostTimeoutBitn   : integer := 8
+    FIRMWARE_MODE       : integer := 1;
+    toHostTimeoutBitn   : integer := 8;
+    SUPER_CHUNK_FACTOR  : integer := 6;
+    BLOCKSIZE           : integer := 1024;
+    CHUNK_TRAILER_32B   : boolean := false
     );
 port ( 
     clk40       : in  std_logic;
@@ -36,7 +32,7 @@ port (
     --re_o        : out std_logic;
     --empty_i     : in  std_logic;
     din_rdy     : in  std_logic;
-    din         : in  std_logic_vector (35 downto 0);
+    din         : in  std_logic_vector (32 downto 0);
     ----------
     --timeOutCnt_i    : in  std_logic_vector ((toHostTimeoutBitn-1) downto 0); -- @ clk40 domain
     timeOutEna_i    : in  std_logic;
@@ -57,7 +53,7 @@ signal is_comma,is_soc,is_eoc,is_sob,is_eob : std_logic;
 
 --
 signal BLOCK_HEADER : std_logic_vector (31 downto 0);
-signal din_r0, din_r1: std_logic_vector(35 downto 0);
+signal din_r0, din_r1: std_logic_vector(32 downto 0);
 signal din_r : std_logic_vector (31 downto 0) := (others => '0');
 signal din_code_r : std_logic_vector (1 downto 0) := (others => '0');
 signal din_rdy_r0, din_rdy_r1, din_rdy_r : std_logic := '0';
@@ -81,10 +77,6 @@ signal crc_out : std_logic_vector(19 downto 0);
 
 signal timeOutCnt    :  std_logic_vector ((toHostTimeoutBitn-1) downto 0); -- @ clk240 domain
 signal trunc_s : std_logic;  --signal copy of the truncation variable (in toBlock). 
-  
-constant felix_mrod  : boolean := true;
-
-------------------------------------------------------------------------------------------
 
 begin
 
@@ -163,7 +155,7 @@ begin
     end if;
 end process;
     
-do_crc: if (felix_mrod = false) generate
+do_crc: if (FIRMWARE_MODE /= 8) generate    -- not for FelixMROD
   begin
 -- CRC module takes 2 clock cycles to calculate CRC
 crc20_0: entity work.CRC 
@@ -181,7 +173,7 @@ crc20_0: entity work.CRC
      Reset => crc_start);
   end generate do_crc;
 
-no_crc: if (felix_mrod = true) generate -- do not use crc (forces trailer CRC error to '0')
+no_crc: if (FIRMWARE_MODE = 8) generate -- for FelixMROD: do not use crc (forces trailer CRC error to '0')
   begin
      crc_out <= din_r(27 downto 8);
   end generate no_crc;
diff --git a/sources/FelixMROD/thFMdm_mrod.vhd b/sources/FelixMROD/thFMdm_mrod.vhd
index 2fe728878..7e1f4295e 100644
--- a/sources/FelixMROD/thFMdm_mrod.vhd
+++ b/sources/FelixMROD/thFMdm_mrod.vhd
@@ -6,21 +6,13 @@
 --! Module Name:    thFMdm
 --! Project Name:   FELIX
 ----------------------------------------------------------------------------------
---!-----------------------------------------------------------------------------
---! @authors    R. Habraken, T. Wijnen  (Radboud University Nijmegen)
---! @version    FELIX_MROD: Interface to GOL links coming from the MDT Chambers.
---! This code replaces the "thFMdm" entity, adding special code
---! to adapt to the readout of the MDT chambers (uses no GBT links to frontend).
---! @modified   Tue Dec 03 13:00:00 2019
---!-----------------------------------------------------------------------------
-
 --! Use standard library
 library IEEE, work;
 use IEEE.std_logic_1164.all;
 use IEEE.numeric_std.all;
 use IEEE.std_logic_unsigned.all;
-use work.centralRouter_package.all;
 use work.pcie_package.all;
+use work.centralRouter_package.all;
 use work.all;
 
 --! to-Host centralRouter logic
@@ -28,8 +20,12 @@ use work.all;
 entity thFMdm is
 generic (
     FMCHid                  : integer := 0;
+    FIRMWARE_MODE           : integer := 1;
     STATIC_CENTRALROUTER    : boolean := false;  -- removes update process from central router register map, only initial constant values are used
-    toHostTimeoutBitn       : integer := 8
+    toHostTimeoutBitn       : integer := 8;
+    SUPER_CHUNK_FACTOR      : integer := 1;
+    BLOCKSIZE               : integer := 1024;
+    CHUNK_TRAILER_32B       : boolean := false
     );
 port  ( 
     clk40       : in  std_logic;   
@@ -98,7 +94,7 @@ signal chFIFO_empty, chFIFO_pempty  : std_logic;
 signal chFIFO_din32_rdy, ch_xoff  : std_logic;
 signal chFIFO_din32     : std_logic_vector(31 downto 0);
 signal chFIFO_dout_s    : std_logic_vector(255 downto 0);
-signal chfifo_driver_din  : std_logic_vector(35 downto 0);
+signal chfifo_driver_din  : std_logic_vector(32 downto 0);
 --
 signal wr_data_count      : std_logic_vector(3 downto 0);
 signal xoff_fm_ch_fifo_thresh_low   : std_logic_vector(3 downto 0);
@@ -182,12 +178,16 @@ fmch_ena <= '1' when (fmch_config_reg(0) = '1' and FMCHlink_valid = '1') else '0
 ------------------------------------------------------------
 --  full mode channel FIFO driver
 ------------------------------------------------------------
-chfifo_driver_din <= "000" & FMCHdtype & FMCHdin;
+chfifo_driver_din <= FMCHdtype & FMCHdin;
 
 chFIFOdriver: entity work.thFMch_fifo_driver 
 generic map(
     FMCHid              => FMCHid,
-    toHostTimeoutBitn   => toHostTimeoutBitn
+    FIRMWARE_MODE       => FIRMWARE_MODE,
+    toHostTimeoutBitn   => toHostTimeoutBitn,
+    SUPER_CHUNK_FACTOR  => SUPER_CHUNK_FACTOR,
+    BLOCKSIZE           => BLOCKSIZE,
+    CHUNK_TRAILER_32B   => CHUNK_TRAILER_32B
     )
 port map (
     clk40           => clk40,
@@ -238,8 +238,8 @@ port map (
     prog_full   => chFIFO_pfull, -- '1' when a space for only one block is left (threshold 3580/3581)
     prog_empty  => chFIFO_pempty, -- '1' when less then one block is written (threshold 31/32)
     wr_data_count => wr_data_count,
-    prog_full_thresh  => std_logic_vector(to_unsigned(3581, 12)),
-    prog_empty_thresh => std_logic_vector(to_unsigned(31, 9))
+    prog_full_thresh  => std_logic_vector(to_unsigned(4093-(BLOCKSIZE/2), 12)),
+    prog_empty_thresh => std_logic_vector(to_unsigned((BLOCKSIZE/32)-1, 9))
     );
 
 pr2: process(clk_wrth)                  -- fifo write clock
diff --git a/sources/FelixMROD/thfmPCIeManager_mrod.vhd b/sources/FelixMROD/thfmPCIeManager_mrod.vhd
index 4fc9ea07b..71423033c 100644
--- a/sources/FelixMROD/thfmPCIeManager_mrod.vhd
+++ b/sources/FelixMROD/thfmPCIeManager_mrod.vhd
@@ -6,7 +6,6 @@
 --! Module Name:    thfmPCIeManager
 --! Project Name:   FELIX
 ----------------------------------------------------------------------------------
-
 --! Use standard library
 library ieee,work;
 use ieee.std_logic_1164.all;
@@ -18,7 +17,8 @@ use work.all;
 --! reading from Downstream thchs order 
 entity thfmPCIeManager is
 Generic (
-    FMCH_NUM : integer := 1
+    FMCH_NUM : integer := 1;
+    BLOCKSIZE : integer := 1024
     );
 Port (  
     clk : in  std_logic;
@@ -35,7 +35,9 @@ end thfmPCIeManager;
 architecture Behavioral of thfmPCIeManager is
 
 signal FMCHcount  : std_logic_vector(5 downto 0) := (others => '0'); -- counts to maximum possible channel number (0 to 31) (double clocks)
-signal BLOCKcount : std_logic_vector(5 downto 0) := (others => '0'); -- counts 256-bit words in block (0 to 31)
+
+constant NUMBER_OF_256_PER_BLOCK : integer := BLOCKSIZE / 32;
+signal BLOCKcount : integer range 0 to (NUMBER_OF_256_PER_BLOCK*2)-1 := 0; -- counts 256-bit words in block (0 to 31)
 
 signal thch_rdy_array_full : std_logic_vector(FMCH_NUM-1 downto 0) := (others => '0');
 signal thch_reN_array : std_logic_vector(FMCH_NUM-1 downto 0);
@@ -95,7 +97,7 @@ thch_rdy_pulse: entity work.pulse_pdxx_pwxx
     pulseout => thch_rdy_1clk
   );
 --
-block_done <= '1' when (BLOCKcount = "100000") else '0'; -- 1KByte = 256 x 32
+block_done <= '1' when (BLOCKcount = NUMBER_OF_256_PER_BLOCK) else '0'; -- 1KByte = 256 x 32
 --
 reN: entity work.ReMuxN
 generic map(N => FMCH_NUM) 
@@ -120,16 +122,16 @@ thch_re_array   <= thch_reN_array((FMCH_NUM-1) downto 0);
 BLOCKcounter : process(rst_state, clk)
 begin
 	if rst_state = '1' then
-	   BLOCKcount <= "000001"; --(others => '0');
+	   BLOCKcount <= 1;
 	elsif clk'event and clk = '1' then
 		if re_s = '1' then
 			if block_done = '1' then
-				BLOCKcount <= "000001"; --(others => '0');
+				BLOCKcount <= 1;
 			else
 				BLOCKcount <= BLOCKcount + 1;
 			end if;
 		else
-			BLOCKcount <= "000001"; --(others => '0');
+			BLOCKcount <= 1;
 		end if;
 	end if;
 end process;
diff --git a/sources/centralRouter/CRFM.vhd b/sources/centralRouter/CRFM.vhd
index 96ccc1320..a3c692549 100644
--- a/sources/centralRouter/CRFM.vhd
+++ b/sources/centralRouter/CRFM.vhd
@@ -6,7 +6,6 @@
 --! Module Name:    CRFM
 --! Project Name:   FELIX
 ----------------------------------------------------------------------------------
-
 --! Use standard library
 library work, ieee, unisim;
 use ieee.std_logic_1164.all;
@@ -50,7 +49,10 @@ generic (
     toHostTimeoutBitn       : integer := 8;
     GENERATE_XOFF           : boolean := true;
     CARD_TYPE               : integer;
-    CREnableFromHost        : boolean := true
+    CREnableFromHost        : boolean := true;
+    SUPER_CHUNK_FACTOR              : integer := 1;
+    BLOCKSIZE               : integer := 1024;
+    CHUNK_TRAILER_32B       : boolean := false
     );
 port  ( 
     clk40   : in  std_logic; 
@@ -479,7 +481,10 @@ thFMdmN: entity work.thFMdm
 generic map(
     FMCHid                  => I,
     STATIC_CENTRALROUTER    => STATIC_CENTRALROUTER,
-    toHostTimeoutBitn       => toHostTimeoutBitn
+    toHostTimeoutBitn       => toHostTimeoutBitn,
+    SUPER_CHUNK_FACTOR        => SUPER_CHUNK_FACTOR,
+    BLOCKSIZE               => BLOCKSIZE,
+    CHUNK_TRAILER_32B       => CHUNK_TRAILER_32B
     )
 port map(
     clk40                      => clk40,
@@ -551,7 +556,10 @@ port  map(
 -- writing to the cr OUT FIFO / reading from channel fifos
 ------------------------------------------------------------
 THPCIeM: entity work.thfmPCIeManager 
-generic map(FMCH_NUM => FMCH_NUM+1)
+generic map(
+    FMCH_NUM => FMCH_NUM+1,
+    BLOCKSIZE => BLOCKSIZE
+    )
 port map(  
     clk             => clk250,
     rst             => cr_rst,   -- reset is deasserted after fifo flush!
diff --git a/sources/centralRouter/thFMch_fifo_driver.vhd b/sources/centralRouter/thFMch_fifo_driver.vhd
index 41e276182..8cd645627 100644
--- a/sources/centralRouter/thFMch_fifo_driver.vhd
+++ b/sources/centralRouter/thFMch_fifo_driver.vhd
@@ -18,7 +18,10 @@ use work.centralRouter_package.all;
 entity thFMch_fifo_driver is
 generic (
     FMCHid              : integer := 0;
-    toHostTimeoutBitn   : integer := 8
+    toHostTimeoutBitn   : integer := 8;
+    SUPER_CHUNK_FACTOR          : integer := 6;
+    BLOCKSIZE           : integer := 1024;
+    CHUNK_TRAILER_32B   : boolean := false
     );
 port ( 
     clk40       : in  std_logic;
@@ -28,7 +31,7 @@ port (
     --re_o        : out std_logic;
     --empty_i     : in  std_logic;
     din_rdy     : in  std_logic;
-    din         : in  std_logic_vector (35 downto 0);
+    din         : in  std_logic_vector (32 downto 0);
     ----------
     --timeOutCnt_i    : in  std_logic_vector ((toHostTimeoutBitn-1) downto 0); -- @ clk40 domain
     timeOutEna_i    : in  std_logic;
@@ -45,11 +48,15 @@ architecture Behavioral of thFMch_fifo_driver is
 --
 --signal din_rdy,re_o_s : std_logic := '0';
 signal busy_o_r : std_logic := '0';
-signal is_comma,is_soc,is_eoc,is_sob,is_eob : std_logic;
+signal is_comma,is_soc,is_eoc,is_sob,is_eob, is_eoc_intermediate, intermediate_crc_check: std_logic;
+constant NUMBER_OF_WORDS_PER_BLOCK: integer := BLOCKSIZE/4;
 
+--eg180620 count chunks and enable soc/eoc
+signal chunk_enable : std_logic := '1';
+--constant SUPER_CHUNK : integer := 12;
 --
 signal BLOCK_HEADER : std_logic_vector (31 downto 0);
-signal din_r0, din_r1: std_logic_vector(35 downto 0);
+signal din_r0, din_r1: std_logic_vector(32 downto 0);
 signal din_r : std_logic_vector (31 downto 0) := (others => '0');
 signal din_code_r : std_logic_vector (1 downto 0) := (others => '0');
 signal din_rdy_r0, din_rdy_r1, din_rdy_r : std_logic := '0';
@@ -68,7 +75,7 @@ constant STORED_DATA_DEPTH : integer := 4;
 signal sop_detected: std_logic;
 signal reset_state, rst_p1, rst_p2 : std_logic;
 
-signal crc_calc, crc_start : std_logic;
+signal crc_calc, crc_start, chunk_start : std_logic;
 signal crc_out : std_logic_vector(19 downto 0);
 
 signal timeOutCnt    :  std_logic_vector ((toHostTimeoutBitn-1) downto 0); -- @ clk240 domain
@@ -96,6 +103,11 @@ crc_control: process(clk240)
 begin
     if rising_edge(clk240) then
         crc_calc <= (not din(32)) and din_rdy;
+        if (din(32)='1' and din(7 downto 0)=Kchar_sop and chunk_enable='1') then --same as is_soc, but one clk earlier
+            chunk_start      <= '1';
+        else
+            chunk_start      <= '0';
+        end if;
         if (din(32)='1' and din(7 downto 0)=Kchar_sop) then --same as is_soc, but one clk earlier
             crc_start      <= '1';
         else
@@ -114,6 +126,29 @@ begin
     end if;
 end process;
 
+chunk_counting: process(clk240)
+	variable chunk_count : integer range 0 to SUPER_CHUNK_FACTOR := SUPER_CHUNK_FACTOR;
+	variable chunk_count_incr : std_logic := '0'; 
+begin
+    if rising_edge(clk240) then
+        if(reset_state = '1') then
+            chunk_enable <= '0';
+            chunk_count := SUPER_CHUNK_FACTOR;
+            chunk_count_incr := '0';
+        elsif (din_rdy='1' and din(32)='1' and din(7 downto 0)=Kchar_sop and chunk_count_incr='0') then
+            chunk_count := chunk_count - 1;
+            chunk_count_incr:='1';
+            if (chunk_count = 0) then
+                chunk_enable <= '1';
+                chunk_count := SUPER_CHUNK_FACTOR;
+            else
+                chunk_enable <= '0';
+            end if;
+        else
+            chunk_count_incr := '0';
+        end if;
+    end if;
+end process;
 
 ------------------------------------------------------------
 -- input data type 
@@ -126,17 +161,22 @@ begin
         else
             is_comma <= '0';
         end if;
-        is_soc <= crc_start; --CRC start is the same as is_soc, but one clock earlier.
+        is_soc <= chunk_start; --CRC start is the same as is_soc, but one clock earlier.
         --if (din_r0(32)='1' and din_r0(7 downto 0)=Kchar_sop) then
         --    is_soc      <= '1';
         --else
         --    is_soc      <= '0';
         --end if;
-        if (din_r0(32)='1' and din_r0(7 downto 0)=Kchar_eop)then
+        if (din_r0(32)='1' and din_r0(7 downto 0)=Kchar_eop and chunk_enable='1')then
             is_eoc      <= '1';
         else
             is_eoc      <= '0';
         end if;
+        if (din_r0(32)='1' and din_r0(7 downto 0)=Kchar_eop)then
+            is_eoc_intermediate      <= '1';
+        else
+            is_eoc_intermediate      <= '0';
+        end if;
         if (din_r0(32)='1' and din_r0(7 downto 0)=Kchar_sob)then
             is_sob      <= '1';
         else
@@ -233,7 +273,8 @@ begin
         sop_detected <= '0';
         next_din_code_r := "00";
     elsif rising_edge (clk240) then
-        
+        intermediate_crc_check <= '0';
+					
         if din_rdy_r1 = '1' then
             if din_r1(32)='1' then -- byte(0) is a K-character
                 if is_soc = '1' then
@@ -252,6 +293,9 @@ begin
                     din_rdy_r <= '0';
                     busy_o_r    <= '0'; -- end-of-busy
                 else --comma
+                    if is_eoc_intermediate = '1' then
+                    intermediate_crc_check <= '1';
+                end if;
                     din_rdy_r <= '0';
                     NULL;
                 end if;
@@ -274,9 +318,9 @@ busy_o <= busy_o_r;
 toblock: process(clk240)
   variable wordOUT_v : std_logic_vector(31 downto 0) := x"0000_0000";
   variable wordOUT_rdy_v : std_logic;
-  variable blockCounter : integer range 0 to 255;  --counts the number of 32 bit words that form a block.
+  variable blockCounter : integer range 0 to NUMBER_OF_WORDS_PER_BLOCK-1;  --counts the number of 32 bit words that form a block.
   variable blockSequence : std_logic_vector(4 downto 0); --counts the block sequence in the block header
-  variable chunkCounter : std_logic_vector (11 downto 0);  --counts the length in the chunk, both for trailer generation and truncation
+  variable chunkCounter : std_logic_vector (15 downto 0);  --counts the length in the chunk, both for trailer generation and truncation
   variable stored_data_code : std_logic_vector(1 downto 0);
   variable create_trailer, create_zero_trailer, create_timeout_trailer : std_logic;
   variable trunc : std_logic;
@@ -295,7 +339,7 @@ begin
         if(reset_state = '1') then
             blockCounter := 0;
             blockSequence := "00000";
-            chunkCounter := x"000";
+            chunkCounter := x"0000";
             create_trailer := '0';
             create_zero_trailer := '0';
             create_timeout_trailer := '0';
@@ -338,6 +382,12 @@ begin
                     when others =>
                         NULL;
                 end case;
+            else
+                if intermediate_crc_check = '1' then
+                    if ( crc_out /= din_r(27 downto 8) ) then
+					    CRC_error := '1';
+				    end if;
+				end if;
             end if;
             
             if(EOC_error = '1' or SOC_error = '1') then
@@ -368,7 +418,7 @@ begin
             end if;
             
             
-            if(blockCounter = 255 and create_timeout_trailer = '0') then --end of block, always create trailer.
+            if(blockCounter = (NUMBER_OF_WORDS_PER_BLOCK-1) and create_timeout_trailer = '0') then --end of block, always create trailer.
                 create_trailer := '1';
             end if;
             
@@ -449,15 +499,19 @@ begin
                                     first_subchunk;
                                     
                     
-                    
-                    wordOUT_v := x"0000" & trailerType & trunc & error_v & CRC_error & chunkCounter(9 downto 0);
-                    if(busy_o_r = '1') then --Send out Out of band trailer with SOB k-char (K28.2) when in busy state.
-                        wordOUT_v(31 downto 16) := x"E0" & Kchar_sob;
+                    if CHUNK_TRAILER_32B then
+                        wordOUT_v := trailerType & trunc & error_v & CRC_error & busy_o_r & "0" & x"00" & chunkCounter(15 downto 0);
+                    else
+                        wordOUT_v := x"0000" & trailerType & trunc & error_v & CRC_error & chunkCounter(9 downto 0);
+                        if(busy_o_r = '1') then --Send out Out of band trailer with SOB k-char (K28.2) when in busy state.
+                            wordOUT_v(31 downto 16) := x"E0" & Kchar_sob;
+                        end if;
                     end if;
+
                     if(xoff = '0') then
                         error_v := '0';
                         CRC_error := '0';
-                        chunkCounter := x"000";
+                        chunkCounter := x"0000";
                         wordOUT_rdy_v := '1';
                     end if;
                     
@@ -466,7 +520,7 @@ begin
                         wordOUT_v := x"0000" & zero_data_trailer;
                     end if;
                     
-                    if(blockCounter = 254 and xoff='0') then --trailer created one word before last, add zero trailer.
+                    if(blockCounter = (NUMBER_OF_WORDS_PER_BLOCK-2) and xoff='0') then --trailer created one word before last, add zero trailer.
                         create_zero_trailer := '1';          --will be pipelined, zero trailer comes next clock cycle
                     end if;
                     
@@ -481,18 +535,29 @@ begin
                     CRC_error := '0';
                     create_trailer := '0';
                     last_subchunk := '0';
-                    if(blockCounter = 255) then --end of block, we need to stop sending 0 trailers and add the 0xA0XX timeout trailer, then go back to idle
+                    if(blockCounter = (NUMBER_OF_WORDS_PER_BLOCK-1)) then --end of block, we need to stop sending 0 trailers and add the 0xA0XX timeout trailer, then go back to idle
                         if (xoff='0') then      
-                            wordOUT_v := x"0000" & timeout_trailer(15 downto 10) & chunkCounter(9 downto 0);
+                            if CHUNK_TRAILER_32B then
+                                wordOUT_v := timeout_trailer(15 downto 10) & "00" & x"00" & chunkCounter(15 downto 0);
+                            else
+                                wordOUT_v := x"0000" & timeout_trailer(15 downto 10) & chunkCounter(9 downto 0);
+                            end if;
+
                             wordOUT_rdy_v := '1';    --If xoff (fifo full) we don't push out data.
-                            chunkCounter := x"000";            --chunk counter is reset here
+                            chunkCounter := x"0000";            --chunk counter is reset here
                             create_timeout_trailer := '0';  --back to idle operation
                         end if;
                     elsif(din_rdy_r = '1') then
                         if (xoff='0') then                                         
-                            wordOUT_v := x"0000" & timeout_trailer(15 downto 10) & chunkCounter(9 downto 0); --same operation, but in the middle of a block when new data arrives.
+
+                            if CHUNK_TRAILER_32B then
+                                wordOUT_v := timeout_trailer(15 downto 10) & "00" & x"00" & chunkCounter(15 downto 0); --same operation, but in the middle of a block when new data arrives.
+                            else
+                                wordOUT_v := x"0000" & timeout_trailer(15 downto 10) & chunkCounter(9 downto 0); --same operation, but in the middle of a block when new data arrives.
+                            end if;
+
                             wordOUT_rdy_v := '1';                                        --fifo full? don't push out data.
-                            chunkCounter := x"000";
+                            chunkCounter := x"0000";
                             create_timeout_trailer := '0';                                --back to idle
                             stored_data(data_stored) := din_code_r & din_r;                 --meanwhile record data
                             data_stored := data_stored+1;
@@ -511,7 +576,7 @@ begin
             
                 
             if(wordOUT_rdy_v = '1') then
-                if(blockCounter = 255) then
+                if(blockCounter = (NUMBER_OF_WORDS_PER_BLOCK-1)) then
                     blockCounter := 0;
                 else
                     blockCounter := blockCounter + 1;  --increment blockCounter as we are pushing into the fifo.
diff --git a/sources/centralRouter/thFMdm.vhd b/sources/centralRouter/thFMdm.vhd
index 441512276..8cd7b8086 100644
--- a/sources/centralRouter/thFMdm.vhd
+++ b/sources/centralRouter/thFMdm.vhd
@@ -20,7 +20,10 @@ entity thFMdm is
 generic (
     FMCHid                  : integer := 0;
     STATIC_CENTRALROUTER    : boolean := false;  -- removes update process from central router register map, only initial constant values are used
-    toHostTimeoutBitn       : integer := 8
+    toHostTimeoutBitn       : integer := 8;
+    SUPER_CHUNK_FACTOR        : integer := 1;
+    BLOCKSIZE           : integer := 1024;
+    CHUNK_TRAILER_32B   : boolean := false
     );
 port  ( 
     clk40       : in  std_logic;   
@@ -90,7 +93,7 @@ signal chFIFO_dout_s    : std_logic_vector(255 downto 0);
 signal wr_data_count : std_logic_vector(3 downto 0);
 signal xoff_fm_ch_fifo_thresh_low: std_logic_vector(3 downto 0);
 signal xoff_fm_ch_fifo_thresh_high: std_logic_vector(3 downto 0);
-signal chfifo_driver_din: std_logic_vector(35 downto 0);
+signal chfifo_driver_din: std_logic_vector(32 downto 0);
 begin
 ------------------------------------------------------------
 -- configuration registers map
@@ -153,11 +156,14 @@ fmch_ena <= fmch_config_reg(0) and FMCHlink_valid;
 ------------------------------------------------------------
 --  full mode channel FIFO driver
 ------------------------------------------------------------
-chfifo_driver_din <= "000" & FMCHdtype & FMCHdin;
+chfifo_driver_din <= FMCHdtype & FMCHdin;
 chFIFOdriver: entity work.thFMch_fifo_driver 
 generic map(
     FMCHid              => FMCHid,
-    toHostTimeoutBitn   => toHostTimeoutBitn
+    toHostTimeoutBitn   => toHostTimeoutBitn,
+    SUPER_CHUNK_FACTOR        => SUPER_CHUNK_FACTOR,
+    BLOCKSIZE           => BLOCKSIZE,
+    CHUNK_TRAILER_32B   => CHUNK_TRAILER_32B
     )
 port map (
     clk40           => clk40,
@@ -207,8 +213,10 @@ port map (
     prog_full   => chFIFO_pfull, -- '1' when a space for only one block is left (threshold 3580/3581)
     prog_empty  => chFIFO_pempty, -- '1' when less then one block is written (threshold 31/32)
     wr_data_count => wr_data_count,
-    prog_full_thresh  => std_logic_vector(to_unsigned(3581, 12)),
-    prog_empty_thresh => std_logic_vector(to_unsigned(31, 9))
+    --prog_full_thresh  => std_logic_vector(to_unsigned(4093-(NUMBER_OF_WORDS_PER_BLOCK*2), 12)),
+    --prog_empty_thresh => std_logic_vector(to_unsigned((NUMBER_OF_WORDS_PER_BLOCK/8)-1, 9))
+    prog_full_thresh  => std_logic_vector(to_unsigned(4093-(BLOCKSIZE/2), 12)),
+    prog_empty_thresh => std_logic_vector(to_unsigned((BLOCKSIZE/32)-1, 9))
     ); 
     
 
diff --git a/sources/centralRouter/thfmPCIeManager.vhd b/sources/centralRouter/thfmPCIeManager.vhd
index 015a9c7e3..2dd168f77 100644
--- a/sources/centralRouter/thfmPCIeManager.vhd
+++ b/sources/centralRouter/thfmPCIeManager.vhd
@@ -17,7 +17,8 @@ use work.centralRouter_package.all;
 --! reading from Downstream thchs order 
 entity thfmPCIeManager is
 Generic (
-    FMCH_NUM : integer := 1
+    FMCH_NUM : integer := 1;
+    BLOCKSIZE : integer := 1024
     );
 Port (  
     clk : in  std_logic;
@@ -33,8 +34,10 @@ end thfmPCIeManager;
 
 architecture Behavioral of thfmPCIeManager is
 
+constant NUMBER_OF_256_PER_BLOCK : integer := BLOCKSIZE / 32;
+
 signal FMCHcount  : std_logic_vector(4 downto 0) := (others => '0'); -- counts to maximum possible channel number (0 to 15) (double clocks)
-signal BLOCKcount : std_logic_vector(5 downto 0) := (others => '0'); -- counts 256-bit words in block (0 to 31)
+signal BLOCKcount : integer range 0 to (NUMBER_OF_256_PER_BLOCK*2)-1:=0; -- std_logic_vector(5 downto 0) := (others => '0'); -- counts 256-bit words in block (0 to 31)
 
 signal thch_rdy_array_full : std_logic_vector(FMCH_NUM-1 downto 0) := (others => '0');
 signal thch_reN_array : std_logic_vector(FMCH_NUM-1 downto 0);
@@ -85,7 +88,7 @@ thch_rdy   <= thch_rdy_array_full(to_integer(unsigned(FMCHcount(4 downto 1))));
 thch_rdy_s <= thch_rdy and (not FMCHcount(0)) and (not rst_state) and PCIe_ena;
 thch_rdy_pulse: entity work.pulse_pdxx_pwxx generic map(pd=>0,pw=>1) port map(clk, thch_rdy_s, thch_rdy_1clk);
 --
-block_done <= '1' when (BLOCKcount = "100000") else '0'; -- 1KByte = 256 x 32
+block_done <= '1' when (BLOCKcount = NUMBER_OF_256_PER_BLOCK) else '0'; -- 1KByte = 256 x 32
 --
 reN: entity work.ReMuxN
 generic map( N => FMCH_NUM) 
@@ -110,16 +113,16 @@ thch_re_array   <= thch_reN_array((FMCH_NUM-1) downto 0);
 BLOCKcounter : process(rst_state, clk)
 begin
 	if rst_state = '1' then
-	   BLOCKcount <= "000001"; --(others => '0');
+	   BLOCKcount <= 1; --(others => '0');
 	elsif clk'event and clk = '1' then
 		if re_s = '1' then
 			if block_done = '1' then
-				BLOCKcount <= "000001"; --(others => '0');
+				BLOCKcount <= 1; --(others => '0');
 			else
 				BLOCKcount <= BLOCKcount + 1;
 			end if;
 		else
-			BLOCKcount <= "000001"; --(others => '0');
+			BLOCKcount <= 1; --(others => '0');
 		end if;
 	end if;
 end process;
diff --git a/sources/housekeeping/GenericConstantsToRegs.vhd b/sources/housekeeping/GenericConstantsToRegs.vhd
index 8495455e4..3b5316d49 100644
--- a/sources/housekeeping/GenericConstantsToRegs.vhd
+++ b/sources/housekeeping/GenericConstantsToRegs.vhd
@@ -75,7 +75,9 @@ entity GenericConstantsToRegs is
     EnableFrHo_Egroup4Eproc2_HDLC   : boolean := true;
     EnableFrHo_Egroup4Eproc4_8b10b  : boolean := true;
     EnableFrHo_Egroup4Eproc8_8b10b  : boolean := true;
-    GENERATE_FEI4B                  : boolean := false);
+    GENERATE_FEI4B                  : boolean := false;
+    BLOCKSIZE                       : integer := 1024;
+    CHUNK_TRAILER_32B               : boolean := false);
   port (
     AUTOMATIC_CLOCK_SWITCH_ENABLED : out    std_logic_vector(0 downto 0);
     register_map_gen_board_info    : out    register_map_gen_board_info_type);
@@ -95,25 +97,18 @@ architecture rtl of GenericConstantsToRegs is
 
 begin
 
+    register_map_gen_board_info.TTC_EMU_CONST_GENERATE_TTC_EMU  <= CONV(generateTTCemu);
+    --register_map_gen_board_info.TTC_EMU_CONST.TTC_TEST_MODE     <= CONV(TTC_test_mode);
+    register_map_gen_board_info.GENERATE_GBT                    <= CONV(GENERATE_GBT);  -- 1 when the GBT is included in the design
+    --register_map_gen_board_info.GBT_MAPPING                     <= std_logic_vector(to_unsigned(GBT_MAPPING,8));  -- CXP-to-GBT mapping:
+                                                                                                                    --   0: NORMAL CXP1 1-12 CXP2 13-24
+                                                                                                                    --   1: ALTERNATE CXP1 1-4,9-12,17-20
+    register_map_gen_board_info.OPTO_TRX_NUM                    <= std_logic_vector(to_unsigned(OPTO_TRX,8));   -- Number of optical transceivers in the design
+    register_map_gen_board_info.NUM_OF_CHANNELS                 <= std_logic_vector(to_unsigned(GBT_NUM,8));    -- Number of GBT Channels
+    register_map_gen_board_info.BLOCKSIZE                       <= std_logic_vector(to_unsigned(BLOCKSIZE, 16));
+    register_map_gen_board_info.CHUNK_TRAILER_32B               <= CONV(CHUNK_TRAILER_32B);
+    register_map_gen_board_info.PCIE_ENDPOINTS                  <= std_logic_vector(to_unsigned(ENDPOINTS, 2));
     
-    register_map_gen_board_info.TTC_EMU_CONST_GENERATE_TTC_EMU           <= CONV(generateTTCemu);
-    --register_map_gen_board_info.TTC_EMU_CONST.TTC_TEST_MODE              <= CONV(TTC_test_mode);
-    register_map_gen_board_info.GENERATE_GBT                             <= CONV(GENERATE_GBT);                                -- 1 when the GBT is included in the design
-    --register_map_gen_board_info.GBT_MAPPING                              <= std_logic_vector(to_unsigned(GBT_MAPPING,8));      -- CXP-to-GBT mapping:
-                                                                                                                           --   0: NORMAL CXP1 1-12 CXP2 13-24
-                                                                                                                           --   1: ALTERNATE CXP1 1-4,9-12,17-20
-    register_map_gen_board_info.OPTO_TRX_NUM                                 <= std_logic_vector(to_unsigned(OPTO_TRX,8));         -- Number of optical transceivers in the design
-    register_map_gen_board_info.NUM_OF_CHANNELS                          <= std_logic_vector(to_unsigned(GBT_NUM,8));          -- Number of GBT Channels
-    register_map_gen_board_info.BLOCKSIZE                                <= "00000"& BLOCK_WORDn & '0';          -- Number of bytes in a block
-    --register_map_gen_board_info.INCLUDE_EPROC16                          <= CONV(includeEproc16);                              -- EPROC16 is included in Central Router
-    --register_map_gen_board_info.INCLUDE_EPROC16.FRHOEPROC2                 <= CONV(includeFrHoEproc2s);   --EPROC is included in Central Router
-    --register_map_gen_board_info.INCLUDE_EPROC16.FRHOEPROC4                 <= CONV(includeFrHoEproc4s);   --EPROC is included in Central Router
-    --register_map_gen_board_info.INCLUDE_EPROC16.FRHOEPROC8                 <= CONV(includeFrHoEproc8s);   --EPROC is included in Central Router
-    --register_map_gen_board_info.INCLUDE_EPROC16.FRHOEPROC16                <= CONV(false);                --EPROC is included in Central Router
-    --register_map_gen_board_info.INCLUDE_EPROC16.TOHOEPROC2                 <= CONV(includeToHoEproc2s);   --EPROC is included in Central Router
-    --register_map_gen_board_info.INCLUDE_EPROC16.TOHOEPROC4                 <= CONV(includeToHoEproc4s);   --EPROC is included in Central Router
-    --register_map_gen_board_info.INCLUDE_EPROC16.TOHOEPROC8                 <= CONV(includeToHoEproc8s);   --EPROC is included in Central Router
-    --register_map_gen_board_info.INCLUDE_EPROC16.TOHOEPROC16                <= CONV(includeToHoEproc16);   --EPROC is included in Central Router
     register_map_gen_board_info.INCLUDE_EGROUP(0).TOHOST_HDLC   <= CONV(EnableToHo_Egroup0Eproc2_HDLC );
     register_map_gen_board_info.INCLUDE_EGROUP(0).TOHOST_02     <= CONV(EnableToHo_Egroup0Eproc2_8b10b);
     register_map_gen_board_info.INCLUDE_EGROUP(0).TOHOST_04     <= CONV(EnableToHo_Egroup0Eproc4_8b10b);
@@ -159,8 +154,38 @@ begin
     register_map_gen_board_info.INCLUDE_EGROUP(4).FROMHOST_02   <= CONV(EnableFrHo_Egroup4Eproc2_HDLC );
     register_map_gen_board_info.INCLUDE_EGROUP(4).FROMHOST_04   <= CONV(EnableFrHo_Egroup4Eproc4_8b10b);
     register_map_gen_board_info.INCLUDE_EGROUP(4).FROMHOST_08   <= CONV(EnableFrHo_Egroup4Eproc8_8b10b);
-    register_map_gen_board_info.PCIE_ENDPOINTS <= std_logic_vector(to_unsigned(ENDPOINTS, 2));
-    
+
+    register_map_gen_board_info.INCLUDE_EGROUP(5).FROMHOST_HDLC <= (others => '0');
+    register_map_gen_board_info.INCLUDE_EGROUP(5).FROMHOST_02   <= (others => '0');
+    register_map_gen_board_info.INCLUDE_EGROUP(5).FROMHOST_04   <= (others => '0');
+    register_map_gen_board_info.INCLUDE_EGROUP(5).FROMHOST_08   <= (others => '0');
+    register_map_gen_board_info.INCLUDE_EGROUP(6).FROMHOST_HDLC <= (others => '0');
+    register_map_gen_board_info.INCLUDE_EGROUP(6).FROMHOST_02   <= (others => '0');
+    register_map_gen_board_info.INCLUDE_EGROUP(6).FROMHOST_04   <= (others => '0');
+    register_map_gen_board_info.INCLUDE_EGROUP(6).FROMHOST_08   <= (others => '0');
+    register_map_gen_board_info.INCLUDE_EGROUP(5).TOHOST_HDLC   <= (others => '0');
+    register_map_gen_board_info.INCLUDE_EGROUP(5).TOHOST_02     <= (others => '0');
+    register_map_gen_board_info.INCLUDE_EGROUP(5).TOHOST_04     <= (others => '0');
+    register_map_gen_board_info.INCLUDE_EGROUP(5).TOHOST_08     <= (others => '0');
+    register_map_gen_board_info.INCLUDE_EGROUP(5).TOHOST_16     <= (others => '0');
+    register_map_gen_board_info.INCLUDE_EGROUP(6).TOHOST_HDLC   <= (others => '0');
+    register_map_gen_board_info.INCLUDE_EGROUP(6).TOHOST_02     <= (others => '0');
+    register_map_gen_board_info.INCLUDE_EGROUP(6).TOHOST_04     <= (others => '0');
+    register_map_gen_board_info.INCLUDE_EGROUP(6).TOHOST_08     <= (others => '0');
+    register_map_gen_board_info.INCLUDE_EGROUP(6).TOHOST_16     <= (others => '0');
+
+    -- these registers are loaded inside dma_control.vhd:
+    --register_map_gen_board_info.REG_MAP_VERSION
+    --register_map_gen_board_info.BOARD_ID_TIMESTAMP
+    --register_map_gen_board_info.GIT_COMMIT_TIME
+    --register_map_gen_board_info.GIT_TAG
+    --register_map_gen_board_info.GIT_COMMIT_NUMBER
+    --register_map_gen_board_info.GIT_HASH
+    --register_map_gen_board_info.GENERIC_CONSTANTS.INTERRUPTS
+    --register_map_gen_board_info.GENERIC_CONSTANTS.DESCRIPTORS
+    --register_map_gen_board_info.CARD_TYPE
+    --register_map_gen_board_info.PCIE_ENDPOINT
+
     register_map_gen_board_info.FIRMWARE_MODE <= "0001" when (FIRMWARE_MODE = 1) else --FULL mode
                                                  "0010" when (generate_IC_EC_TTC_only = true) else --LTDB mode
                                                  "0011" when (GENERATE_FEI4B = true) else --FEI4 mode
@@ -169,13 +194,14 @@ begin
                                                  "0101" when (FIRMWARE_MODE = 5) else  -- ITK Strip
                                                  "0110" when (FIRMWARE_MODE = 6) else  -- FELIG
                                                  "0111" when (FIRMWARE_MODE = 7) else  -- Full mode emulator
-                                                 "1000" when (FIRMWARE_MODE = 8);      -- MROD mode
+                                                 "1000" when (FIRMWARE_MODE = 8);      -- FELIX_MROD
+    
           
-    --register_map_gen_board_info.CR_INTERNAL_LOOPBACK_MODE                <= CONV(crInternalLoopbackMode);                      -- 1 when Central Router internal loopback mode is enabled
-    register_map_gen_board_info.WIDE_MODE                                <= CONV(wideMode);                                    -- GBT is configured in Wide mode
-    --register_map_gen_board_info.DEBUG_MODE                               <= CONV(DEBUG_MODE);                                  -- 0: SMA X3 is constant 0, SMA X4 is connected to clk40 (output).
-                                                                                                                           -- 1: Debug port module (SMA X3 and SMA X4) can be controlled using DEBUG_PORT_GBT and DEBUG_PORT_CLK
-    AUTOMATIC_CLOCK_SWITCH_ENABLED <= CONV(AUTOMATIC_CLOCK_SWITCH);                      -- 1 when the automatic clock switch is enabled in the design
+    --register_map_gen_board_info.CR_INTERNAL_LOOPBACK_MODE        <= CONV(crInternalLoopbackMode); -- 1 when Central Router internal loopback mode is enabled
+    register_map_gen_board_info.WIDE_MODE                        <= CONV(wideMode);               -- GBT is configured in Wide mode
+    --register_map_gen_board_info.DEBUG_MODE                       <= CONV(DEBUG_MODE);             -- 0: SMA X3 is constant 0, SMA X4 is connected to clk40 (output).
+                                                                                                    -- 1: Debug port module (SMA X3 and SMA X4) can be controlled using DEBUG_PORT_GBT and DEBUG_PORT_CLK
+    AUTOMATIC_CLOCK_SWITCH_ENABLED <= CONV(AUTOMATIC_CLOCK_SWITCH); -- 1 when the automatic clock switch is enabled in the design
       
     register_map_gen_board_info.CR_GENERICS.FROM_HOST_INCLUDED   <= CONV(CREnableFromHost);
     register_map_gen_board_info.CR_GENERICS.DIRECT_MODE_INCLUDED <= CONV(includeDirectMode);
diff --git a/sources/pcie/register_map_sync.vhd b/sources/pcie/register_map_sync.vhd
index 6803f6f79..522b53614 100644
--- a/sources/pcie/register_map_sync.vhd
+++ b/sources/pcie/register_map_sync.vhd
@@ -29,7 +29,8 @@ entity register_map_sync is
     register_map_xoff_monitor   : in     register_map_xoff_monitor_type;
     rst_hw                      : in     std_logic;
     rst_soft_40                 : out    std_logic;
-    rst_soft_appregclk          : in     std_logic);
+    rst_soft_appregclk          : in     std_logic;
+    master_busy_in              : in     std_logic);
 end entity register_map_sync;
 
 
@@ -73,9 +74,22 @@ begin
     end process;
 
     appreg_sync: process(appreg_clk)
+      variable master_busy_p1, master_busy_p2: std_logic;
     begin
       if(rising_edge(appreg_clk)) then
-        interrupt_call <= interrupt_call_p1;
+        if master_busy_p1 /= master_busy_p2 then
+            interrupt_call(6) <= '1';
+        else 
+            interrupt_call(6) <= '0';
+        end if;
+        
+        master_busy_p2 := master_busy_p1;
+        master_busy_p1 := master_busy_in;
+        
+      
+        interrupt_call(7) <= interrupt_call_p1(7);
+        interrupt_call(5) <= interrupt_call_p1(5);
+        interrupt_call(4) <= interrupt_call_p1(4);
         interrupt_call_p1 <= interrupt_call_cr;
         register_map_monitor.register_map_gen_board_info  <= register_map_gen_board_info; --does not need synchronization as it contains only constants
         register_map_monitor.register_map_hk_monitor      <= register_map_hk_monitor_p1;
diff --git a/sources/pcie/wupper.vhd b/sources/pcie/wupper.vhd
index a7f05a129..39a8d56aa 100644
--- a/sources/pcie/wupper.vhd
+++ b/sources/pcie/wupper.vhd
@@ -1,4 +1,3 @@
-
 --!------------------------------------------------------------------------------
 --!                                                             
 --!           NIKHEF - National Institute for Subatomic Physics 
@@ -71,7 +70,8 @@ entity wupper is
     GBT_GENERATE_ALL_REGS : boolean := false;
     EMU_GENERATE_REGS     : boolean := false;
     MROD_GENERATE_REGS    : boolean := false;
-    PCIE_ENDPOINT         : integer := 0);
+    PCIE_ENDPOINT         : integer := 0;
+    BLOCKSIZE             : integer := 1024);
   port (
     appreg_clk                          : out    std_logic;
     flush_fifo                          : out    std_logic;
@@ -159,7 +159,7 @@ begin
   
   register_map_control <= register_map_control_s;
 
-  u1: entity work.pcie_ep_wrap
+  ep0: entity work.pcie_ep_wrap
     generic map(
       CARD_TYPE => CARD_TYPE,
       DEVID     => DEVID)
@@ -216,7 +216,9 @@ begin
       GIT_COMMIT_NUMBER     => GIT_COMMIT_NUMBER,
       GBT_GENERATE_ALL_REGS => GBT_GENERATE_ALL_REGS,
       EMU_GENERATE_REGS     => EMU_GENERATE_REGS,
-      PCIE_ENDPOINT         => PCIE_ENDPOINT)
+      MROD_GENERATE_REGS    => MROD_GENERATE_REGS,
+      PCIE_ENDPOINT         => PCIE_ENDPOINT,
+      BLOCKSIZE             => BLOCKSIZE)
     port map(
       bar0                            => bar0,
       bar1                            => bar1,
@@ -254,7 +256,7 @@ begin
       tohost_pfull_threshold_negate   => toHostFifo_pfull_threshold_negate,
       user_lnk_up                     => lnk_up_net);
 
-  u2: entity work.intr_ctrl
+  irq0: entity work.intr_ctrl
     generic map(
       NUMBER_OF_INTERRUPTS => NUMBER_OF_INTERRUPTS)
     port map(
@@ -277,7 +279,7 @@ begin
       s_axis_rq                  => m_axis_rq,
       int_test                   => register_map_control_s.INT_TEST);
 
-  u0: entity work.pcie_init
+  init0: entity work.pcie_init
     port map(
       bar0                     => bar0,
       bar1                     => bar1,
@@ -299,12 +301,13 @@ begin
       clk                      => clk,
       reset                    => reset);
 
-  u3: entity work.pcie_slow_clock
+  clk0: entity work.pcie_slow_clock
     port map(
       clk        => clk,
       regmap_clk => regmap_clk,
       pll_locked => pll_locked,
       reset_n    => sys_rst_n,
       reset_out  => reset_hard);
+
 end architecture structure ; -- of wupper
 
diff --git a/sources/pcie/wupper_core.vhd b/sources/pcie/wupper_core.vhd
index 972d37631..2152b3474 100644
--- a/sources/pcie/wupper_core.vhd
+++ b/sources/pcie/wupper_core.vhd
@@ -67,10 +67,11 @@ entity wupper_core is
     COMMIT_DATETIME       : std_logic_vector(39 downto 0) := x"0000FE71CE";
     GIT_TAG               : std_logic_vector(127 downto 0) := x"00000000000000000000000000000000";
     GIT_COMMIT_NUMBER     : integer := 0;
-    GBT_GENERATE_ALL_REGS : boolean :=false;
+    GBT_GENERATE_ALL_REGS : boolean := false;
     EMU_GENERATE_REGS     : boolean := false;
-    MROD_GENERATE_REGS    : boolean := true;
-    PCIE_ENDPOINT         : integer := 0);
+    MROD_GENERATE_REGS    : boolean := false;
+    PCIE_ENDPOINT         : integer := 0;
+    BLOCKSIZE             : integer := 1024);
   port (
     bar0                            : in     std_logic_vector(31 downto 0);
     bar1                            : in     std_logic_vector(31 downto 0);
@@ -116,76 +117,9 @@ architecture structure of wupper_core is
   signal u1_dma_descriptors : dma_descriptors_type(0 to (NUMBER_OF_DESCRIPTORS-1));
   signal dma_soft_reset     : std_logic;
 
-  component dma_read_write
-    generic(
-      NUMBER_OF_DESCRIPTORS : integer := 8);
-    port (
-      clk                     : in     std_logic;
-      dma_descriptors         : in     dma_descriptors_type(0 to (NUMBER_OF_DESCRIPTORS-1));
-      dma_soft_reset          : in     std_logic;
-      dma_status              : out    dma_statuses_type(0 to (NUMBER_OF_DESCRIPTORS-1));
-      fromHostFifo_din        : out    std_logic_vector(255 downto 0);
-      fromHostFifo_prog_full  : in     std_logic;
-      fromHostFifo_we         : out    std_logic;
-      m_axis_r_rq             : in     axis_r_type;
-      m_axis_rq               : out    axis_type;
-      reset                   : in     std_logic;
-      s_axis_r_rc             : out    axis_r_type;
-      s_axis_rc               : in     axis_type;
-      toHostFifo_dout         : in     std_logic_vector(255 downto 0);
-      toHostFifo_empty_thresh : out    std_logic_vector(11 downto 0);
-      toHostFifo_prog_empty   : in     std_logic;
-      toHostFifo_re           : out    std_logic);
-  end component dma_read_write;
-
-  component dma_control
-    generic(
-      NUMBER_OF_DESCRIPTORS : integer := 8;
-      NUMBER_OF_INTERRUPTS  : integer := 8;
-      SVN_VERSION           : integer := 0;
-      BUILD_DATETIME        : std_logic_vector(39 downto 0) := x"0000FE71CE";
-      CARD_TYPE             : integer := 710;
-      GIT_HASH              : std_logic_vector(159 downto 0) := x"0000000000000000000000000000000000000000";
-      COMMIT_DATETIME       : std_logic_vector(39 downto 0) := x"0000FE71CE";
-      GIT_TAG               : std_logic_vector(127 downto 0) := x"00000000000000000000000000000000";
-      GIT_COMMIT_NUMBER     : integer := 0;
-      GBT_GENERATE_ALL_REGS : boolean :=false;
-      EMU_GENERATE_REGS     : boolean := false;
-      PCIE_ENDPOINT         : integer := 0);
-    port (
-      bar0                            : in     std_logic_vector(31 downto 0);
-      bar1                            : in     std_logic_vector(31 downto 0);
-      bar2                            : in     std_logic_vector(31 downto 0);
-      clk                             : in     std_logic;
-      regmap_clk                      : in     std_logic;
-      dma_descriptors                 : out    dma_descriptors_type(0 to (NUMBER_OF_DESCRIPTORS-1));
-      dma_soft_reset                  : out    std_logic;
-      dma_status                      : in     dma_statuses_type;
-      flush_fifo                      : out    std_logic;
-      interrupt_vector                : out    interrupt_vectors_type(0 to (NUMBER_OF_INTERRUPTS-1));
-      m_axis_cc                       : out    axis_type;
-      m_axis_r_cc                     : in     axis_r_type;
-      reset                           : in     std_logic;
-      reset_global_soft               : out    std_logic;
-      s_axis_cq                       : in     axis_type;
-      s_axis_r_cq                     : out    axis_r_type;
-      register_map_monitor            : in     register_map_monitor_type;
-      register_map_control            : out    register_map_control_type;
-      interrupt_table_en              : out    std_logic_vector(NUMBER_OF_INTERRUPTS-1 downto 0);
-      dma_interrupt_call              : out    std_logic_vector(3 downto 0);
-      fifo_empty                      : in     std_logic;
-      fifo_full                       : in     std_logic;
-      fromhost_pfull_threshold_assert : out    std_logic_vector(8 downto 0);
-      fromhost_pfull_threshold_negate : out    std_logic_vector(8 downto 0);
-      tohost_pfull_threshold_assert   : out    std_logic_vector(11 downto 0);
-      tohost_pfull_threshold_negate   : out    std_logic_vector(11 downto 0);
-      tohost_busy_out                 : out    std_logic;
-      fromhost_busy_out               : out    std_logic);
-  end component dma_control;
-
 begin
 
-  u0: dma_read_write
+  u0: entity work.dma_read_write
     generic map(
       NUMBER_OF_DESCRIPTORS => NUMBER_OF_DESCRIPTORS)
     port map(
@@ -206,7 +140,7 @@ begin
       toHostFifo_prog_empty   => toHostFifo_prog_empty,
       toHostFifo_re           => toHostFifo_re);
 
-  u1: dma_control
+  u1: entity work.dma_control
     generic map(
       NUMBER_OF_DESCRIPTORS => NUMBER_OF_DESCRIPTORS,
       NUMBER_OF_INTERRUPTS  => NUMBER_OF_INTERRUPTS,
@@ -219,7 +153,9 @@ begin
       GIT_COMMIT_NUMBER     => GIT_COMMIT_NUMBER,
       GBT_GENERATE_ALL_REGS => GBT_GENERATE_ALL_REGS,
       EMU_GENERATE_REGS     => EMU_GENERATE_REGS,
-      PCIE_ENDPOINT         => PCIE_ENDPOINT)
+      MROD_GENERATE_REGS    => MROD_GENERATE_REGS,
+      PCIE_ENDPOINT         => PCIE_ENDPOINT,
+      BLOCKSIZE             => BLOCKSIZE)
     port map(
       bar0                            => bar0,
       bar1                            => bar1,
@@ -249,5 +185,6 @@ begin
       tohost_pfull_threshold_negate   => tohost_pfull_threshold_negate,
       tohost_busy_out                 => tohost_busy_out,
       fromhost_busy_out               => fromhost_busy_out);
+
 end architecture structure ; -- of wupper_core
 
diff --git a/sources/templates/build-doc.sh b/sources/templates/build-doc.sh
old mode 100644
new mode 100755
index bec61ba70..910e449b8
--- a/sources/templates/build-doc.sh
+++ b/sources/templates/build-doc.sh
@@ -1,15 +1,14 @@
 #!/bin/sh -e
+# build the documentation from the registermap.tex file
 
-wuppercodegen=../../../software/wuppercodegen/wuppercodegen/cli.py
-registers=registers-4.10.yaml
-$wuppercodegen --version
-$wuppercodegen $registers registermap.tex.template registermap.tex
 latex registers.tex
 latex registers.tex
 dvipdf registers.dvi
+
 regdoc=../../../documents/regmap
-cp registers.pdf $regdoc
-cp registers.tex $regdoc
-cp registermap.tex $regdoc
+cp -p registers.pdf $regdoc
+cp -p registers.tex $regdoc
+cp -p registermap.tex $regdoc
+
+#cp -p *.html $regdoc
 
-cp *.html $regdoc
diff --git a/sources/templates/build-html.sh b/sources/templates/build-html.sh
index 9f0df8955..02bef2171 100755
--- a/sources/templates/build-html.sh
+++ b/sources/templates/build-html.sh
@@ -22,5 +22,6 @@ $wuppercodegen --version
 echo "Generating html documentation for current version..."
 $wuppercodegen $current_registers $wuppercodegen_dir/input/registers.html.template registers-$1.html
 
-
+#regdoc=../../../documents/regmap
+#cp -p *.html $regdoc
 
diff --git a/sources/templates/build.sh b/sources/templates/build.sh
index 14438eead..e1f9100de 100755
--- a/sources/templates/build.sh
+++ b/sources/templates/build.sh
@@ -31,5 +31,10 @@ echo "Generating pcie_package.vhd and dma_control.vhd for current version..."
 $wuppercodegen $current_registers $template_dir/pcie_package.vhd.template $template_dir/pcie_package.vhd
 $wuppercodegen $current_registers $template_dir/dma_control.vhd.template $template_dir/dma_control.vhd
 
+# build the registermap.tex documentation file
+wuppercodegen=../../../software/wuppercodegen/wuppercodegen/cli.py
+registers=registers-${current_version}.yaml
+$wuppercodegen --version
+$wuppercodegen $registers registermap.tex.template registermap.tex
 
-
+#
diff --git a/sources/templates/dma_control.vhd b/sources/templates/dma_control.vhd
index 25fb5897c..21a2d7340 100644
--- a/sources/templates/dma_control.vhd
+++ b/sources/templates/dma_control.vhd
@@ -89,10 +89,11 @@ entity dma_control is
     GIT_TAG                  : std_logic_vector(127 downto 0) := x"00000000000000000000000000000000";
     GIT_COMMIT_NUMBER        : integer := 0;
     COMMIT_DATETIME          : std_logic_vector(39 downto 0) := x"0000FE71CE";
-    GBT_GENERATE_ALL_REGS    : boolean :=false;
+    GBT_GENERATE_ALL_REGS    : boolean := false;
     EMU_GENERATE_REGS        : boolean := false;
-    MROD_GENERATE_REGS       : boolean := true;
-    PCIE_ENDPOINT            : integer := 0);
+    MROD_GENERATE_REGS       : boolean := false;
+    PCIE_ENDPOINT            : integer := 0;
+    BLOCKSIZE                : integer := 1024);
   port (
     bar0                 : in     std_logic_vector(31 downto 0);
     bar1                 : in     std_logic_vector(31 downto 0);
@@ -139,6 +140,8 @@ architecture rtl of dma_control is
   constant WRITE_REGISTER_MODIFYWRITE_SLV     : std_logic_vector(2 downto 0) := "100";
   constant WAIT_RW_DONE_SLV                   : std_logic_vector(2 downto 0) := "101";
   constant SEND_UNKNOWN_REQUEST_SLV           : std_logic_vector(2 downto 0) := "111";
+  
+  constant NUMBER_OF_WORDS_PER_BLOCK: integer := BLOCKSIZE/4;
 
   signal dma_descriptors_s                : dma_descriptors_type(0 to (NUMBER_OF_DESCRIPTORS-1));
   signal dma_descriptors_25_r_s           : dma_descriptors_type(0 to 7);
@@ -771,8 +774,8 @@ end process;
           fromhost_pfull_threshold_assert_s  <= std_logic_vector(to_unsigned(10, 9));
           fromhost_pfull_threshold_negate_s  <= std_logic_vector(to_unsigned(6, 9));
           
-          tohost_pfull_threshold_assert_s <= std_logic_vector(to_unsigned(4050, 12));
-          tohost_pfull_threshold_negate_s <= std_logic_vector(to_unsigned(3744, 12));
+          tohost_pfull_threshold_assert_s <= std_logic_vector(to_unsigned(4095-(NUMBER_OF_WORDS_PER_BLOCK/8)*2, 12));
+          tohost_pfull_threshold_negate_s <= std_logic_vector(to_unsigned(4095-(NUMBER_OF_WORDS_PER_BLOCK/8)*3, 12));
           
           busy_threshold_assert             <= REG_BUSY_THRESH_ASSERT_C;
           busy_threshold_negate             <= REG_BUSY_THRESH_NEGATE_C;
@@ -7437,18 +7440,14 @@ end process;
           register_map_control_s.TTC_BUSY_TIMING_CTRL.PRESCALE  <= REG_TTC_BUSY_TIMING_CTRL_PRESCALE_C;     -- Prescales the 40MHz clock to create an internal slow clock
           register_map_control_s.TTC_BUSY_TIMING_CTRL.BUSY_WIDTH <= REG_TTC_BUSY_TIMING_CTRL_BUSY_WIDTH_C;   -- Minimum number of 40MHz clocks that the busy is asserted
           register_map_control_s.TTC_BUSY_TIMING_CTRL.LIMIT_TIME <= REG_TTC_BUSY_TIMING_CTRL_LIMIT_TIME_C;   -- Number of prescaled clocks a given busy must be asserted before it is recognized
-          register_map_control_s.TTC_EMU_CONTROL.LAST_LINE      <= REG_TTC_EMU_CONTROL_LAST_LINE_C;         -- Last line of the sequence
-          register_map_control_s.TTC_EMU_CONTROL.REPEAT         <= REG_TTC_EMU_CONTROL_REPEAT_C;            -- Repeat the sequence
-          register_map_control_s.TTC_EMU_CONTROL.BROADCAST5     <= REG_TTC_EMU_CONTROL_BROADCAST5_C;        -- Broadcast 5
-          register_map_control_s.TTC_EMU_CONTROL.BROADCAST4     <= REG_TTC_EMU_CONTROL_BROADCAST4_C;        -- Broadcast 4
-          register_map_control_s.TTC_EMU_CONTROL.BROADCAST3     <= REG_TTC_EMU_CONTROL_BROADCAST3_C;        -- Broadcast 3
-          register_map_control_s.TTC_EMU_CONTROL.BROADCAST2     <= REG_TTC_EMU_CONTROL_BROADCAST2_C;        -- Broadcast 2
-          register_map_control_s.TTC_EMU_CONTROL.BROADCAST1     <= REG_TTC_EMU_CONTROL_BROADCAST1_C;        -- Broadcast 1
-          register_map_control_s.TTC_EMU_CONTROL.BROADCAST0     <= REG_TTC_EMU_CONTROL_BROADCAST0_C;        -- Broadcast 0
+          register_map_control_s.TTC_EMU_CONTROL.BROADCAST      <= REG_TTC_EMU_CONTROL_BROADCAST_C;         -- Broadcast data
           register_map_control_s.TTC_EMU_CONTROL.ECR            <= REG_TTC_EMU_CONTROL_ECR_C;               -- Event counter reset
           register_map_control_s.TTC_EMU_CONTROL.BCR            <= REG_TTC_EMU_CONTROL_BCR_C;               -- Bunch counter reset
           register_map_control_s.TTC_EMU_CONTROL.L1A            <= REG_TTC_EMU_CONTROL_L1A_C;               -- Level 1 Accept
-          register_map_control_s.TTC_EMU_CONTROL.STEP_COUNTER   <= REG_TTC_EMU_CONTROL_STEP_COUNTER_C;      -- Step counter value
+          register_map_control_s.TTC_EMU_L1A_PERIOD             <= REG_TTC_EMU_L1A_PERIOD_C;                -- L1A period in BC. 0 means manual L1A with TTC_EMU_CONTROL.L1A
+          register_map_control_s.TTC_EMU_ECR_PERIOD             <= REG_TTC_EMU_ECR_PERIOD_C;                -- ECR period in BC. 0 means manual ECR with TTC_EMU_CONTROL.ECR
+          register_map_control_s.TTC_EMU_BCR_PERIOD             <= REG_TTC_EMU_BCR_PERIOD_C;                -- BCR period in BC. 0 means manual BCR with TTC_EMU_CONTROL.BCR
+          register_map_control_s.TTC_EMU_LONG_CHANNEL_DATA      <= REG_TTC_EMU_LONG_CHANNEL_DATA_C;         -- Long channel data for the TTC emulator
           register_map_control_s.XOFF_FM_CH_FIFO_THRESH_LOW     <= REG_XOFF_FM_CH_FIFO_THRESH_LOW_C;        -- Controls the low threshold of the channel fifo in FULL mode on which
                                                                                                         -- an Xon will be asserted, bitfields control 4 MSB
                                                                                                         
@@ -9564,7 +9563,7 @@ end process;
               register_map_control_s.IC_TOHOST_FIFO(23).RE <= REG_IC_TOHOST_FIFO_23_RE_C;        -- Any write to this register will trigger a read enable from the fifo
       end if;
       register_map_control_s.TTC_BUSY_CLEAR                 <= REG_TTC_BUSY_CLEAR_C;              -- clears the latching busy bits in TTC_BUSY_ACCEPTED
-      register_map_control_s.TTC_EMU_CONTROL.WE             <= REG_TTC_EMU_CONTROL_WE_C;          -- Any write to this register executes a write enable
+      register_map_control_s.TTC_EMU_RESET                  <= REG_TTC_EMU_RESET_C;               -- Any write to this register resets the TTC Emulator to the default state.
       register_map_control_s.XOFF_FM_HIGH_THRESH.CLEAR_LATCH <= REG_XOFF_FM_HIGH_THRESH_CLEAR_LATCH_C; -- Writing this register will clear all CROSS_LATCHED bits
       register_map_control_s.DMA_BUSY_STATUS.CLEAR_LATCH    <= REG_DMA_BUSY_STATUS_CLEAR_LATCH_C; -- Any write to this register clears TOHOST_BUSY_LATCHED
       register_map_control_s.FM_BUSY_CHANNEL_STATUS.CLEAR_LATCH <= REG_FM_BUSY_CHANNEL_STATUS_CLEAR_LATCH_C; -- Any write to this register will clear the BUSY_LATCHED bits
@@ -14328,19 +14327,15 @@ end process;
                                                        register_read_data_25_s(31 downto 16)   <= register_map_control_s.TTC_BUSY_TIMING_CTRL.BUSY_WIDTH; -- Minimum number of 40MHz clocks that the busy is asserted
                                                        register_read_data_25_s(15 downto 0)    <= register_map_control_s.TTC_BUSY_TIMING_CTRL.LIMIT_TIME; -- Number of prescaled clocks a given busy must be asserted before it is recognized
             when REG_TTC_BUSY_CLEAR                 => register_read_data_25_s(64 downto 64)   <= register_map_control_s.TTC_BUSY_CLEAR;                -- clears the latching busy bits in TTC_BUSY_ACCEPTED
-            when REG_TTC_EMU_CONTROL                => register_read_data_25_s(64 downto 64)   <= register_map_control_s.TTC_EMU_CONTROL.WE;            -- Any write to this register executes a write enable
-                                                       register_read_data_25_s(35 downto 35)   <= register_map_control_s.TTC_EMU_CONTROL.LAST_LINE;     -- Last line of the sequence
-                                                       register_read_data_25_s(34 downto 34)   <= register_map_control_s.TTC_EMU_CONTROL.REPEAT;        -- Repeat the sequence
-                                                       register_read_data_25_s(32 downto 32)   <= register_map_control_s.TTC_EMU_CONTROL.BROADCAST5;    -- Broadcast 5
-                                                       register_read_data_25_s(31 downto 31)   <= register_map_control_s.TTC_EMU_CONTROL.BROADCAST4;    -- Broadcast 4
-                                                       register_read_data_25_s(30 downto 30)   <= register_map_control_s.TTC_EMU_CONTROL.BROADCAST3;    -- Broadcast 3
-                                                       register_read_data_25_s(29 downto 29)   <= register_map_control_s.TTC_EMU_CONTROL.BROADCAST2;    -- Broadcast 2
-                                                       register_read_data_25_s(28 downto 28)   <= register_map_control_s.TTC_EMU_CONTROL.BROADCAST1;    -- Broadcast 1
-                                                       register_read_data_25_s(27 downto 27)   <= register_map_control_s.TTC_EMU_CONTROL.BROADCAST0;    -- Broadcast 0
+            when REG_TTC_EMU_CONTROL                => register_read_data_25_s(32 downto 27)   <= register_map_control_s.TTC_EMU_CONTROL.BROADCAST;     -- Broadcast data
                                                        register_read_data_25_s(26 downto 26)   <= register_map_control_s.TTC_EMU_CONTROL.ECR;           -- Event counter reset
                                                        register_read_data_25_s(25 downto 25)   <= register_map_control_s.TTC_EMU_CONTROL.BCR;           -- Bunch counter reset
                                                        register_read_data_25_s(24 downto 24)   <= register_map_control_s.TTC_EMU_CONTROL.L1A;           -- Level 1 Accept
-                                                       register_read_data_25_s(21 downto 0)    <= register_map_control_s.TTC_EMU_CONTROL.STEP_COUNTER;  -- Step counter value
+            when REG_TTC_EMU_L1A_PERIOD             => register_read_data_25_s(31 downto 0)    <= register_map_control_s.TTC_EMU_L1A_PERIOD;            -- L1A period in BC. 0 means manual L1A with TTC_EMU_CONTROL.L1A
+            when REG_TTC_EMU_ECR_PERIOD             => register_read_data_25_s(31 downto 0)    <= register_map_control_s.TTC_EMU_ECR_PERIOD;            -- ECR period in BC. 0 means manual ECR with TTC_EMU_CONTROL.ECR
+            when REG_TTC_EMU_BCR_PERIOD             => register_read_data_25_s(31 downto 0)    <= register_map_control_s.TTC_EMU_BCR_PERIOD;            -- BCR period in BC. 0 means manual BCR with TTC_EMU_CONTROL.BCR
+            when REG_TTC_EMU_LONG_CHANNEL_DATA      => register_read_data_25_s(31 downto 0)    <= register_map_control_s.TTC_EMU_LONG_CHANNEL_DATA;     -- Long channel data for the TTC emulator
+            when REG_TTC_EMU_RESET                  => register_read_data_25_s(64 downto 64)   <= register_map_control_s.TTC_EMU_RESET;                 -- Any write to this register resets the TTC Emulator to the default state.
             when REG_XOFF_FM_CH_FIFO_THRESH_LOW     => register_read_data_25_s(3 downto 0)     <= register_map_control_s.XOFF_FM_CH_FIFO_THRESH_LOW;    -- Controls the low threshold of the channel fifo in FULL mode on which
                                                                                                                                                         -- an Xon will be asserted, bitfields control 4 MSB
                                                                                                                                                         
@@ -17190,40 +17185,38 @@ end process;
                                                          register_read_data_25_s(1 downto 1)     <= register_map_monitor_s.wishbone_monitor.WISHBONE_STATUS.ACKNOWLEDGE;   -- Indicates the termination of a normal bus cycle
                                                          register_read_data_25_s(0 downto 0)     <= register_map_monitor_s.wishbone_monitor.WISHBONE_STATUS.ERROR;         -- Address not mapped by the crossbar
 
--- MROD_EP0monitors
+-- MRODmonitors
               when REG_MROD_EP0_CSMH_EMPTY            => 
                   if MROD_GENERATE_REGS = true then
-                                                         register_read_data_25_s(23 downto 0)    <= register_map_monitor_s.regmap_mrodEP0_monitor.MROD_EP0_CSMH_EMPTY;           -- CSM Handler FIFO Empty 23-0
+                                                         register_read_data_25_s(23 downto 0)    <= register_map_monitor_s.regmap_mrod_monitor.MROD_EP0_CSMH_EMPTY;           -- CSM Handler FIFO Empty 23-0
                   end if;
               when REG_MROD_EP0_CSMH_FULL             => 
                   if MROD_GENERATE_REGS = true then
-                                                         register_read_data_25_s(23 downto 0)    <= register_map_monitor_s.regmap_mrodEP0_monitor.MROD_EP0_CSMH_FULL;            -- CSM Handler FIFO Full 23-0
+                                                         register_read_data_25_s(23 downto 0)    <= register_map_monitor_s.regmap_mrod_monitor.MROD_EP0_CSMH_FULL;            -- CSM Handler FIFO Full 23-0
                   end if;
               when REG_MROD_EP0_RXLOCKED              => 
                   if MROD_GENERATE_REGS = true then
-                                                         register_read_data_25_s(23 downto 0)    <= register_map_monitor_s.regmap_mrodEP0_monitor.MROD_EP0_RXLOCKED;             -- EP0 Receiver Locked monitor 23-0
+                                                         register_read_data_25_s(23 downto 0)    <= register_map_monitor_s.regmap_mrod_monitor.MROD_EP0_RXLOCKED;             -- EP0 Receiver Locked monitor 23-0
                   end if;
               when REG_MROD_EP0_TXLOCKED              => 
                   if MROD_GENERATE_REGS = true then
-                                                         register_read_data_25_s(23 downto 0)    <= register_map_monitor_s.regmap_mrodEP0_monitor.MROD_EP0_TXLOCKED;             -- EP0 Transmitter Locked monitor 23-0
+                                                         register_read_data_25_s(23 downto 0)    <= register_map_monitor_s.regmap_mrod_monitor.MROD_EP0_TXLOCKED;             -- EP0 Transmitter Locked monitor 23-0
                   end if;
-
--- MROD_EP1monitors
               when REG_MROD_EP1_CSMH_EMPTY            => 
                   if MROD_GENERATE_REGS = true then
-                                                         register_read_data_25_s(23 downto 0)    <= register_map_monitor_s.regmap_mrodEP1_monitor.MROD_EP1_CSMH_EMPTY;           -- CSM Handler FIFO Empty 23-0
+                                                         register_read_data_25_s(23 downto 0)    <= register_map_monitor_s.regmap_mrod_monitor.MROD_EP1_CSMH_EMPTY;           -- CSM Handler FIFO Empty 23-0
                   end if;
               when REG_MROD_EP1_CSMH_FULL             => 
                   if MROD_GENERATE_REGS = true then
-                                                         register_read_data_25_s(23 downto 0)    <= register_map_monitor_s.regmap_mrodEP1_monitor.MROD_EP1_CSMH_FULL;            -- CSM Handler FIFO Full 23-0
+                                                         register_read_data_25_s(23 downto 0)    <= register_map_monitor_s.regmap_mrod_monitor.MROD_EP1_CSMH_FULL;            -- CSM Handler FIFO Full 23-0
                   end if;
               when REG_MROD_EP1_RXLOCKED              => 
                   if MROD_GENERATE_REGS = true then
-                                                         register_read_data_25_s(23 downto 0)    <= register_map_monitor_s.regmap_mrodEP1_monitor.MROD_EP1_RXLOCKED;             -- EP1 Receiver Locked monitor 23-0
+                                                         register_read_data_25_s(23 downto 0)    <= register_map_monitor_s.regmap_mrod_monitor.MROD_EP1_RXLOCKED;             -- EP1 Receiver Locked monitor 23-0
                   end if;
               when REG_MROD_EP1_TXLOCKED              => 
                   if MROD_GENERATE_REGS = true then
-                                                         register_read_data_25_s(23 downto 0)    <= register_map_monitor_s.regmap_mrodEP1_monitor.MROD_EP1_TXLOCKED;             -- EP1 Transmitter Locked monitor 23-0
+                                                         register_read_data_25_s(23 downto 0)    <= register_map_monitor_s.regmap_mrod_monitor.MROD_EP1_TXLOCKED;             -- EP1 Transmitter Locked monitor 23-0
                   end if;
             -----------------------------------
             ---- GENERATED code END #3 ##  ----
@@ -21911,19 +21904,15 @@ end process;
                                                        register_map_control_s.TTC_BUSY_TIMING_CTRL.BUSY_WIDTH <= register_write_data_25_v(31 downto 16);  -- Minimum number of 40MHz clocks that the busy is asserted
                                                        register_map_control_s.TTC_BUSY_TIMING_CTRL.LIMIT_TIME <= register_write_data_25_v(15 downto 0);   -- Number of prescaled clocks a given busy must be asserted before it is recognized
             when REG_TTC_BUSY_CLEAR                 => register_map_control_s.TTC_BUSY_CLEAR                 <= "1";                                     -- clears the latching busy bits in TTC_BUSY_ACCEPTED
-            when REG_TTC_EMU_CONTROL                => register_map_control_s.TTC_EMU_CONTROL.WE             <= "1";                                     -- Any write to this register executes a write enable
-                                                       register_map_control_s.TTC_EMU_CONTROL.LAST_LINE      <= register_write_data_25_v(35 downto 35);  -- Last line of the sequence
-                                                       register_map_control_s.TTC_EMU_CONTROL.REPEAT         <= register_write_data_25_v(34 downto 34);  -- Repeat the sequence
-                                                       register_map_control_s.TTC_EMU_CONTROL.BROADCAST5     <= register_write_data_25_v(32 downto 32);  -- Broadcast 5
-                                                       register_map_control_s.TTC_EMU_CONTROL.BROADCAST4     <= register_write_data_25_v(31 downto 31);  -- Broadcast 4
-                                                       register_map_control_s.TTC_EMU_CONTROL.BROADCAST3     <= register_write_data_25_v(30 downto 30);  -- Broadcast 3
-                                                       register_map_control_s.TTC_EMU_CONTROL.BROADCAST2     <= register_write_data_25_v(29 downto 29);  -- Broadcast 2
-                                                       register_map_control_s.TTC_EMU_CONTROL.BROADCAST1     <= register_write_data_25_v(28 downto 28);  -- Broadcast 1
-                                                       register_map_control_s.TTC_EMU_CONTROL.BROADCAST0     <= register_write_data_25_v(27 downto 27);  -- Broadcast 0
+            when REG_TTC_EMU_CONTROL                => register_map_control_s.TTC_EMU_CONTROL.BROADCAST      <= register_write_data_25_v(32 downto 27);  -- Broadcast data
                                                        register_map_control_s.TTC_EMU_CONTROL.ECR            <= register_write_data_25_v(26 downto 26);  -- Event counter reset
                                                        register_map_control_s.TTC_EMU_CONTROL.BCR            <= register_write_data_25_v(25 downto 25);  -- Bunch counter reset
                                                        register_map_control_s.TTC_EMU_CONTROL.L1A            <= register_write_data_25_v(24 downto 24);  -- Level 1 Accept
-                                                       register_map_control_s.TTC_EMU_CONTROL.STEP_COUNTER   <= register_write_data_25_v(21 downto 0);   -- Step counter value
+            when REG_TTC_EMU_L1A_PERIOD             => register_map_control_s.TTC_EMU_L1A_PERIOD             <= register_write_data_25_v(31 downto 0);   -- L1A period in BC. 0 means manual L1A with TTC_EMU_CONTROL.L1A
+            when REG_TTC_EMU_ECR_PERIOD             => register_map_control_s.TTC_EMU_ECR_PERIOD             <= register_write_data_25_v(31 downto 0);   -- ECR period in BC. 0 means manual ECR with TTC_EMU_CONTROL.ECR
+            when REG_TTC_EMU_BCR_PERIOD             => register_map_control_s.TTC_EMU_BCR_PERIOD             <= register_write_data_25_v(31 downto 0);   -- BCR period in BC. 0 means manual BCR with TTC_EMU_CONTROL.BCR
+            when REG_TTC_EMU_LONG_CHANNEL_DATA      => register_map_control_s.TTC_EMU_LONG_CHANNEL_DATA      <= register_write_data_25_v(31 downto 0);   -- Long channel data for the TTC emulator
+            when REG_TTC_EMU_RESET                  => register_map_control_s.TTC_EMU_RESET                  <= "1";                                     -- Any write to this register resets the TTC Emulator to the default state.
             when REG_XOFF_FM_CH_FIFO_THRESH_LOW     => register_map_control_s.XOFF_FM_CH_FIFO_THRESH_LOW     <= register_write_data_25_v(3 downto 0);    -- Controls the low threshold of the channel fifo in FULL mode on which
                                                                                                                                                          -- an Xon will be asserted, bitfields control 4 MSB
                                                                                                                                                          
diff --git a/sources/templates/dma_control.vhd.template b/sources/templates/dma_control.vhd.template
index 9b4b38338..6dcbce8c3 100644
--- a/sources/templates/dma_control.vhd.template
+++ b/sources/templates/dma_control.vhd.template
@@ -68,10 +68,11 @@ entity dma_control is
     GIT_TAG                  : std_logic_vector(127 downto 0) := x"00000000000000000000000000000000";
     GIT_COMMIT_NUMBER        : integer := 0;
     COMMIT_DATETIME          : std_logic_vector(39 downto 0) := x"0000FE71CE";
-    GBT_GENERATE_ALL_REGS    : boolean :=false;
+    GBT_GENERATE_ALL_REGS    : boolean := false;
     EMU_GENERATE_REGS        : boolean := false;
-    MROD_GENERATE_REGS       : boolean := true;
-    PCIE_ENDPOINT            : integer := 0);
+    MROD_GENERATE_REGS       : boolean := false;
+    PCIE_ENDPOINT            : integer := 0;
+    BLOCKSIZE                : integer := 1024);
   port (
     bar0                 : in     std_logic_vector(31 downto 0);
     bar1                 : in     std_logic_vector(31 downto 0);
@@ -118,6 +119,8 @@ architecture rtl of dma_control is
   constant WRITE_REGISTER_MODIFYWRITE_SLV     : std_logic_vector(2 downto 0) := "100";
   constant WAIT_RW_DONE_SLV                   : std_logic_vector(2 downto 0) := "101";
   constant SEND_UNKNOWN_REQUEST_SLV           : std_logic_vector(2 downto 0) := "111";
+  
+  constant NUMBER_OF_WORDS_PER_BLOCK: integer := BLOCKSIZE/4;
 
   signal dma_descriptors_s                : dma_descriptors_type(0 to (NUMBER_OF_DESCRIPTORS-1));
   signal dma_descriptors_25_r_s           : dma_descriptors_type(0 to 7);
@@ -750,8 +753,8 @@ end process;
           fromhost_pfull_threshold_assert_s  <= std_logic_vector(to_unsigned(10, 9));
           fromhost_pfull_threshold_negate_s  <= std_logic_vector(to_unsigned(6, 9));
           
-          tohost_pfull_threshold_assert_s <= std_logic_vector(to_unsigned(4050, 12));
-          tohost_pfull_threshold_negate_s <= std_logic_vector(to_unsigned(3744, 12));
+          tohost_pfull_threshold_assert_s <= std_logic_vector(to_unsigned(4095-(NUMBER_OF_WORDS_PER_BLOCK/8)*2, 12));
+          tohost_pfull_threshold_negate_s <= std_logic_vector(to_unsigned(4095-(NUMBER_OF_WORDS_PER_BLOCK/8)*3, 12));
           
           busy_threshold_assert             <= REG_BUSY_THRESH_ASSERT_C;
           busy_threshold_negate             <= REG_BUSY_THRESH_NEGATE_C;
diff --git a/sources/templates/pcie_package.vhd b/sources/templates/pcie_package.vhd
index 4c622fcb9..fb1a9dfc5 100644
--- a/sources/templates/pcie_package.vhd
+++ b/sources/templates/pcie_package.vhd
@@ -985,6 +985,11 @@ package pcie_package is
   constant REG_TTC_BUSY_TIMING_CTRL           : std_logic_vector(19 downto 0) := x"084b0";
   constant REG_TTC_BUSY_CLEAR                 : std_logic_vector(19 downto 0) := x"084c0";
   constant REG_TTC_EMU_CONTROL                : std_logic_vector(19 downto 0) := x"084d0";
+  constant REG_TTC_EMU_L1A_PERIOD             : std_logic_vector(19 downto 0) := x"084e0";
+  constant REG_TTC_EMU_ECR_PERIOD             : std_logic_vector(19 downto 0) := x"084f0";
+  constant REG_TTC_EMU_BCR_PERIOD             : std_logic_vector(19 downto 0) := x"08500";
+  constant REG_TTC_EMU_LONG_CHANNEL_DATA      : std_logic_vector(19 downto 0) := x"08510";
+  constant REG_TTC_EMU_RESET                  : std_logic_vector(19 downto 0) := x"08520";
 
   --** XOFF_BUSYControlsAndMonitors
   constant REG_XOFF_FM_CH_FIFO_THRESH_LOW     : std_logic_vector(19 downto 0) := x"08800";
@@ -1395,17 +1400,15 @@ package pcie_package is
   constant REG_MROD_EP1_RXRESET               : std_logic_vector(19 downto 0) := x"0d110";
   constant REG_MROD_EP1_TXRESET               : std_logic_vector(19 downto 0) := x"0d120";
 
-  --** MROD_EP0monitors
-  constant REG_MROD_EP0_CSMH_EMPTY            : std_logic_vector(19 downto 0) := x"0e000";
-  constant REG_MROD_EP0_CSMH_FULL             : std_logic_vector(19 downto 0) := x"0e010";
-  constant REG_MROD_EP0_RXLOCKED              : std_logic_vector(19 downto 0) := x"0e020";
-  constant REG_MROD_EP0_TXLOCKED              : std_logic_vector(19 downto 0) := x"0e030";
-
-  --** MROD_EP1monitors
-  constant REG_MROD_EP1_CSMH_EMPTY            : std_logic_vector(19 downto 0) := x"0e700";
-  constant REG_MROD_EP1_CSMH_FULL             : std_logic_vector(19 downto 0) := x"0e710";
-  constant REG_MROD_EP1_RXLOCKED              : std_logic_vector(19 downto 0) := x"0e720";
-  constant REG_MROD_EP1_TXLOCKED              : std_logic_vector(19 downto 0) := x"0e730";
+  --** MRODmonitors
+  constant REG_MROD_EP0_CSMH_EMPTY            : std_logic_vector(19 downto 0) := x"0d800";
+  constant REG_MROD_EP0_CSMH_FULL             : std_logic_vector(19 downto 0) := x"0d810";
+  constant REG_MROD_EP0_RXLOCKED              : std_logic_vector(19 downto 0) := x"0d820";
+  constant REG_MROD_EP0_TXLOCKED              : std_logic_vector(19 downto 0) := x"0d830";
+  constant REG_MROD_EP1_CSMH_EMPTY            : std_logic_vector(19 downto 0) := x"0d840";
+  constant REG_MROD_EP1_CSMH_FULL             : std_logic_vector(19 downto 0) := x"0d850";
+  constant REG_MROD_EP1_RXLOCKED              : std_logic_vector(19 downto 0) := x"0d860";
+  constant REG_MROD_EP1_TXLOCKED              : std_logic_vector(19 downto 0) := x"0d870";
   -----------------------------------
   ---- GENERATED code END #1 ##  ----
   -----------------------------------
@@ -1624,20 +1627,11 @@ package pcie_package is
     LIMIT_TIME                     : std_logic_vector(15 downto 0);   -- Number of prescaled clocks a given busy must be asserted before it is recognized
   end record;
 
-  type bitfield_ttc_emu_control_t_type is record
-    WE                             : std_logic_vector(64 downto 64);  -- Any write to this register executes a write enable
-    LAST_LINE                      : std_logic_vector(35 downto 35);  -- Last line of the sequence
-    REPEAT                         : std_logic_vector(34 downto 34);  -- Repeat the sequence
-    BROADCAST5                     : std_logic_vector(32 downto 32);  -- Broadcast 5
-    BROADCAST4                     : std_logic_vector(31 downto 31);  -- Broadcast 4
-    BROADCAST3                     : std_logic_vector(30 downto 30);  -- Broadcast 3
-    BROADCAST2                     : std_logic_vector(29 downto 29);  -- Broadcast 2
-    BROADCAST1                     : std_logic_vector(28 downto 28);  -- Broadcast 1
-    BROADCAST0                     : std_logic_vector(27 downto 27);  -- Broadcast 0
+  type bitfield_ttc_emu_control_w_type is record
+    BROADCAST                      : std_logic_vector(32 downto 27);  -- Broadcast data
     ECR                            : std_logic_vector(26 downto 26);  -- Event counter reset
     BCR                            : std_logic_vector(25 downto 25);  -- Bunch counter reset
     L1A                            : std_logic_vector(24 downto 24);  -- Level 1 Accept
-    STEP_COUNTER                   : std_logic_vector(21 downto 0);   -- Step counter value
   end record;
 
   type bitfield_xoff_fm_high_thresh_t_type is record
@@ -1942,7 +1936,12 @@ package pcie_package is
     TTC_DELAY                      : bitfield_ttc_delay_w_array_type; -- Controls the TTC Fanout delay values
     TTC_BUSY_TIMING_CTRL           : bitfield_ttc_busy_timing_ctrl_w_type;  -- TTC and BUSY Controls and Monitors 
     TTC_BUSY_CLEAR                 : std_logic_vector(64 downto 64);  -- clears the latching busy bits in TTC_BUSY_ACCEPTED
-    TTC_EMU_CONTROL                : bitfield_ttc_emu_control_t_type;  -- TTC and BUSY Controls and Monitors 
+    TTC_EMU_CONTROL                : bitfield_ttc_emu_control_w_type;  -- TTC and BUSY Controls and Monitors 
+    TTC_EMU_L1A_PERIOD             : std_logic_vector(31 downto 0);   -- L1A period in BC. 0 means manual L1A with TTC_EMU_CONTROL.L1A
+    TTC_EMU_ECR_PERIOD             : std_logic_vector(31 downto 0);   -- ECR period in BC. 0 means manual ECR with TTC_EMU_CONTROL.ECR
+    TTC_EMU_BCR_PERIOD             : std_logic_vector(31 downto 0);   -- BCR period in BC. 0 means manual BCR with TTC_EMU_CONTROL.BCR
+    TTC_EMU_LONG_CHANNEL_DATA      : std_logic_vector(31 downto 0);   -- Long channel data for the TTC emulator
+    TTC_EMU_RESET                  : std_logic_vector(64 downto 64);  -- Any write to this register resets the TTC Emulator to the default state.
     XOFF_FM_CH_FIFO_THRESH_LOW     : std_logic_vector(3 downto 0);    -- Controls the low threshold of the channel fifo in FULL mode on which
                                                                       -- an Xon will be asserted, bitfields control 4 MSB
                                                                       
@@ -4912,35 +4911,35 @@ package pcie_package is
   constant REG_CR_REVERSE_10B_TOHOST_C             : std_logic_vector(0 downto 0)     := "1";                   -- 1: Receive 10-bit word in ToHost EPROCS, MSB first
                                                                                                                 -- 0: Receive 10-bit word in ToHost EPROCS, LSB first
                                                                                                                 
-  constant REG_CR_LTDB_TTC_DELAY_EGROUP4_EPATH6_C  : std_logic_vector(7 downto 7)     := "0";                   -- Egroup 4, Epath 6
+  constant REG_CR_LTDB_TTC_DELAY_EGROUP4_EPATH6_C  : std_logic_vector(7 downto 7)     := "1";                   -- Egroup 4, Epath 6
                                                                                                                 -- 1: Half a clock delay
                                                                                                                 -- 0: no delay
                                                                                                                 
-  constant REG_CR_LTDB_TTC_DELAY_EGROUP4_EPATH5_C  : std_logic_vector(6 downto 6)     := "0";                   -- Egroup 4, Epath 5
+  constant REG_CR_LTDB_TTC_DELAY_EGROUP4_EPATH5_C  : std_logic_vector(6 downto 6)     := "1";                   -- Egroup 4, Epath 5
                                                                                                                 -- 1: Half a clock delay
                                                                                                                 -- 0: no delay
                                                                                                                 
-  constant REG_CR_LTDB_TTC_DELAY_EGROUP4_EPATH4_C  : std_logic_vector(5 downto 5)     := "0";                   -- Egroup 4, Epath 4
+  constant REG_CR_LTDB_TTC_DELAY_EGROUP4_EPATH4_C  : std_logic_vector(5 downto 5)     := "1";                   -- Egroup 4, Epath 4
                                                                                                                 -- 1: Half a clock delay
                                                                                                                 -- 0: no delay
                                                                                                                 
-  constant REG_CR_LTDB_TTC_DELAY_EGROUP4_EPATH3_C  : std_logic_vector(4 downto 4)     := "0";                   -- Egroup 4, Epath 3
+  constant REG_CR_LTDB_TTC_DELAY_EGROUP4_EPATH3_C  : std_logic_vector(4 downto 4)     := "1";                   -- Egroup 4, Epath 3
                                                                                                                 -- 1: Half a clock delay
                                                                                                                 -- 0: no delay
                                                                                                                 
-  constant REG_CR_LTDB_TTC_DELAY_EGROUP4_EPATH0_C  : std_logic_vector(3 downto 3)     := "0";                   -- Egroup 4, Epath 0
+  constant REG_CR_LTDB_TTC_DELAY_EGROUP4_EPATH0_C  : std_logic_vector(3 downto 3)     := "1";                   -- Egroup 4, Epath 0
                                                                                                                 -- 1: Half a clock delay
                                                                                                                 -- 0: no delay
                                                                                                                 
-  constant REG_CR_LTDB_TTC_DELAY_EGROUP3_C         : std_logic_vector(2 downto 2)     := "0";                   -- Egroup 3, Epath 0
+  constant REG_CR_LTDB_TTC_DELAY_EGROUP3_C         : std_logic_vector(2 downto 2)     := "1";                   -- Egroup 3, Epath 0
                                                                                                                 -- 1: Half a clock delay
                                                                                                                 -- 0: no delay
                                                                                                                 
-  constant REG_CR_LTDB_TTC_DELAY_EGROUP2_C         : std_logic_vector(1 downto 1)     := "0";                   -- Egroup 2, Epath 0
+  constant REG_CR_LTDB_TTC_DELAY_EGROUP2_C         : std_logic_vector(1 downto 1)     := "1";                   -- Egroup 2, Epath 0
                                                                                                                 -- 1: Half a clock delay
                                                                                                                 -- 0: no delay
                                                                                                                 
-  constant REG_CR_LTDB_TTC_DELAY_EGROUP1_C         : std_logic_vector(0 downto 0)     := "0";                   -- Egroup 1, Epath 0
+  constant REG_CR_LTDB_TTC_DELAY_EGROUP1_C         : std_logic_vector(0 downto 0)     := "1";                   -- Egroup 1, Epath 0
                                                                                                                 -- 1: Half a clock delay
                                                                                                                 -- 0: no delay
                                                                                                                 
@@ -5243,19 +5242,15 @@ package pcie_package is
   constant REG_TTC_BUSY_TIMING_CTRL_BUSY_WIDTH_C   : std_logic_vector(31 downto 16)   := x"000f";               -- Minimum number of 40MHz clocks that the busy is asserted
   constant REG_TTC_BUSY_TIMING_CTRL_LIMIT_TIME_C   : std_logic_vector(15 downto 0)    := x"000f";               -- Number of prescaled clocks a given busy must be asserted before it is recognized
   constant REG_TTC_BUSY_CLEAR_C                    : std_logic_vector(64 downto 64)   := "0";                   -- clears the latching busy bits in TTC_BUSY_ACCEPTED
-  constant REG_TTC_EMU_CONTROL_WE_C                : std_logic_vector(64 downto 64)   := "0";                   -- Any write to this register executes a write enable
-  constant REG_TTC_EMU_CONTROL_LAST_LINE_C         : std_logic_vector(35 downto 35)   := "0";                   -- Last line of the sequence
-  constant REG_TTC_EMU_CONTROL_REPEAT_C            : std_logic_vector(34 downto 34)   := "0";                   -- Repeat the sequence
-  constant REG_TTC_EMU_CONTROL_BROADCAST5_C        : std_logic_vector(32 downto 32)   := "0";                   -- Broadcast 5
-  constant REG_TTC_EMU_CONTROL_BROADCAST4_C        : std_logic_vector(31 downto 31)   := "0";                   -- Broadcast 4
-  constant REG_TTC_EMU_CONTROL_BROADCAST3_C        : std_logic_vector(30 downto 30)   := "0";                   -- Broadcast 3
-  constant REG_TTC_EMU_CONTROL_BROADCAST2_C        : std_logic_vector(29 downto 29)   := "0";                   -- Broadcast 2
-  constant REG_TTC_EMU_CONTROL_BROADCAST1_C        : std_logic_vector(28 downto 28)   := "0";                   -- Broadcast 1
-  constant REG_TTC_EMU_CONTROL_BROADCAST0_C        : std_logic_vector(27 downto 27)   := "0";                   -- Broadcast 0
+  constant REG_TTC_EMU_CONTROL_BROADCAST_C         : std_logic_vector(32 downto 27)   := "000000";              -- Broadcast data
   constant REG_TTC_EMU_CONTROL_ECR_C               : std_logic_vector(26 downto 26)   := "0";                   -- Event counter reset
   constant REG_TTC_EMU_CONTROL_BCR_C               : std_logic_vector(25 downto 25)   := "0";                   -- Bunch counter reset
   constant REG_TTC_EMU_CONTROL_L1A_C               : std_logic_vector(24 downto 24)   := "0";                   -- Level 1 Accept
-  constant REG_TTC_EMU_CONTROL_STEP_COUNTER_C      : std_logic_vector(21 downto 0)    := "0000000000000000000000"; -- Step counter value
+  constant REG_TTC_EMU_L1A_PERIOD_C                : std_logic_vector(31 downto 0)    := x"00000000";           -- L1A period in BC. 0 means manual L1A with TTC_EMU_CONTROL.L1A
+  constant REG_TTC_EMU_ECR_PERIOD_C                : std_logic_vector(31 downto 0)    := x"00000000";           -- ECR period in BC. 0 means manual ECR with TTC_EMU_CONTROL.ECR
+  constant REG_TTC_EMU_BCR_PERIOD_C                : std_logic_vector(31 downto 0)    := x"00000dec";           -- BCR period in BC. 0 means manual BCR with TTC_EMU_CONTROL.BCR
+  constant REG_TTC_EMU_LONG_CHANNEL_DATA_C         : std_logic_vector(31 downto 0)    := x"00000000";           -- Long channel data for the TTC emulator
+  constant REG_TTC_EMU_RESET_C                     : std_logic_vector(64 downto 64)   := "0";                   -- Any write to this register resets the TTC Emulator to the default state.
   constant REG_XOFF_FM_CH_FIFO_THRESH_LOW_C        : std_logic_vector(3 downto 0)     := x"b";                  -- Controls the low threshold of the channel fifo in FULL mode on which
                                                                                                                 -- an Xon will be asserted, bitfields control 4 MSB
                                                                                                                 
@@ -6350,22 +6345,15 @@ end record;
     WISHBONE_STATUS                : bitfield_wishbone_status_r_type;
 end record;
 --
--- MROD_EP0monitors
+-- MRODmonitors
 --
 
-  -- MROD_EP0monitors
-  type regmap_mrodEP0_monitor_type is record
+  -- MRODmonitors
+  type regmap_mrod_monitor_type is record
     MROD_EP0_CSMH_EMPTY            : std_logic_vector(23 downto 0);   -- CSM Handler FIFO Empty 23-0
     MROD_EP0_CSMH_FULL             : std_logic_vector(23 downto 0);   -- CSM Handler FIFO Full 23-0
     MROD_EP0_RXLOCKED              : std_logic_vector(23 downto 0);   -- EP0 Receiver Locked monitor 23-0
     MROD_EP0_TXLOCKED              : std_logic_vector(23 downto 0);   -- EP0 Transmitter Locked monitor 23-0
-end record;
---
--- MROD_EP1monitors
---
-
-  -- MROD_EP1monitors
-  type regmap_mrodEP1_monitor_type is record
     MROD_EP1_CSMH_EMPTY            : std_logic_vector(23 downto 0);   -- CSM Handler FIFO Empty 23-0
     MROD_EP1_CSMH_FULL             : std_logic_vector(23 downto 0);   -- CSM Handler FIFO Full 23-0
     MROD_EP1_RXLOCKED              : std_logic_vector(23 downto 0);   -- EP1 Receiver Locked monitor 23-0
@@ -6384,8 +6372,7 @@ end record;
     register_map_hk_monitor  : register_map_hk_monitor_type;
     register_map_generators  : register_map_generators_type;
     wishbone_monitor  : wishbone_monitor_type;
-    regmap_mrodEP0_monitor  : regmap_mrodEP0_monitor_type;
-    regmap_mrodEP1_monitor  : regmap_mrodEP1_monitor_type;
+    regmap_mrod_monitor  : regmap_mrod_monitor_type;
   end record;
   -----------------------------------
   ---- GENERATED code END #4 ##  ----
@@ -6398,4 +6385,4 @@ package body pcie_package is
     begin
         return A(A'low);
     end function to_sl;
-end pcie_package;
\ No newline at end of file
+end pcie_package;
diff --git a/sources/templates/pcie_package.vhd.template b/sources/templates/pcie_package.vhd.template
index 5d2a76452..5983ff4f8 100644
--- a/sources/templates/pcie_package.vhd.template
+++ b/sources/templates/pcie_package.vhd.template
@@ -392,3 +392,4 @@ package body pcie_package is
         return A(A'low);
     end function to_sl;
 end pcie_package;
+
diff --git a/sources/templates/registermap.tex b/sources/templates/registermap.tex
index de659f2ac..3da93f9d3 100644
--- a/sources/templates/registermap.tex
+++ b/sources/templates/registermap.tex
@@ -6,11 +6,11 @@
 % DO NOT EDIT THIS FILE
 % 
 % This file was generated from template 'registermap.tex.template'
-% and register map registers-4.9.yaml, version 4.9
+% and register map registers-4.10.yaml, version 4.10
 % by the script 'wuppercodegen', version: 0.8.0,
 % using the following commandline:
 % 
-% ../../../software/wuppercodegen/wuppercodegen/cli.py registers-4.9.yaml registermap.tex.template registermap.tex
+% ../../../software/wuppercodegen/wuppercodegen/cli.py registers-4.10.yaml registermap.tex.template registermap.tex
 % 
 % Please do NOT edit this file, but edit the source file at 'registermap.tex.template'
 % 
@@ -20,7 +20,7 @@
 % ***************************************************************************
 % ***************************************************************************
 
-\section{FELIX register map, version 4.9}
+\section{FELIX register map, version 4.10}
 
 Starting from the offset address of BAR0, BAR1 and BAR2, the register map for BAR0 expands from 0x0000 to 0x0430 for the PCIe control registers. BAR0 only contains registers associated with DMA. The offset for BAR0 is usually 0xFBB00000.
 
@@ -176,7 +176,7 @@ BAR2 stores registers for the control and monitor of HDL modules inside the FPGA
 \multicolumn{7}{|c|}{Generic Board Information} \\
 \hline
 0x0000 & 0,1 & \multicolumn{2}{l|}{REG\_MAP\_VERSION} &
-15:0 & R & Register Map Version, 4.9 formatted as 0x0409 \\
+15:0 & R & Register Map Version, 4.10 formatted as 0x0410 \\
 \hline
 0x0010 & 0,1 & \multicolumn{2}{l|}{BOARD\_ID\_TIMESTAMP} &
 39:0 & R & Board ID Date / Time in BCD format YYMMDDhhmm \\
@@ -213,7 +213,7 @@ BAR2 stores registers for the control and monitor of HDL modules inside the FPGA
 0x00D0 & 0,1 & \multicolumn{2}{l|}{OPTO\_TRX\_NUM} &
 7:0 & R & Number of optical transceivers in the design \\
 \hline
-0x00E0 & 0,1 & \multicolumn{2}{l|}{TTC\_EMU\_CONST} &
+0x00E0 & 0,1 & \multicolumn{2}{l|}{TTC\_EMU\_CONST\_GENERATE\_TTC\_EMU} &
 1 & R & 1 when TTC emulator is generated \\
 \hline
 \multicolumn{7}{|c|}{INCLUDE\_EGROUPS} \\
@@ -248,7 +248,7 @@ BAR2 stores registers for the control and monitor of HDL modules inside the FPGA
 0 & R & GBT is configured in Wide mode \\
 \hline
 0x0190 & 0,1 & \multicolumn{2}{l|}{FIRMWARE\_MODE} &
-3:0 & R & 0: GBT mode\newline 1: FULL mode\newline 2: LTDB mode (GBT mode with only IC and TTC links)\newline 3: FEI4 mode\newline 4: ITK Pixel\newline 5: ITK Strip\newline 6: FELIG\newline 7: FULL mode emulator\newline             \newline  \\
+3:0 & R & 0: GBT mode\newline 1: FULL mode\newline 2: LTDB mode (GBT mode with only IC and TTC links)\newline 3: FEI4 mode\newline 4: ITK Pixel\newline 5: ITK Strip\newline 6: FELIG\newline 7: FULL mode emulator\newline 8: FELIX\_MROD mode\newline             \newline  \\
 \hline
 0x01A0 & 0,1 & \multicolumn{2}{l|}{GTREFCLK\_SOURCE} &
 1:0 & R & 0: Transceiver reference Clock source from Si5345\newline 1: Transceiver reference Clock source from Si5324\newline 2: Transceiver reference Clock from internal BUFG (GREFCLK)\newline  \\
@@ -536,7 +536,7 @@ BAR2 stores registers for the control and monitor of HDL modules inside the FPGA
 35:0 & R & read emu ram data \\
 \hline
 0x5070 & 0, 1 & \multicolumn{2}{l|}{CR\_FM\_PATH\_ENA} &
-11:0 & W & FULL mode CR enable array, every bit is one path \\
+23:0 & W & FULL mode CR enable array, every bit is one path \\
 \hline
 \multicolumn{7}{|c|}{PATH\_HAS\_STREAM\_ID} \\
 \hline
@@ -807,51 +807,33 @@ any & T & clears the latching busy bits in TTC\_BUSY\_ACCEPTED \\
 \hline
 0x84D0 & 0 & \multicolumn{5}{l|}{TTC\_EMU\_CONTROL} \\
 \cline{3-7}
- & & & WE & any & T & Any write to this register executes a write enable \\
- & & & LAST\_LINE & 35 & W & Last line of the sequence \\
- & & & REPEAT & 34 & W & Repeat the sequence \\
- & & & BROADCAST5 & 32 & W & Broadcast 5 \\
- & & & BROADCAST4 & 31 & W & Broadcast 4 \\
- & & & BROADCAST3 & 30 & W & Broadcast 3 \\
- & & & BROADCAST2 & 29 & W & Broadcast 2 \\
- & & & BROADCAST1 & 28 & W & Broadcast 1 \\
- & & & BROADCAST0 & 27 & W & Broadcast 0 \\
+ & & & BROADCAST & 32:27 & W & Broadcast data \\
  & & & ECR & 26 & W & Event counter reset \\
  & & & BCR & 25 & W & Bunch counter reset \\
  & & & L1A & 24 & W & Level 1 Accept \\
- & & & STEP\_COUNTER & 21:0 & W & Step counter value \\
+\hline
+0x84E0 & 0 & \multicolumn{2}{l|}{TTC\_EMU\_L1A\_PERIOD} &
+31:0 & W & L1A period in BC. 0 means manual L1A with TTC\_EMU\_CONTROL.L1A \\
+\hline
+0x84F0 & 0 & \multicolumn{2}{l|}{TTC\_EMU\_ECR\_PERIOD} &
+31:0 & W & ECR period in BC. 0 means manual ECR with TTC\_EMU\_CONTROL.ECR \\
+\hline
+0x8500 & 0 & \multicolumn{2}{l|}{TTC\_EMU\_BCR\_PERIOD} &
+31:0 & W & BCR period in BC. 0 means manual BCR with TTC\_EMU\_CONTROL.BCR \\
+\hline
+0x8510 & 0 & \multicolumn{2}{l|}{TTC\_EMU\_LONG\_CHANNEL\_DATA} &
+31:0 & W & Long channel data for the TTC emulator \\
+\hline
+0x8520 & 0 & \multicolumn{2}{l|}{TTC\_EMU\_RESET} &
+any & T & Any write to this register resets the TTC Emulator to the default state. \\
 \hline
 \multicolumn{7}{|c|}{XOFF\_BUSY Controls And Monitors} \\
 \hline
-0x8800 & 0, 1 & \multicolumn{5}{l|}{XOFF\_FM\_CH\_FIFO\_THRESH\_LOW} \\
-\cline{3-7}
- & & & CH11 & 47:44 & W & Controls the low theshold of the channel fifo in FULL mode on which an Xon will be asserted, bitfields control 4 MSB \\
- & & & CH10 & 43:40 & W & Controls the low theshold of the channel fifo in FULL mode on which an Xon will be asserted, bitfields control 4 MSB \\
- & & & CH09 & 39:36 & W & Controls the low theshold of the channel fifo in FULL mode on which an Xon will be asserted, bitfields control 4 MSB \\
- & & & CH08 & 35:32 & W & Controls the low theshold of the channel fifo in FULL mode on which an Xon will be asserted, bitfields control 4 MSB \\
- & & & CH07 & 31:28 & W & Controls the low theshold of the channel fifo in FULL mode on which an Xon will be asserted, bitfields control 4 MSB \\
- & & & CH06 & 27:24 & W & Controls the low theshold of the channel fifo in FULL mode on which an Xon will be asserted, bitfields control 4 MSB \\
- & & & CH05 & 23:20 & W & Controls the low theshold of the channel fifo in FULL mode on which an Xon will be asserted, bitfields control 4 MSB \\
- & & & CH04 & 19:16 & W & Controls the low theshold of the channel fifo in FULL mode on which an Xon will be asserted, bitfields control 4 MSB \\
- & & & CH03 & 15:12 & W & Controls the low theshold of the channel fifo in FULL mode on which an Xon will be asserted, bitfields control 4 MSB \\
- & & & CH02 & 11:8 & W & Controls the low theshold of the channel fifo in FULL mode on which an Xon will be asserted, bitfields control 4 MSB \\
- & & & CH01 & 7:4 & W & Controls the low theshold of the channel fifo in FULL mode on which an Xon will be asserted, bitfields control 4 MSB \\
- & & & CH00 & 3:0 & W & Controls the low theshold of the channel fifo in FULL mode on which an Xon will be asserted, bitfields control 4 MSB \\
-\hline
-0x8810 & 0, 1 & \multicolumn{5}{l|}{XOFF\_FM\_CH\_FIFO\_THRESH\_HIGH} \\
-\cline{3-7}
- & & & CH11 & 47:44 & W & Controls the high theshold of the channel fifo in FULL mode on which an Xoff will be asserted, bitfields control 4 MSB \\
- & & & CH10 & 43:40 & W & Controls the high theshold of the channel fifo in FULL mode on which an Xoff will be asserted, bitfields control 4 MSB \\
- & & & CH09 & 39:36 & W & Controls the high theshold of the channel fifo in FULL mode on which an Xoff will be asserted, bitfields control 4 MSB \\
- & & & CH08 & 35:32 & W & Controls the high theshold of the channel fifo in FULL mode on which an Xoff will be asserted, bitfields control 4 MSB \\
- & & & CH07 & 31:28 & W & Controls the high theshold of the channel fifo in FULL mode on which an Xoff will be asserted, bitfields control 4 MSB \\
- & & & CH06 & 27:24 & W & Controls the high theshold of the channel fifo in FULL mode on which an Xoff will be asserted, bitfields control 4 MSB \\
- & & & CH05 & 23:20 & W & Controls the high theshold of the channel fifo in FULL mode on which an Xoff will be asserted, bitfields control 4 MSB \\
- & & & CH04 & 19:16 & W & Controls the high theshold of the channel fifo in FULL mode on which an Xoff will be asserted, bitfields control 4 MSB \\
- & & & CH03 & 15:12 & W & Controls the high theshold of the channel fifo in FULL mode on which an Xoff will be asserted, bitfields control 4 MSB \\
- & & & CH02 & 11:8 & W & Controls the high theshold of the channel fifo in FULL mode on which an Xoff will be asserted, bitfields control 4 MSB \\
- & & & CH01 & 7:4 & W & Controls the high theshold of the channel fifo in FULL mode on which an Xoff will be asserted, bitfields control 4 MSB \\
- & & & CH00 & 3:0 & W & Controls the high theshold of the channel fifo in FULL mode on which an Xoff will be asserted, bitfields control 4 MSB \\
+0x8800 & 0, 1 & \multicolumn{2}{l|}{XOFF\_FM\_CH\_FIFO\_THRESH\_LOW} &
+3:0 & W & Controls the low threshold of the channel fifo in FULL mode on which\newline an Xon will be asserted, bitfields control 4 MSB\newline  \\
+\hline
+0x8810 & 0, 1 & \multicolumn{2}{l|}{XOFF\_FM\_CH\_FIFO\_THRESH\_HIGH} &
+3:0 & W & Controls the high threshold of the channel fifo in FULL mode on which\newline an Xoff will be asserted, bitfields control 4 MSB\newline  \\
 \hline
 0x8820 & 0, 1 & \multicolumn{2}{l|}{XOFF\_FM\_LOW\_THRESH\_CROSSED} &
 23:0 & R & FIFO filled beyond the low threshold, 1 bit per channel \\
@@ -1305,6 +1287,93 @@ any & T & clears the latching busy bits in TTC\_BUSY\_ACCEPTED \\
  & & & ACKNOWLEDGE & 1 & R & Indicates the termination of a normal bus cycle \\
  & & & ERROR & 0 & R & Address not mapped by the crossbar \\
 \hline
+\multicolumn{7}{|c|}{MRO Dregisters} \\
+\hline
+0xD000 & 0 & \multicolumn{5}{l|}{MROD\_CTRL} \\
+\cline{3-7}
+ & & & OPTIONS & 15:4 & W & Extra options for MROD \\
+ & & & GOLTESTMODE & 3:0 & W & GOL Test Mode (emulate CSM):\newline   0: Run Data Emulator when 1;        0: stop, load emulator fifo\newline   1: Enable Circulate  when 1;        0: send fifo data only once\newline   2: Enable Triggered Mode when 1;    0: run continueously (no TTC)\newline   3: Enable pattern generator when 1; 0: off\newline  \\
+\hline
+0xD010 & 0 & \multicolumn{2}{l|}{MROD\_EP0\_CSMENABLE} &
+23:0 & W & EP0 CSM Data Enable channel 23-0 \\
+\hline
+0xD020 & 0 & \multicolumn{2}{l|}{MROD\_EP0\_EMPTYSUPPR} &
+23:0 & W & EP0 Set Empty Suppression channel 23-0 \\
+\hline
+0xD030 & 0 & \multicolumn{2}{l|}{MROD\_EP0\_HPTDCMODE} &
+23:0 & W & EP0 Set HPTDC Mode channel 23-0 \\
+\hline
+0xD040 & 0 & \multicolumn{2}{l|}{MROD\_EP0\_CLRFIFOS} &
+23:0 & W & EP0 Clear FIFOs channel 23-0 \\
+\hline
+0xD050 & 0 & \multicolumn{2}{l|}{MROD\_EP0\_EMULOADENA} &
+23:0 & W & EP0 Emulator Load Enable channel 23-0 \\
+\hline
+0xD060 & 0 & \multicolumn{2}{l|}{MROD\_EP0\_TRXLOOPBACK} &
+23:0 & W & EP0 Transceiver Loopback Enable channel 23-0 \\
+\hline
+0xD070 & 0 & \multicolumn{2}{l|}{MROD\_EP0\_TXCVRRESET} &
+23:0 & W & EP0 Transceiver Reset all channel 23-0 \\
+\hline
+0xD080 & 0 & \multicolumn{2}{l|}{MROD\_EP0\_RXRESET} &
+23:0 & W & EP0 Receiver Reset channel 23-0 \\
+\hline
+0xD090 & 0 & \multicolumn{2}{l|}{MROD\_EP0\_TXRESET} &
+23:0 & W & EP0 Transmitter Reset channel 23-0 \\
+\hline
+0xD0A0 & 0 & \multicolumn{2}{l|}{MROD\_EP1\_CSMENABLE} &
+23:0 & W & EP1 CSM Data Enable channel 23-0 \\
+\hline
+0xD0B0 & 0 & \multicolumn{2}{l|}{MROD\_EP1\_EMPTYSUPPR} &
+23:0 & W & EP1 Set Empty Suppression channel 23-0 \\
+\hline
+0xD0C0 & 0 & \multicolumn{2}{l|}{MROD\_EP1\_HPTDCMODE} &
+23:0 & W & EP1 Set HPTDC Mode channel 23-0 \\
+\hline
+0xD0D0 & 0 & \multicolumn{2}{l|}{MROD\_EP1\_CLRFIFOS} &
+23:0 & W & EP1 Clear FIFOs channel 23-0 \\
+\hline
+0xD0E0 & 0 & \multicolumn{2}{l|}{MROD\_EP1\_EMULOADENA} &
+23:0 & W & EP1 Emulator Load Enable channel 23-0 \\
+\hline
+0xD0F0 & 0 & \multicolumn{2}{l|}{MROD\_EP1\_TRXLOOPBACK} &
+23:0 & W & EP1 Transceiver Loopback Enable channel 23-0 \\
+\hline
+0xD100 & 0 & \multicolumn{2}{l|}{MROD\_EP1\_TXCVRRESET} &
+23:0 & W & EP1 Transceiver Reset all channel 23-0 \\
+\hline
+0xD110 & 0 & \multicolumn{2}{l|}{MROD\_EP1\_RXRESET} &
+23:0 & W & EP1 Receiver Reset channel 23-0 \\
+\hline
+0xD120 & 0 & \multicolumn{2}{l|}{MROD\_EP1\_TXRESET} &
+23:0 & W & EP1 Transmitter Reset channel 23-0 \\
+\hline
+\multicolumn{7}{|c|}{MRO Dmonitors} \\
+\hline
+0xD800 & 0 & \multicolumn{2}{l|}{MROD\_EP0\_CSMH\_EMPTY} &
+23:0 & R & CSM Handler FIFO Empty 23-0 \\
+\hline
+0xD810 & 0 & \multicolumn{2}{l|}{MROD\_EP0\_CSMH\_FULL} &
+23:0 & R & CSM Handler FIFO Full 23-0 \\
+\hline
+0xD820 & 0 & \multicolumn{2}{l|}{MROD\_EP0\_RXLOCKED} &
+23:0 & R & EP0 Receiver Locked monitor 23-0 \\
+\hline
+0xD830 & 0 & \multicolumn{2}{l|}{MROD\_EP0\_TXLOCKED} &
+23:0 & R & EP0 Transmitter Locked monitor 23-0 \\
+\hline
+0xD840 & 0 & \multicolumn{2}{l|}{MROD\_EP1\_CSMH\_EMPTY} &
+23:0 & R & CSM Handler FIFO Empty 23-0 \\
+\hline
+0xD850 & 0 & \multicolumn{2}{l|}{MROD\_EP1\_CSMH\_FULL} &
+23:0 & R & CSM Handler FIFO Full 23-0 \\
+\hline
+0xD860 & 0 & \multicolumn{2}{l|}{MROD\_EP1\_RXLOCKED} &
+23:0 & R & EP1 Receiver Locked monitor 23-0 \\
+\hline
+0xD870 & 0 & \multicolumn{2}{l|}{MROD\_EP1\_TXLOCKED} &
+23:0 & R & EP1 Transmitter Locked monitor 23-0 \\
+\hline
 \caption{FELIX register map BAR2}\label{tab:dma_register_map_bar2} \\
 \end{longtabu}
 %\end{landscape}
diff --git a/sources/templates/registers-4.10.yaml b/sources/templates/registers-4.10.yaml
index d9cb90f12..81db7581f 100644
--- a/sources/templates/registers-4.10.yaml
+++ b/sources/templates/registers-4.10.yaml
@@ -85,14 +85,9 @@ Monitorsections:
       bitfield:
         - range: 0..0
           type: R
-    - name: MROD_EP0monitors
-      record_name: regmap_mrodEP0_monitor
-      bitfield: 
-        - range: 0..0
-          type: R
-    - name: MROD_EP1monitors
-      record_name: regmap_mrodEP1_monitor
-      bitfield: 
+    - name: MRODmonitors
+      record_name: regmap_mrod_monitor
+      bitfield:
         - range: 0..0
           type: R
 
@@ -298,11 +293,9 @@ Bar2:
     - ref: Wishbone
       offset: 0xC000
     - ref: MRODregisters
-      offset: 0xD000 
-    - ref: MROD_EP0monitors
-      offset: 0xE000 
-    - ref: MROD_EP1monitors
-      offset: 0xE700
+      offset: 0xD000
+    - ref: MRODmonitors
+      offset: 0xD800
 
 GenericBoardInformation:
   group: GEN
@@ -631,56 +624,56 @@ CentralRouterControlsAndMonitors:
       bitfield: 
         - range: 7
           name: EGROUP4_EPATH6
-          default: 0
+          default: 1
           desc: |
                 Egroup 4, Epath 6
                 1: Half a clock delay
                 0: no delay
         - range: 6
           name: EGROUP4_EPATH5
-          default: 0
+          default: 1
           desc: |
                 Egroup 4, Epath 5
                 1: Half a clock delay
                 0: no delay
         - range: 5
           name: EGROUP4_EPATH4
-          default: 0
+          default: 1
           desc: |
                 Egroup 4, Epath 4
                 1: Half a clock delay
                 0: no delay
         - range: 4
           name: EGROUP4_EPATH3
-          default: 0
+          default: 1
           desc: |
                 Egroup 4, Epath 3
                 1: Half a clock delay
                 0: no delay
         - range: 3
           name: EGROUP4_EPATH0
-          default: 0
+          default: 1
           desc: |
                 Egroup 4, Epath 0
                 1: Half a clock delay
                 0: no delay
         - range: 2
           name: EGROUP3
-          default: 0
+          default: 1
           desc: |
                 Egroup 3, Epath 0
                 1: Half a clock delay
                 0: no delay
         - range: 1
           name: EGROUP2
-          default: 0
+          default: 1
           desc: |
                 Egroup 2, Epath 0
                 1: Half a clock delay
                 0: no delay
         - range: 0
           name: EGROUP1
-          default: 0
+          default: 1
           desc: |
                 Egroup 1, Epath 0
                 1: Half a clock delay
@@ -1551,35 +1544,9 @@ TTCBUSYControlsAndMonitors:
     - name: TTC_EMU_CONTROL
       type: W
       bitfield:
-        - range: any
-          name: WE
-          type: T
-          desc: Any write to this register executes a write enable
-          value: 1
-        - range: 35
-          name: LAST_LINE
-          desc: Last line of the sequence
-        - range: 34
-          name: REPEAT
-          desc: Repeat the sequence
-        - range: 32
-          name: BROADCAST5
-          desc: Broadcast 5
-        - range: 31
-          name: BROADCAST4
-          desc: Broadcast 4
-        - range: 30
-          name: BROADCAST3
-          desc: Broadcast 3
-        - range: 29
-          name: BROADCAST2
-          desc: Broadcast 2
-        - range: 28
-          name: BROADCAST1
-          desc: Broadcast 1
-        - range: 27
-          name: BROADCAST0
-          desc: Broadcast 0
+        - range: 32..27
+          name: BROADCAST
+          desc: Broadcast data
         - range: 26
           name: ECR
           desc: Event counter reset
@@ -1589,9 +1556,41 @@ TTCBUSYControlsAndMonitors:
         - range: 24
           name: L1A
           desc: Level 1 Accept
-        - range: 21..0
-          name: STEP_COUNTER
-          desc: Step counter value
+          
+    - name: TTC_EMU_L1A_PERIOD
+      type: W
+      desc: L1A period in BC. 0 means manual L1A with TTC_EMU_CONTROL.L1A
+      bitfield: 
+        - range: 31..0
+    
+    - name: TTC_EMU_ECR_PERIOD
+      type: W
+      desc: ECR period in BC. 0 means manual ECR with TTC_EMU_CONTROL.ECR
+      bitfield: 
+        - range: 31..0
+
+    - name: TTC_EMU_BCR_PERIOD
+      type: W
+      desc: BCR period in BC. 0 means manual BCR with TTC_EMU_CONTROL.BCR
+      bitfield: 
+        - range: 31..0
+          default: 3564
+        
+    - name: TTC_EMU_LONG_CHANNEL_DATA
+      type: W
+      desc: Long channel data for the TTC emulator
+      bitfield: 
+        - range: 31..0   
+        
+    - name: TTC_EMU_RESET
+      desc: Any write to this register resets the TTC Emulator to the default state.
+      type: W
+      bitfield: 
+        - range: any
+          value: 1
+          type: T
+
+
         
 TTC_DEC_CTRLMON:
   group: TDCM 
@@ -2918,7 +2917,7 @@ MRODregisters:
           desc: EP1 Transmitter Reset channel 23-0
           default: 0
 
-MROD_EP0monitors:
+MRODmonitors:
   group: MROD
   desc: Specific registers for MROD
   endpoints: 0
@@ -2944,13 +2943,6 @@ MROD_EP0monitors:
       bitfield:
         - range: 23..0
           desc: EP0 Transmitter Locked monitor 23-0
-
-MROD_EP1monitors:
-  group: MROD
-  desc: Specific registers for MROD
-  endpoints: 0
-  generate: MROD_GENERATE_REGS = true
-  entries:
     - name: MROD_EP1_CSMH_EMPTY
       type: R
       bitfield:
@@ -2971,3 +2963,5 @@ MROD_EP1monitors:
       bitfield:
         - range: 23..0
           desc: EP1 Transmitter Locked monitor 23-0
+
+#
diff --git a/sources/templates/registers-5.0.yaml b/sources/templates/registers-5.0.yaml
old mode 100755
new mode 100644
diff --git a/sources/templates/registers.pdf b/sources/templates/registers.pdf
index 14a503da52ce18282e754c586092f61f92002284..c8a42605b797e41e5cb7398c55c60561f2ca03b1 100644
GIT binary patch
literal 205969
zcma(2Q*<v))b<O<w#^mWwr$(CZR;N=E4FPrS+Tid+vZ;P^S%2$c@DmPG^=ZLkM2=D
zud3?nH=9gRRGf~9o)v~{>@uMchMkC!$idhehL@K?*390*)sl$gUym|_xRtG|nKKcC
zxUG?^nW&kGgQ*!mKa7j3vzd_{jA!<h-cItbHamZVlZ3Ug^_1F?9f5oD5ohD{q(3X8
z&Z#trt@7MFZZzYa$usXapa3xFN7vbDNB0s*=eGe;g5RG|`=Ek=t&cXHmQ$UuADf?_
zv!Us(KAAQK{jKu9m>dCJ^GAdZe}DYm9Y4PLpbmAeFfM{SdVW4j`O6*L5M&mP+0C0w
zL4O_{AI63#JVqI`{tj_?%r5Px#*q{BR`$g)0|#Wax0^2RvDmgUjb0)e?C>>_(^6**
zvDmV6`+Nwz^aTyD!Ih*{H;&Hx=_^YjAZ-dn+<k-1YISkqA`qr3b>GiD^=(&-betA+
z{kwQEhMC+O4=K#0Il>?~key(Z_fsOsNZ9rGmO#24Tqr=%@Gx7M(>JIuhl9F!2-Z`%
z!?t=gqR)6|v?L=`5?3O^^R@eNUk&Jt;YL;)?fJzGAL7{Q6&-a~#9z~>E87y{^#Rc=
zo@-1q<ze7!+QDHeY2gZ2voD|vSS{Fk(N30X5JX_p6aUKiw9jDMx4Ub2W9J;o`X#r{
zDOQFa-@E5fH(e7Hug0*kHF%ZXQgI7X4Oj|1ZWnzmJZ6;C+fsu%P#(A!9=J;sy<a!3
zr58I`YG$KUKiOnKtj8L9hO-E<PCA`x)X`H<d>NxzF2P%^Yk1peA_CGjp6ShSK-96K
zCJs9mk^2Qlc9>vW=&W569dT#7U?2?6ukKwWlDu7Z$O2ww+Q@Hw*MHvp1Tnsaexw_%
zS`%bB&YjI)g9kDxD5;0R96=X~xcKh}qQmviTS7!aOaa-+#bGiNy>$k5q}7pd7>-a7
zBb3ykDYYtYN>hV&IXf{Bj{(pUoVXdjCMA7A6uSz;%sU(^O*PP>d$}C!FNNZdl{W+H
zh;0i!S^k4Q&$~m0iJL=aEEy%ETLdia<<0u;+Mc0Hz%&rdrYJwJ1<F<1gOY5D#{zd7
zg146%g+&pnCV$;Qxh>)irj?-##ApbRB#>Qz#yjZ8bUXKfP(4~1b9<WaXh>&#uzck7
zF)SBu=t<C_!_AEC!J20H!iz=C4VL<EVN}ps;3(3L8O#!!DwNlLYYKiI{7~w@ocT?(
zOJ8pIV?jdvs_dSy39Z;XhPxVSAhLB@8K5!}m{=GYGSz$;Vh%OpL9X36CHNHgvF7)1
zgGny*tI$q?0b@JsoaoxGR#)%^Y!_sEX<)S&pUqs!IJ-Z4ecg=M{JahheFHJ;ZafI*
zsk$2sHaF6C&)39hk?v#ue`~f;^OSXB6jwlg^c)_t;9zxUl7I~3n&!()ZL+};(Xz5;
z2RP|Js4jx5;%M^zVsU8G|1?qsci)FYdJYU{{ar+gZ=LFxvNxMqD`Ur=CX|~pjocxf
z){OMFct-%kEwXnhhnPP3OuD=3s`P{1zS7hiOK9a1<W~DC*`>$yU6x(!Xgjst05{A7
zuw{}X>i5<syHc!kWk~c`M5cVcq_eTH&T(mgB^WJ1m$&W()2hPi&gzh7-tWmZjH3nd
zZ<469>zA+<heClj18>rD5&uHr&upE*c-K+nG#d11uWORv=zH#))4R8#fqTcxp1b0P
z+b;rgPbkJ%Mx9|5LQ~(ZQP=G^&z|Jq8Axtx0(wq*YGmFH#>v0*DmQ3w56}MEyanL)
z$w0A`XUaAof{@Kd1EVM|s+d6H1TJMP>;qUGIpe!Ca2T2R6)1%qm-jH7o8Ur%6dj}h
z{E#25csvZdBq0Y}?#_}zez+tMOKGNk8rih3*@g(Sd6>0UijDSo&DvSHXcFnZKlrL}
ze%~9SO`?;#&uLceIBhyJ((Qz7WhwopOyq&bhI#>d*hj+lQ7vj*77&Qv@TDVrN|U_2
zrxRtAdtVd?wf&`_w%8N?K+ejyZHUW1UYj>gJ-s@QSNMt;Q<z!F3Dc-T5H?oq(0-qj
z+wY&X3e$t)Mp3zPHEpsA(>V1OCr3-$b>W46u5ddx*(NI+T#YeM<m__;!gPY9;qltX
z^Wc!8k|<kW__i=4*MEA4WP#a@=+l>wv{RRL6F_yt<x&pB|LT+uaG5cxOFH&ds{;=V
zgEth)nz5k_@ME~UvmLUvu<MCrhd?vAwLK&G#e8pw#9t6Izd#2Y1WoIjqF&P325%99
zua%GweJa^-8bs=*v_Vwo@YEoECdpr@IGmyCUMGQM6hiJe;IWJVjC|sJ@^CD)rB6uS
z8yin*#2u?+5#lQ%`k$=48S&~Dn5I`8dy%F$%_Gp^OJNZUw!*N@0%g=Jb7zc6ghop+
zimIm@&@)Ji>3ZLvfFKIOVPr?oqeo8$r11@dmqbm}BDBdFkHGv{uZ-XG!{6ZU-VSnS
zv-Z1;NFn8KJ%c(&N0Z>=+>Uf4zllx}j@rT>!Yuh>6JjulThnOCo99KUgLwarRK}Ud
z;w((`6&V{tV2<iUwAlafA~fMUJ7k7$4qZZ+hTb8->Uo2rfG+??X&)0SSsnr8H@qgs
z<AqCRPEmRJZ!mTJa)7hhmKcMea${OT<U~(&xJ3P6c`|~gu?*$s9@g?fWiwtdZZi!0
zO0W*zr3cQ##7rEvO+tyz54TC$i{!K}AjeA;Pv+yAWS~LGsT$LXN9>}D8#&CNBhXqH
zD`45mh$Lw)QQ6Ha!EIVo$g)_FlvPb*rqdPzdFT>wJqxSJIM-5qCZ~+Or~1f>Uo^X`
zI90=CgVzn#lZ5n<h@P+3NIv|sdEHC?rEJObVdAVIXM6~@z`^Sq!_;Kx{AK)fI|Trg
zrDe*bG{xDF-`CmWr>~0FDOQqhk#vREY3EVek4)Q8wL<ZLI6himbMS#0r0bZ{|ADvs
zR~AANMg6Wu9Xs<7KiM9Ba4dk|WF#jUkB~(P&<zW{lB{U*h_qi!Q6PRwJj}HdN{)?i
z9-h)&nc1}eO~2;_S{_l=IzL{ac)>85nLp~juv?Jjwq`S!336u_h_R(Z4ha6+(h?E$
zI3Bg5M#nplQpt1k28NY-#lFq~f_~=}c#x6@Dgj2g%MQg4aTuG2Q~%&Idn;{+H0V}J
z2S<S6a^72O(FF`fkoDx3I|z@Zm3wa}(I1=0qcZd+e8`{FmeDJ~7?CuXR3}w06oKTu
zcm&<bPcuLOi15h@lZAf9ID|#9g{a_Jw34J7zyOe=*yi27CX=nz81WBmi@16PZ#5_d
z^ToxXT=sx}ssN|-$lPUE`z=GfjaDi(!Yku^O-r^`h~54XS8Uu>y3iZmqi<n1M~;kG
zn&=h%MWDPiSbQwZRE<Y@u}19A{E4QY*l)CFKvD^_qIeQ3hHIUPr7vP~Re<=T#CDbM
z$NQ-f^{~yEYR%Qblyn2EN#76eYzjMe*Fsa@CQAbVq0JjWJzR!kZkZCXH}VkNj_x!O
zw9X^|4A$zJxvJM!P<vQrhl$xlsL|8Zz#nap#WvPY6<Kykpu6#KyUjs~_j7Nag+`c>
ze>Ec9pySW8Q~FLNJ%5l1QGT$<4=jl=Mv{9zM8(}<vOijY;@8sZKSH<nl(+flGePBP
zOiFsrpRzp@I=VYdEHfS1DDML;h#M;%f6IrRG_EU?+v##M(hsSvWmAOO7PR#|c?rQb
zAjZD@K>~1h6WgWOIQIu{rejLphkHyaNlx~gMS4au+_y8=tFb$tIbeNiJV{-Iz0)Ao
z(IE7JC5Od-PgI8u9WeW%+3V4Du*M5Sbqd1Q^NGQ}jgmD?@*-H~)LbA@2&2C$ZHn>#
z**!AVvy_bbFGNb+{ohbq0qdoxCqEtMJJ_aO-8oYP^_Q4hQwi#lJT;o<8p%%E_MDD|
zN3^SW0aT==$);w0%1m++;YY9L7Zu@-`uw?2C5;MLBbC{6{fLpnXw88ltKsb~D`bf9
zd|(55%xEMpw_MzsNn(9r*S&%MoRVjJop_7IA|wmu4A*SV;lzd1_cJz(c$eCUM5;|j
zcHgV6Yf_({G~@5$$QqGomj4sD<#VKv1B@t;MAUqHgy2#ly%H#WS08R-DS6p!v8%$+
z*J$D0dLPfA<mKebSR&;l9Ih-Apjj0irKbysK-|!DKCwZa#pU4F-kSLA{@CU`oQ8_g
zZWTy(1PV#q+Bx;ylY|{Kd~T&7moK?{iv`Dxn`&V?+`MG~^vr?_<;+9{B9FbCvJ<YD
zX2$57$tlYYkhJfTo1CC(PPr7sch~l7%wJ4#dOUrN(M)l@F)>uQBQ@Pd@clyVT(0R@
zblxM$fP*SJ%x@91Z+Xv~yoW0atu?kcu%}cEfVOCja&%Pjch&igw@Dctap{fV+V7Dc
z2#h9EV2(Tm(PDodwpeJVd??yr3|3uvJ3=<Gh@S><t}I#Ql+$}xx_&2FsSL2MH^f-$
zz|jry?O@uaSagl9&<AVi<Ew9$*N3KRSpT;^$qdR|hreL}g0CJ_Q^~5F4Yv1e1RL~L
zwXSo|fQH1|-ld+stAh}t&Wc6On`GKaF2CW~vKd5C5wO#u3>JW?Hn|Oy3fz$=d($z?
zZH&gP^{)4C)XO0cx0&HHsh4m843vs2qD#yP<>=*Hg;DRUyad*IsW3}R%mxM9L9Sjw
z-ho9hPrRJeN-mCfo)z3#@5)T0PbGzwtomhopY439J~6xThy*2szp{LjDx}g;AY_$I
z8AYOT(WR7}nTyNAq~y#gfa{vG)@g`tJ-aN$H3ZAezF{H*9}g)8L@IjBv@7^*_E<cF
zmDz@5=^iquGO;t-k}{b{T4WQ}nlkYhKXPjWW2vBT=1P~i;H6}H&WSu7evNkl@_Q2T
z2!*qs#Fc%aoE8+e%1Oe9=t=fv#uB{2!MyDloaWGxtTX#SNC5nNcU_fXG#N^6CwzJR
zY8rxAoHK7!j-t1~_A$FAg7R;fz&w2~a5oX%Ak4>9pMl+%H`(K^3dO}UPkpoK#1cl0
zI-w_yjO(03fLxse;*9xpiq#qzEMOHIcdqw?!{0=Rv+S@PCoBKPQmU4x@LIeKS;>AH
zdHUDt%I}fL!_F4nMBN+1-+yX(I&<|DiZ0gKB*vK2RWJqL2jP$7!Y(pfgW!APco*&I
zvL)HiLVup?3)L}%JSC-UW=LRIsEmxXLXF79j54I;MvZbB#GO~bkpOZsFqa@uEmH<S
z$Oi&n@PL9r0{t?zwF8>G!T;t^7O^d_DKvM;<GA`s@#1<OKI4Qg=YoLabiwAYF)~1a
zE{IpL3{gle^VE1{CH!khABSRYQzJ8Lu_)NTFkI~Uf5WP3IBl<M=4q7)OS)h_r1w^%
z9!Npjn+y@i@}e#X_5@mkt#l?@2iWBUeGnrSFYok#<v`@X8jQG!C_!$ic(LKHn$w70
zFlKW~EW4x8Y41me?naqe=x_H5wj%I=h^6TH;64%SkyDyiSfAv>D@12l(<uwNN*c=H
z6PIl^BnT6+e{EJnTP4fbc9Lauw2jPHg(H$bbL+oLgHz<G-t5BeH=~pFB<o$p#)*fe
zI*kvJ_VIe60qyu@3S3jVU_l5dl@_{S1!-q7L?DYrdSKWSs0e%1&o22IdSFJo?OE*;
z6mX6@{6=tpdWO~LjfPVEg)xP~M?(_;=$iF|zj}p*;ZI-_Ntp)zD5M6eX88Vv%nlPy
z?svYODwDnysRu?5gt5Mk%@4k!L(K>b!SPueaP?0_c4oar$}MQ37UhM?m4M{yH(!u+
z^*K?!g;f@&I9J;AYXm!5t9)mE!DyY@GR{}%Mq;Eb{ZF>y#c}C78V#c-7rk{L7Y(OP
zeRam{Ux!hXY@q*b=wu;*bN~#TK!$*FGXiBEU?c#!JkYKeO>f--&hf>MPb7WakB6=5
zciyP@azM}(Q<PP3IQS7D#Kn`A5fK}taq%zfdM6@ltPN+Bk*=#Wu(G;8O1L)XmYf%8
zcHg^-w}Bsuxg_*V4L|fyF(<rwUZ|0BN)dC#_%C#p*ti?#&)ih));ee=nb;!~QZU$M
zengYhu`Zz{W|eQ?3o1TF&rhTx=D~2!>^KCrCtFu-IX?U&&b2+^wOJl)xCx#UBgOr3
zBU}8@=FsFgIjYmgH9p9#h7<=0%Kgk^Ox1Pdr`#yguZ1{hA+*3gnh@?!cN&M|mfhJ=
zs!<)d2;YIZ-?x}m3BwtoRD>sdd=#ELB`djtxBikfyg|EP0Bx^eukEZ9r!Rt#m$_6i
z#gLaJ=2;)$n_$<@kHFf-7LAM-U=Y*?;O|gGEJk&GqiskitTNwzET1FvW552~<xeQz
z{^7IPx!nyBr~ssvy1EM}#DXK5P!VZQC^`dTQ{_z&%QqO}<b48Rg9jL5=k>RXUI~<j
z{RlqrDUlc+!HR9>6NHq%;A4~O-Tmx>ggoK3JbToouB-T<5gyyqpb#Nl(Og)ESe&sc
z@kX)JdLv6~TTFO$iUl|?5Otg~90<9vCVj>TLaNyf)uzEGWuvy)IbG0IupmiWdho$F
z+Pw*u6K**Etvb0j3=FRH9#jJ!7Gzx!XeT^U$m##W$L0mf@OA!O!V6v=d{mbtavyD6
z<5Ou7@Rsn3C_D?Uw4p<+^J7_G6e}8~q(Di(yc2&|mHj)j>n7$@0qL=eYgvZC=y9*1
z_2rCjN4vnyUx|axX@LTViB~R{Aep681p@(YFLbID0j|3qeKC@-1-IWEJe``LWs@Qw
z+P|W{&}vK**`Zuh&_VqD1&Qg2{8k?p;y#Qp^((mjWF`l<IsYss$k1!uA+^`JWA|}q
ziix`1Zz%zy3!UZuDNJcoMU(fW?Ux`mt+jR5s(#)GPHBVr^V2$T6jjN;l?^l|n9$a}
zX3w{P-6)QMmgc(!Da3*HBXcT>`qOh~bzVb-zT&nitB!*D6V!QDwv<>UECBW%9Nixx
zY9ZfCvSyHhNKW;2?@h7KK$OhiI<xNX#;CJ<RA5diF@OMH?G3!Mto)v3u4XC#{8aq}
z^PePNcw}QcqV0`UPZ(rsUVZwONF))p<a@#z+(CUI8H-n@4tRjbTS!26fElT*jg(z@
zfO0^-_fp2nUxYL;)VR+5{w<+5sJAoK4~T3}X;y4XxeSik8YdQv|D-D}a|#}d3eAU`
zrCmB!8tOl*><`A)%X0B4Vq+6P>`AzA&e_Lnain1xk#Y;B?jM#W<)mQv?=TbX1?>5E
z-JCVh(f+|L|GvRYQ}#>E5zMxWC#u_b0rMVusp^U!(3q=!PTs(-z7Yb%8xX=l3L=(?
zOC2Md`wK9S`UB}L@PFqD?GaaR{#Ygi1gpM=JZIlR>RDeAhYDaR(;yOm4*XM7B+X}#
z!la4nTT298c4PH~0^Oq8x1A*_+jl`*OIG_J6`bRV+ADTsYI6G^85~j>-XNCO5lO8h
z)J4nNkNy^+v}Tj8qxK$!A6Lm;!?Kb;CJLTG&LJYP{N1w9H_|>_-#MNEkAS@Cj`BLB
z7I(QriQm7sausuz*W0?oM%nJa+|ZjbpjPq)9RCqmh42C1u9AHt;zHrLto37U7O)-W
z_iXo;=Wb?@YyA0Y{V5PM=zr1}Y36!Syj&$D8N;Bj{O=Qq?$FFGjp+PcyCc?MHH*R8
z;<HhQx2=4d_sVN^1@aKN$bwi!$>(35h}l6@Sb!}8w3T!O_XA)v)EcQMGfcNiCzy|g
z*3$JFD}A72vuoR?(Ar7A<6NU|URpHaz>XcP0yMvOdw>-S?R`nS2&3_s0@M@H_Q4g+
z?cVp1X6K&r1>$N)JcF)9V=YWx$_04X!M&KC*RJmvKh&bK=E<V7vDx>t91`%e%01Nx
zg~`jGvHf!>b>>GHqD|yi0^$4i_p-Jbb$X5`a^4+_T~#9;MhLi;3%d8rBkungfozje
zKU-{<|I@^=h{<quP@hSfTIaQi;T$4Zx{ClrtKBh0_POBZ)+Md+eT7aW!)*l|ve&wm
z=yVB;=t=t$o#%83xgDltQl?BvnpZmYEnk`pzW1fPyL!T*68KCNFEywHzdMeuOF76U
zbi_nBIVKMe>zfMG<IAqX6XKCV#C2x`ekZ8{c97e8gMf?zJS7;6Oq;`KUOk5qv^b)c
zHD?PJlD|`5aG9D3opC7B1m-*@I$aaUl>c9L@vQt*E??O9e$0a&zIJA<WMgX&dE;AX
zQC6FXQ}7t*?d>IH85{Z~7xj{A8lJeQm8HsvNrZO4S5Sn|x}=l`H_dEATM3kj^ccW$
zJsTyD)Kx=y<=@@8ChV1uzga(^qur}%X7;B4hZ6j2{YMu5;|c#ob|wyHnE%tq%=o{s
z12fbAH}3E^?H5O?AA>&$VUxL1&^6|^tqb<7akx&9kr9Doo86Q%?rEddt4}-{_kwyj
zNlwM3J#NMkq(xOq0o|G9jUQU{pFV<N5=4?Ojqjh!k*ybhWQ08at*D_gkAR-!f3Ea>
zp9KTL4Zm`jC%}Auv|{Lh!s7z-NJzX5tO9|*l8pL&F{Wz=U!YyXJxZCduQLEC27QAj
z;B)d_3;Jlm;s_JfmD4{vy5)s`<6!awvSyQ{kek2Qn5<~IFW{5VT31=cIErLqsWVQ-
zaR4cJ>||-1@YF|}LA>i=)|p0Kv-8pC#h7VSr*re;1<@H<+A9l3HnnJVj8GB0g0{u$
za|cd@%VFs*M|Fr6`Vo;O7E8o&jA6mTB-L^6mC8>gqr5qFY<8g~6`J2wO`2!n?SSHq
zTAj1<>%<2gBeC|y*)IIu=@VJCpFE&(ke$g9abaxdkbm^lk06_nfBWlGo{kt#fBy69
zH9kBkoE^^>Dwq6qBqvp$A^PX*ZHwV%iiCE#mMurV30O@=sM1HPgb0&nYhaO4F`-<U
z&S_&I^ibO;k?e|?exAyLu^B`x3*&y5uEqQiNBicm7gwkyVK%Q%_9uy099xD+sv?D#
zzhpM3f9-u8`sUnH>S%eNt-pqB(a|hgu*j#K3ooQmXbdl&)tRZZ11+Yg1Whl9&Nvn*
z;ahx5*i)Aoadu<`VrS^1Z>il;p1aBt9ho0L_Gt_gJEheMdbi!5A)$?pTQhoH6_2*2
zXx2HPJi`aIJAG`{=Ir5PgN@ElvpcMIIq9s{O6<<ocBjQ-RWNY{xBtcL+$3cID;`#X
zcx7&0l#ZK(n?6(G1dc9ruE?i5!T1ghek|Zu(!xeohNhBA#T?35Lr}~N9YjcLnrsM5
zaHL31bpv6wQb7-EZI%*N<3ZEoI;vOal&PEMq~;`m8*E5#B4-vaV@OimE{fR(GD;&-
zlFzk1O%RfXaQ<B0Y4Nojt@~Jd%A{FWY7ek3*=GqhlhDaKY0FL9{Jf%sX+tD=l@L&t
zFd-3*N4rd?tolKDK1SE2_NU(?89>toPeg&W13n4>m7FqwS3YRhlAN-HqGIsDEU7nI
zhQTzRdw-32<dQ~j4w|=lEhI*nScQQ`-;0S#lcme-$MjpsC)laG3DJ)IRd$a+WR^pb
z-#39V2yPVf0f?^dPZPKh1Syh7Fg;QLgPC7Bet7lEI2JloYqdSaDVixX`4)9G<BoIG
z@wT<&7|gcyDUn!i`_jkYmk5>=O$!e+r%dGF(iW3LE~<lAwEFy@sQO!^wH1E)-tkD~
zC74Q`T(Gi5)|*U5XDP<?`FMvzk>(3up>02(2s*7Qo12ce(4dN}-)^fpX_n@O06H?A
z=6$gXjJ-Ng6<QIeMWQ{R?C4c8SU^9ACKgj&87F`J2%%o$*m^9i%tlJiCs7H{G<W%H
zU%FCfSi_N;$eNZXCXx#ssf1Pvx~7OhD+x0=6n72XG6f^3_3VPbrdzZqO<I*Mvp{KK
z{|SavGLAH%Z|Y*`FA^H<LK+E`l+7>@r&z4a#XE$fYnWIU|1pr#^Qx<pOblB3dzZ<z
zz|f0bk`ORCMaMl~;IoDcFOhY%z`q;zl5qPw{=Amut`!W3KPAJf8xzjz%pCBMz0j9V
zho#ur2>t$=*QQ4!d*bS0Qc^S@?&wWOa?Vi)hkiZ7Mshc@G7{5_Ylu>p?72=%VF<`9
z8}O8VeaH<`T5x;(PQhuR!l!9UU+<a9Ro_ls7g<mku_7-iPE?H;%iHApUcHGI&>Lc1
z&_1eV`KA?F!g|I-4$v}<Lm6zYKvl+Hbo(T;(ejKjaaq_}k^)@K?lndpAW99nshVqu
z=hbb=bs^S$w=ZTftZ)yG52}zj6SyrH5aewv9eufB^!-se7d_<qf*X9Wf>(%6BMlz?
zGio(vwSh}r?J}d-EC!2FM6dk}qZ$LD#!=S~o~~gmvIo{+1tucYLixTAA3o?M@vETD
z11-J83ZB_BR_f0sr8uCj0S#W61-l6lU2;k!=Lz%9AJq)1FhKT@TR0R-wO<K-*v!@i
z6RjPEa@X!$>YNc=uerJh`j(5wk8y!ut<|v-D!n!_3E)UxiQJrU8(ISM#ZXDSKv;AX
z)a=wbkei~wwKyY~#y<+-o8K3ZPCJy+;FlC71f@FB=r;*C6QE!!8f`T$O*f3rwmeZQ
zFclH)BE<#u{=Uulu@yt>tcJjq)q|e^n|vW&@Hbr5MtA#V2Y?a<Zb_U_n3CBMkjdq&
zefbrc7#qEXBN(bcxZP%N+tD|}T`rXKBz?g?D#o4v^e<`2%)Kjq5sP29B_`eLw4P=c
z2xtfJy<AMT_X*dMEdpp>5Qz+izTi>Pr$mgeB_uOS3m%38X-r9qTZq8eZwNV~4N3ZF
z!C2)?>Dx`kp@g+j_ymcP?cBXm5ta(7$reSl+<+2iP6daC$sRH^A(0lf@Gaw9#$6B8
zAnWf4cV61^V77o^jY&fOA!z5tRq9+TX5um)Pg|ELJ1Idt@T)3c9*s3N4=hW;Qk7`S
zwJn}9#i>1t+|JomM;c(Z{7YUK<717GW|Ahp<~yejVEmUh_?KP2Ef?)DVo#F%p<f^k
zh8qzs!0<*-_(wkP*W452&t6z?d*jb#Oso`2COkajn}Qw03B!DAu+5&R_NKq8NB#s7
z__OtHM`_-Wb@w2ws%gj=E~`bQGOM@Dpy<HR*Qu(mdW+OpE*VkrRXr6W#IVAyPTo2O
zc#sakJE0zH4XcH>Mb;@A397nz;UHHr65;&fNqYTPg5W0@{TqHG)=Rmg(6#VMT*}x>
z;(>t@L~q+!4l+EumJC&=7R_<yOv%As<C6VSZpb2{hngKI2gx>2mCP3h1(scqyKHsN
zUF~+gwMswX<cfJ&>!o26`&j?QXp4+v(6Q~xYO<jlw<8x^<)Q$KA0tRtznK0C1M$(g
zryiX=Gs=x7rLn4~W^UOOi_W(WlM*tc+eukQ?^Wku5VfSD=hM1uxr{ctnehnQAqcti
z9eLWXd(E!erKu?4RV;)2)svYetH!af>MZL@G1>UrddJ1Ss);U*yxXp!J{W~?1e~2|
zvX7x=5aj|a6LRyoSUOjI6duJ!j~kt;ZdKDKb5#A0oSOx4(`Y=A0qH-^kh*0hDQ3ze
zNmk+}ogweE+Po@_?b`P9wnKxdV}!p-TyT|YJG?5r-V7@ervml<v|^r*q9$W*Z>MaW
zTvvaEq;<8HqZ*Wzab*%>A=P9DIjg*4?hu^L4k|AKG#Wm}yyFAz(C9IQ74F~FXp`OC
zvb`T_JVwV{lc%=sxizZ2P_xNf>$y*C(32fgE>pB}o`$%(Z)w-USlEHV<hnr~p{)zj
zQj6zyjs*QSu;Ci$*7e{sRPF(cc(-Ja-t0-DPcHlmiC7LKOocl-yR;-l)^(~xg}(O&
z%bK`wn<;JAe8zi<o3O>yn}5y3y?(D1)%WuofSO?cgCGEOl;o8^;sr7{K<96nC{wCq
z+SkETq@dvA9z3fuf~_)=fB-k^uh)A3bbT&iWfP^$+}75#!=<9Dtax%ZxlEdPn$l$5
zl@2=8(&jhcqWO)S-Tb|9fWmv-9jEGB=SUsfO~2E;JMeXD_B$PGe;hat!cS(Ot(99_
zfD2#_qEwtDIeX@_;QF{}?G`%S0)s6=_sh0c?a#j_H%iw|ex!Bt<~_nKwUFwFSCgDA
zIfU>Yjo&+?J_WKh>P}YpOTCDE-(jL|$IRWD`#W}GI&wV@`fZAfCNQ*{7cxq#T?nV1
zu{pAvciGr%Z(#VSeq(&vqcubZ1-sZMZc0}6W-tJYjTApX6~SKXi%n<8A-7oP)U8=h
zI04nPl59ogolN97c#?)`Ymt(UAWws30IaYB_ule1D$c#99|8QPBYA>7APZ+&_?Xul
z>@AuXhe+)#D_r&d6hYa@p+dxC%#%jJ#w(GLK?+OUBPkWF;%Es1K7Z=(0(WVVsV;L9
zot!>NF5Ho6XEjx*7-Ry*8;O0e1(;dAIcIepkDmSBT$&p!q<ne>hEzz9Yo<&mc%@rD
zFCnI7CpWm{$(VJGeX1iJ!h||3Xu3@Up-oFWnDz7<)4>A$n9Wvk`*s3yq~s;Mf2_>$
zm*#2%M>2ieTRGX{!$4Yf2t3#Q4Atx8f*H^R*@Iw=5@<eY(TetA(iz5j`PIy68;>4D
z`hA9MfMmqS?r)14%shi1b+eJL#Dq4(9&1K7Uj5*x^>h$9h75wm)kaog{8j1x*&5}&
zZS{(ImnzlrHZ|)@T^c!OCMO{5D2I%g#bgO_63p}@+;%LUX9(v5Zp{9vWEUkbEMm|}
z{hwhg(A70h3_KSHP>$X06+_a4aR{0x1oB%04vBA#f`dusy|$qNw(`If8$~B$nN(8`
zjNh>Ib;G8)GYe3NwzWlZF1UQzU+03?+u;{Y2DkbajXMw>QPZ@hGyW2Qx<CHF%BihZ
zl4KuOM0<;c8DfPvU+k+~e$Jz%i_>SuZ%nJchTO#z=Iy4}{jQpIb3na*=q+e<+k&$x
zq$_v#;kgyf{GU=leVHkxVzP@X>ybuOSB69KNxk~gxSiCsi>J|PAf1V6tr%6EGp&D&
zy;1T(;f29*EdeyMPnK32REz;f@i*)23yEgSC7|Wh3MUG>dqJg%K<lOE7oZ)Vfy~nL
zDjqXuzshj&xoT(oo+&C{6Ey;cDRl09@%3>pF3(PHl{SSMAr;mT2y?idfCFY2a+}UZ
za40BlUu`#5`Bt(BzH%Cbx=7&(>|AdZtDeY=M>@JS;$N%2`Uh4+V{`SA?H!BlJ(m^$
zKh9e&h!(bpkf2>9*U)VgzIgefL{A+Fm{1D|qq9jbv#z%)9(5CE0)_3s1}9^jw=R1Z
zpV`RSJp${L+X5p|D!o4bjuv|+kc>c+F0PMu1-G<6>!1;F>{$V}&00D6(h*4Tod2`?
zG)7R{<{j<PtTbEHzlL{b8gFe`{X62AsAmfp5R@0Lb$0@KgXw|-{0_<v8+Jz~-m=A9
zB*kAT(xWBgSQ7(#on9Z=XtKsE^HIMddA@|d>}+-fe&NKXU#1~B&gv$~x4Cx0!1oym
zx8FrC7&#`O(5cpMNSFygt@G?s<MqOv%H%7rK04tma(w>bI|zuj*zBkif*$+2wBMj}
zzdwAIfpH`2%o)3jL<oj_F`JjN=hC+$NS$P;aPOKe@3vy;U;@O+3@EG9n>k3fxKdYb
z1O7`mh$K<dfh+OK6xBzFpYjDR->TY|ggfS+Kam59@+9UToWj0we$|n`^RmTMH%%f=
z)n6nrC+p7bt`lXBw@e`G1JKy4T4o(qCvViqt|biEP6am_|I&jIsA^)nDZcebPbA#8
zftod>8OBZ;s&b#-@3WrYL0DC}qF8!{piw`$BydO;C{qPVyKqP@^1z3&{za9o?}{p`
zfk0$51NB`7m0bxNDJfm{UKQ5*?ZbSm%lr_is5|H#wvsbh#Iw5Ie#gGKoHvWWk#&c#
zW4PDU5MH|OtH7a`?Y{b$sc|m6csXd1Wj?vZHa#QrlqJWJWu8o6>1V@$zxm!4B!g|+
z1=1h1vnGBSjiR9%r3ouQESM%*+~j)b@<e?nPD7p8VrqQdOwv`mfQ~`+zG%C?Z|IJ|
zV`G`@NNVrs%M!5t>tVdIEmtYlxUEnM5omj%MpECnNBCmpVqMZy$>QY+$;>!&2y{&9
z{V9n>YU}*(xbpRmjQ&^0hL5oqshb^LUZeqqF*%(J?{RWp=|`ENc8&b1>kk^-lCaU@
zmkOgIY^vS0qcJk`8GKFJ0N=y__WlL>WU<Q`GuwU|VD@z5afn`*(M5EX-l#_~#IMP4
z+3D(+t+lRJ19zYWdqlq7Qhi5jjH#PlUUKycd-R&>-)b$rzoJOvMxx3Y@>uli%!Pv5
zv}PL)y1$i0X2u;tkfhS%9|93lgT1f|VHG7`9hAeh%c5bXJt`v=S1SSxkSnX2lF7Dq
zQVO+8KSrqN+q;#O>I^3oG=lmo9tT6;;vl7@3ZPLH-gb*~*S*RCLUt6y4>Lnh_vk!`
z@JcGrTB2qr1tLohTK6CFxUu>7Tk_OyXot`eL?GmoV`QkD!Fv1NVN3{~x0Xcg(wvE9
zrNYW2U$u+yktw_K?1Eb>#s~y<RAeC8xa)N;;QWTpwUy_j#aZ?^Y>v~EQ^6)WbN%qw
z*ewuQJQ=q2-oSY9k7))k3&ebs`UcbAPdCly%m$Aj2A8ZGeg$*h-%6mLL+lPYT<qDe
z0d0sB6sfCaVl(&@@^#}d^Scf({VV>cMh=7fmL0`}w{vIUbfYTWiz!ay!*D)*p-(WT
z3(+W`2)E7@ZA~-Xb$5@MnbkvH4>`tX1>7~o!v{X5M-=os6Lplgbm=|Vhl;J%wtj8E
zEs$P;o-Qv%HXY#`GmLI+Mi)oVzfXF9C&xSDT6)&=VTtx;wYcy@31j2xV`fOb1k2lF
zt~l)HR0lMlYj!{Ug|l-543lre68DVrURB~y`0ex2XKLb~-7(sn!Zcr-ZdS)zY+={-
z<iYJ}Y}&;dnOQqVr&g@rgG;B>+)dv*30124L$&nQt9Fb~wKI%>pLEJcrwp!2UvkY0
z>*mpv30665cmdR5qi5ZUbgOnnyb8$O80RPtX@x6X6`sG`kYZ!`zrw53-KF}v-aH9U
zLF7}VQlgn#U0Ha{2w6Qdt4l~s>a~%mvSS;oKH6Lx9{G*s#93tOp(?ZSAL5a)@7)le
zUhKrGR48^&Jbu8ghLi&Tm!tSUR^fk^A{!GE<Nvi3+5Wes_+N4J|KC#P8nuCVJTbVQ
z7mde;W5(1*J^FMP8A(AR!C%<WzlMR`$ElSe{@4TEy>wSzpH)PGk&v=R{F^c-XP=vP
zy12xCt9;b_aEzVJPjc_@$y)VhZObhg%v|hDJNbJDhRmiE9S%P1JvIAEvUo+Mda|FK
z#%he6ecWEfvvEtk`n4%2C1dHl+Htw;FU;67X;kc;m}9WGz`u0$I7W?gU1nvDtNH({
zE8Y!Nr1EyQo?kYhV*?%7!?^Pw_8?)Bf!=wf{Eem&&?v)Xp`!cpX0O1+l+_wIy)HM%
z!ihv<9A3u_N1w*UYem4tJ~zt)_o6NHT9VV`OwG2rH<^+nM%8WQ;Zm#4pu57UO51(i
zW=Vbf?KZ|%>b~=Z0^t>^02#q_m=1e+`II8W<G<?S%5gE`<)5K1i)WMbBl^Rir6`@!
zI-_1yFfb-H{_Fni?ds8bf{Ch|W0s@_H{`<FviE1YLRpo%sQ~T|lzdi6WFhg^MP7y&
zx6k7sS;A7KVb-tTa>#Ux!Zj3pFWI~J_6|3HQPn)|Qzb>G&<Hj<Kw|ArlB#W&N!a7b
zK{uzJ*>tVprktVM78@GX@8l&^XL_EPM}n2^8wcgD`TK`>irEHvv}z+Xc&%GxJPq1*
zE6}JXGDvole=iR<grL}wGOj!+)wP*dz|63_s7=jh<swd%*;ZnQwPiiIx0R5avi(M=
zuuH2_L50X`(l32>C5EXu0^a#ZD?&Z;-46>g{#PEaibgn}L%Ui;gB_yCFpuw^TNnht
zSJzrBO6&7C8pH+#=fV@>q-2;k$-PMzo}Pjh;oERDm#!MANSB_QJB4<$xyi^Yt#`b&
zv9_gj0|jTIXCvkbf6YpiK0397EV3t9%vvNk%S_VouSCZ6Xx0P2HvCWBVqZD2m_|uM
zcJ>CKfcVq|#AZcbgR!BWHD?eZ0?7NdB0Z4FyAdwB+~WM`8by<CjznrM6_4QNS&?I@
zj$XUrcbB@U>zLI%3}yChqXF}^i;eO8aglJicNUx}&-gXYk9~VXn-q+hsJEszIrj6*
zB#B9#@10$`?H|E;Gpm&9U{>wYoLRjYv&_-pU><f)9Xhx#1cnc>ia-+L93fvy>oWeJ
z?uOfZONt?hmvLI}E+&!ahDV<)b;fpY830OzKpL1*I3R+1L|^6+l-{HWApSQ+@wN)5
zq`_{V#bi_&@lvQlifm5}V5U|!Ve#e3^aXEB2o(Rnb^rhVtiZ+0^8d=7<$sd|mj6#A
zVOdWr-LxgqzwQn7iCxR3w62ALMZvItGy<Dp$&>4uSCE4>R|M2Oq~Yf)v~{km%hZCp
ztKQFZw^dr>9WDBmq_|4)`%ItqUcdO~{3oxk^JBhl@N06_WB>bTEAQI$`@rvO=RxD;
ze@FtubYYyzOG4gGD&|p7tUa{y)<=0-`KdzPwSU0-bV#F2%KPKi5KDt*LC<}e!$cFx
zM9Ke;B>1KM4@p?eBh0lr!a!a=)1p>q*8b{C5Ga}<b0bTmER^l}+YW{aN9^e<^yMdf
zW7UIoCExd_N7Ja{j8GtQ1k0hK1CcOyT#WL_?wnCAUO#WU2u4}!{Nd36JKTA@e37Rj
zTnpv&<$p**S=~ZYgLJ#2x0C}6YHI8K<JeLw^FTy_^pym6z&mf7aahrYfk1hE<kEJY
z3<qocBBUa4*JpV@J5w`q@z~BW(?5`aG4qk&(buga1NE}A`1y4hFDg|C|H2QZfckkp
zBVC8N{QY&bHFi>}Nk6Y0rGiH%msR#m`$d<A?av|P$PYs$rTdGkMuhi^I#pWeE9Y>C
z1?9`QP@reVt)@VV%P%Vzbh>IX$uS0zT1J?58_UjQ9<m~v^vNp+$0-Kmxqf1j*<qU}
zhdekphm{@AJ^IEttAhrIQtj=R%<m=ER`uoruECSKm~U!q`Pl*?Fo3odUlrEU+(XQQ
z4$}9dpL(WXg0p8|FLhyj8H4sy!w5tE$ZT{ul>P#mH50d4G{3Z2wLqwH7=YZ-^BdvZ
zmxVR?ok=P8J4u?<$_`2-h7uW1EXU!XBCohDnsUfyE7sG?^SFq(i?wVlIK<W|05DpD
zEvZeB^!_R1PmT=3F{KP%QVoOOMs8EAZ08FAEv~Lhf~!AoO=zfezb-y+O=fjgzg8@p
z5+{x5U3|^8%7oL}5+L1X9UW$tN29f-O4C4JI)5}%f;MV)nAPI@PAgwvm>Eu{Bp3WN
zkq@AAF$QaxfmG~usCzwbnU%>UwFps^6*fmslZ=Fxv^r-60$mOk6-rlwac)@H@<A3Q
zY1ilxMUM0KNt-V2Nq}7sVv)2MD5gq%(XTYU4x8N`Pq7AD=I`-Lyb=t@G9$yKlZrFV
ztlgEX|GW(K;PtQYRkjM8{Ey!_+lnVR1}IHUKrmMw9!WYE*R0>-d!3$A+ajBQc|LOB
zU<}%jJ>2T*+TN0O|H$XSE|^-NpE)zP0sb7QF==P<(o8%_Xl<{Y8cNxyIMw$qP-#Wj
z7eVJr-pkPY-SvwsE}Q^A7C4PR&NY+xvAg4Kbcrbyll?w_?Ugf$RmNF-988XOb7fVE
ziI1#Johot(ha-+XB_JfhCbI&)1APOk;kyHE;Lu9J)Goy1X+Mp`RI=(Y#mPpd=GsKw
z^bw<JP9KG5fgz!p8B&oww$@Y}(Ke$K!9j}bO5@2Bsj3!dwwB3FfRyYOzRQdNc7}!n
zyhRG*!vqB;SPvYfQj2w1{&A&C!bk*fc<>6E;0r=IQ69Fbkn0n@VuXr8b1ZQT=>~T4
zrd2K~PyZ8(r?waz$I9JFpBG;XpJI*dx(vDT&*NMwMh?{}2D9RXfr;cXFBTfaP!%m;
zISZm+ct!d{h6*4dRt$X7%uPkjfmUlS&gcNvhUNrG-6s(qAw$hK&PURZ&-aH{dGvR>
zMO(9&SyASxYke4WK4)1z`VW4hdSH6d{o9&nfu9K9^)aeMPgx3sO}B98sSCMP5Je+M
z!x(-`Crl)N<Itk#RJs##T(^iECt3ZO?zt9{XZ*%*wjTTa8x&l!#*{$Wtpc<d|Ee^9
zp6!Yp@-Gwk31;4oM~sZQQ~_Kn7JX(v8p+5w4U=7J)+d)(BhN;TI*=+vMv7{2(jJ8D
z5(pc1LaDut7O#Y%4X*^N!}@i3nhbvdw%lRTfOU20NWDarR88`di;f&!$4Y)@jrwAW
zK5R?Hvb1Um`ieD~;)V1$bO#`&l-+8i=uixY{hk8ca$HRj^cP7^sfv_#zBqj*P>Cdf
zDK<ZvnkyOwSwbsTOllHun`GV_70x};X}tN5HX~>LMX00l*Zj-a4^ZZ){$;E528eL~
zrt~q>DiShdp8vz`Yk<inBwuUN8G+2Ehj_z%I2gG1#4<j#cEnhxpCM%|s(+-x?HiBh
zQ&vM}^Q`zhJ`&<cu+;FO-GNfx8vD|B<7KWU@l%?RrYdNesuC0GsU_wS0JmBltv+_M
zza@~)yJi%uN8KVuzluqyZh1Y}M$TCT2638h)6jK=d^cR%8I*&l{AEGDQkLe~gMiYL
zMY$xW2;eSULq+qxpWQm#e-Bcbk%EZ|Dh#UA_F+3mwQD+4F9f;qW`tpB5`y5G0PmfU
zLrCsp%Fd}=Qf3y?+*KDE*}U=jt8SwRW5Y;7vAe|$158r@HkeLlT~Kj;<-#YV#-*m^
z39)tCrlvZe{z>1)?2BWqGdgPcSS}}$B@RZmCvDU4JP_Iz+oFZn8s85Vs+e>+&~0VR
zE4T+<Xzw8nNweH0x;+TV5U6W~|8_urbAo(lpvH0!0>#kL2XBr?w_y+-&oSRvK?b;-
zlOZ35-z0hM>SFaTT`_$on;hJQz1dbfq4GZqV(E`43i7a#Q!VYZVfIEI5p2Qkh*V#s
zqPcOwZYD;|Xx6exR$dJl7V@7^<h$KpN@lK%?b`ofAouoTrb|P6lz5ABf57B(=-e64
z^V45cLNxO`@s=-W)Sj(N1=lIrm&FXP0At7p^bb^^inlZ`NxJ|L;^|8TC_5=+$AH4L
z&3b{L4D)9SEYuqXS(E)Q=;2>4BtvnjPiG)q2WQqg=&F-x(<!ZL^U@HoF!Hnmf#63g
z&@|zcJuCSmHR>uw`mpF#%ay>;OaIc<hF-LRb%05~6}uI;XiYL#k}^_Bd)zmcIk3~J
zsxT&2rc`VN1OU8+YO4lxtj?U!F-jKbMZp+Epfm28K*z|XS{-m*6HQ+kq*7U)0qwhj
zei;6~-4Cy8GmQbt@u*GHiq&4Qs@*UGzqzZ&o$3h6;c0=X+q)Eun*mHb?V##vRTH%j
zp)F|XU{eGVSi80dL4PXv(w_g)ZXAKzzAKsdoybAmNwm@`pvf&}%Zk(d_?Wv?RN_)I
z@T^l~zS@Ja;a|0U*|{qGH(kYinRcsUWF6O?x;zMgL1TE{atNU#p{UTSHb24*7*5g5
zL~#V;xKab+HVx-;jf3Rw<RducBVmz!`ors3Z2qI$g|5d6s-ZgHY{t3VXjSNmz5U;`
zhb0D+=j|0%Eh5eMKvq$+Ttj>TcR$#|E!vTePj(6j0-+#oD|sh4v`IV1S3dcxCFxed
zFZf%ta`B_L_Vir2Cv}Qp8B&%F&)J?4gmX8P#!dSZghboCOY9XFsU*ys+*uTCDYh&M
zVRv8yVS6))W0r32?QoOXi}XAMe2Z)J8o(VfD{LLRMSR8Ne$(LcYML;$CIR!^9ILmy
zf{46vrcw@P)0~E!6o0q+9foNisF_7<{NOVUMTKsx(3Hu-zVvk<;gRt3{6QSsFR#wo
z{>cRea`+%|dc%-otz-*z=0KK87W&#MA+Ds1yRoQXV`Dy>-nbC#VOC<sA*Cy57noHI
zj;YZ}%@WZLXx87!%3yW@QK5p~po)v4&BKtN7zZbd%P*$&RcEOU7O2qGMR-B=D0X;?
zkA!c6Vs65w6HW=cO}W=@2}LEP>in{^2Cx={QL|;4QkYS+wI??wt8mPiRuwlx{@!=a
z*u<_Xp&4i2X})CYk=s)o2y=sx08MmZEB?h!#$QT~!5~N3f#V?SLQ;U8$RE&-yO<3&
zf-v|=WF>zFS8ij)$QqQLsd7yFm6N@-E=wwW@tAS;z&3TRp%{-XSdOguGr3@T3*&~N
z<E6yI=AH4fAvv=#bj}l{>V?+@D)uW+<85x~n-vv<Dv|Z*lsirnu*pxYi00N8oP@?w
zLfZrMYsZXwJ{kk<u++M8aRNj_EPuNO|311Gr>Z{DFb9)|rFe?yi#dul{L~3W00=wn
zQi>TjX-?rDp@gwmix0l?kS#L>pVUS_dD%>na+KWV;7Hp8Lgg8)L9D6FdPRI@cyVb$
zqoTD$lXc}%8U00h>E4E{E2WUz#}aaKhy_;{X4R#&jAFyFvZuVc?zb@UNPaUET1lVs
zH!D4Jb&Gk4aRDN{O{#S1gL#TCGY%PJ(rC9tk?xIw%MRCg3~?g=<*#*ov+tmCnC`f8
z<%Pl`>UH`1b&s9P(5@w$FLC2FbRyva)hS!(DhOr><+P&V{$G`nwDl!jNjU8y9%*SH
zJgyq&0a~5KVv0e}=vd^jWoz20e=<bchk|hTxAp`dB~n4r=W90<iRRU!7u`d1;j7L4
zk7-3NkGYn#9&p?auhtelbUMsJPnWD8xPF|bokE>+);rx*&v0uQMUYgu;z>+<j@t1k
zJ}pvXbV@)h8UP90kJ)ErT$LJff2O1wy&9d)=av{dn&9Qf@Ov55+<!|ouGxhBdUrxt
z32tD0-%nUrDY0>XHmbmG-ckFr5RJdj3;zCjpd7~gryx^3?oN49ghkIv7Ds<7LTRB?
z?eSSx`__`h&9!Jc|9CmpNVy?*760IrzL###6zSP<u!7B*<++fNDXeC&CX@SVSABhj
z^N2@kKnmOGH^VH<zh5Sk(X$5+p++2d=-TOgWAmZ!xLTr0DXvIbP;?1;3e>l>mImgj
zMF#Xqmr3w_hs3|vV-0iUB})W)zG8O~+ES;6>^@>aH~%sR1MAau0PAnL;O&0lGY97=
z!ou`oOd-nhz=#>+L9(YJ+JbpKMeb^k^Kv_nS^={aR=xEzE&1;H+U6gD#t_4{g)E+l
zf}M-fbO2{J6erq)y?9JQlCL)HzFGq#)FmRTDWB08Q52~=i`9s0@$Yznrj#s3Y;Y!%
zO1gJLi8SR5n)q39*KgQ^au_kT(&IPGc$Z4I=1SWPRg-BfS&Ot4!+^}XDbsDu^yzm5
z+)%c{jSH$he`w)wHPgJiHj_miQIc<d`s&|+6iTGrtor5kL~{SW%b1C|*N5|W*hvB@
zP_zdxY-v_0*!*Wg=7O-_TboW>bO&iaQ`3o!fNBbYA8bys#q=ul>Juugpb@I<1~qBQ
z)Rr%st7l0%q0G2x`%Y$xq+V9ZX$CJVq#AD$yNt7%KCkis>R#!g0RM)i__zpJnJ*(h
zn0?4;-CpWekFoM`I*usHyP9(WM)1E~pQv2rCWs;Rs&iu9g8S=Z+7LcwWby7KQCNQr
zGmx~DL-L<vAtl)2dTTH@z!D+_--QO296?)V0DwQ+KQ}kEpk&lhVu0LoufiUDk!4y4
z7QyfZ={}1e%Xw~ax7`#6ACiv8TXMz^H~FzwXWVy(j(q*b=N5s%=TY^IJwVdO@AHg`
z2fpYx2#q!|+zwP<McYu~_9r(FQpr$(h<98LMv_OR67L<A3ltYd+ZVYd_j}1|l{O$o
z44l^WNm@)VMx)VrmMXRWYPWkMNOz5@a&Kf+3}a)h(gS-jeB6a9)~tVrfMX_}ExgA-
z1IyinTki{fE^axA##(JvTs2>Y&M{zExNWm6vA3Mc>x>x7k*@2+h<&D31mcAQIx}Yr
z;qd=q?5(2Wik2-<+%>@(cWc}og1a;hjk~+MLvVL@hu|J0xVt;ST@xUA<lJ%Jdt;oR
z`?J>WuiAUBuG(wOnzIU+LnLx`<$wcnaYsN5>By&nrX<z~iT>iD6?Y9NXE7O)W{X;+
z-<yC}2`&FLNX0%vD3dFTOhgwR|1M7E+2d@3zN;Lie!$41=3M7A6eNQhiKzF`?=|c!
zKTzk()7ySLQ+<72HQRGgLfybV<aAn>b8Bs}+8^YTBR(>XF_-HUKI`ET-{i+_;b}^d
z;I51-px<ZIpPW1CQ>t|XSali6*?<J3J_WeS!lg8x=I+)PxizZ3D?85rzAGbZIGDqB
zOw?_Q91L-dd0y|S{aO1mkQ>6#7ZMlH#u-Tn+`a!v=;Z$frSa`Wz;Ga-M$cp={|cz>
zm$gtV%a-a^z9ReacLNts?M(G^((6o@HFtTfRLnasC>%PUO@JxHuBM%FoLypl66&2|
zi9Yd9SV=E&q9qs}!*~&2V9Z36iNT!u>DBikCFZpoUU^oyQ;;_(g1;0(_gjFSE~%kQ
z;;YsntV>(uKIKFxFeZ>{{PxV9*yIt)vwR9|y5A(+3B2MO8QJXw=18pk`;l{S?$-p&
zx$5HUExc~Kcxi=8wGqIPIzV%VeD>_-;eT+{m0BR$?Dv|kJz%f^6<*IEd;WfP(MsAM
z8!KK&ZQs--nx70oHLCZv@6BQ79FEP-s&C`Z$p(+*9x=ac(4Y%k>@OQh<@p2<nivua
zPp>O{k;>yl0{l@CMQK%w<LxYTzA+3VTv@6;{HnuPpsZM0<A=aHs=3+vTjR7nJG*^q
z9*kqmV#<^mK1nu=c4#9SgI1Y3DP~OuCz^%GI`8$vm!TjGuf<SkNJKm%Fsbdp{kbQb
z!u(fru+?pYg(QnTw|vhcJ39|krsPN-U@rH5vgcZZZO7w&a@Au?9bsr$3h|EryiZ<-
z88{-x&C|kdv2zg7Ry^z!;$E+6)+liZx(Vd3J4f8S?ywvxA+ZdqN%Qcu@gBj$FTKxc
zgUWC=cla8li9Dq95%PvlM8_l!%1u)1%;wv`h$W`uWZ7Dh>k~YAWFE`;VUvV?(7*8G
zt`jmI%gH$7q@>vinPpOaVdCmx?+N20^Q-}56<z>d)a>-cNP>IxzvXzI7{FaT%Parn
z%@>xu0C(%Ag&84~FChm>E3YhYD?RHBd{0J^S2^e(Yp9uh)%B|(_jHoCZS+)3?frDH
z>1_jsx~>n&Jiz!#)5hWF6Z8sqjTIc}YxGnWdE1>vJ6^xpWXDX2)#a(bmT=o12~d!g
zbgJ8mpy(lEn!7pa+#*j$OWw*TaUSi<&(gS5>_kDk6*2cr(Rt{+G`o*@U1gtQ$&&^|
z?5a-fHTyNgs{t_Uq`vkZIb(9JA#(O;X-dd8*$VLuGl7-9ur4Q4AK??pa;$mimFuXw
z(9-R1HX*`z$HGJHyV>Iwsc3SS&@;ZsHiumX&p}*MYcBS?9dkt2breBv0W@L!nq0E3
zx;l#r9l(lvMW!A*l}<L@rl>)+s1|~~6+R~Yd4C+oYjrWh>XK7(6Rpct1`_DvY--L_
zns06&A|kdYv&6b!GS#{u2>5^MGBFVE{JVc^!m}3q_yA?bu6A9nf_dCOj)Eb-C-71r
zq01Ga%;B~mdc%eJ3#KcY0`UXfMK)Xzg$&LUnavkGk;gG?2BU;{ppxq93x);5<bM5b
zcjb<H0)K2-DL)yY2YNW*^dpzLJ49Daj(@vQ<EN7~Uyi%Dn~=<q2cXZMQ<73Iz#-J(
z8$n&ZyUFHW)?-@Ye!uV_FPQPZp&Hq$PA-bFwbD_6bfgPx6YbeH<$g%?pgs&@FP%F-
z@RtL26loN9EXI!L0ZvuDHyOLw#34>!ULO~6nTmzNH^&@t*i?5uJY}?A%$P4Hd~p<=
zEa+?x-~diG<|PguG;A_(M~0s((RY>Js{F04H-8p|!%}Pd^Tll*h93=*X`OL{C#`7v
zHjP>!Ku^ZMTmNzwe&5}y7l5IJnyr<e=}yJ24$4sz7bxs7ZNL^o?$}#j+I%@%U(&Zx
zUR`RbRz`NSbi1Vg&!O*%P10(AzrNl5akoZbJ0g-8Hx33Z-*~2jpV$nTK}^}jPk5L;
zGZn$!8{h9V#=-aG7kRZQTJ*dn`}5V_ALG@3K#&n^_~y7Gr2g5WgAB2zP9v3W$G2UF
zv#+&Nr)35I!pE(Jeo^#QU#}LaWwzI$QzzBf0H1D0YjW<WKT)65sKLxMi0E~SAyD`F
zm5!aD>E~WiuF^K0&J?~BKjsKqQGX{5Gf{18Ov3b<^EE-OPu;co#Om|sb+#PF{x*GK
zl5DMji7B~1(8aZx$<uKFc3oBT#zMRLE78&l8YX;-Es&#At|Y{1c{u#vx`oz3M%+T#
zU=rqD1Z?sdPi=-x43FL{iOIqnw0#><Bgvsnp4bYBfk=cU-{a~mHAe+eN}|uFe%1x=
z4HE7swWictb4)_(n4g^~-c~1H*s10q65iOURJI`~|H{jN>F{P>mB`eDkP2@Bgm5+B
znK_?q%d*!sFLU>iLiq+C=*3fi9L!{Xaz3f6P0prw*Ol0f_>@1Vy@LC_(t=0UCT7-*
zsK-)OUna7#f3l#dS-h>KEYXZWdUk}&NY+MdC3<%*jO<lh&Y^LZsS|JE1g585wP$ff
zU3mW{{DvzdjA!64Q`3>ClVn|5ANssA=nz(#FJ0G%sP7M~!OB_qx<w$ipkV$hDR;<%
z^LQ!2w-$#ouyR6Zp)M&{SLzmxs{LCe_19JLp*@`GC2W;nSLD^7$>*5Tt8kGp$rqR|
zCpEFZ>PH;?o#^@tzfky4|6fUv|717*qo-hJ{r|Ec|DF6~|34)^FLSk%DY-F6c8*ma
zOwjw&+(^2A?V_+4qH7uwPcm_dd!l&Sq3q<TrKbFr(^Flj&AOEjrFk;)Y0*xtR69$j
zQ?Gp8foB~Hkq)@qsduQ~{zXeD6yQUJmT&O>Dm(qxYc!yzSFqbd4C<D2sw7r5B73GT
z4f#V&!43OQO+iQhyMCiunB=cx?FCk;kiW~jth|P0{oAd<gauWKTz+c4{OsOK+hR@6
z^Bi$sr+;Z`wz%4eW~KI+<)eYU|Ce#9%1^3TD%6);iv6%+IGf#Me);omI!YgE3I}t>
z4>iT(>xwbkhniw*rruyo<|79J$_V{XQ=m(W(97|~_hn5fPZPNLa?51U0p1VR{zpx*
z@IPt_UOhT_we|4>;rX5qH3bK`cD(DKmo;BpAfe3Pdz~<ul2^Z$ib8+F04S)6yY%Qa
z>hSrHhue4;5|ami_vv_tI)9(iG7Pkx`Iq;HuV9%vRCto$a>(AEZ}aCesR02_kGH9r
zp;T6Bu6(hQpISKbwjJd@38~VLj>&r593q^3mT`(+NDDVj>jbuz2oBNl9ihN!7I-d*
z5_eO*#)5;-w>q9B6CQ4-vg_yjOe0l>31va_RPhcA$0Ea8e?IW|3+Br1tZq1$4zpEo
zrt$2_@+L3oKS2dAsTG5<d@&uhR5D$sZEGZJu-8MtA|pqAb4>Q}zG;ZV)GG@e?zD^r
z_7uf8C3utfTX!zm4GzTF`s>L*t&;F^D%n{frI`=$9m0!X?|+I4=Jv-aP7ekCLTXoE
zE4-@=cYE^kRw5q<#LreekmtRMf*+8)YSDmdV56NR0=EQ5oNjn6yKBg<y3N*<8&+~U
zN9Nyj(o!mg7jX%N+7iqjt=NSi`*_xV#)Dyi9OkLcfsmH5sE-xC+^pHi%4rjgXJ@AA
z#qxy>0L2YqGj}65AHV~j7_<I~ts^89o89QIEVOI9kvO~09gb0oE-{$iWD-#>!{NIm
zVocIb>&6lFs%wVj8KXU}3#Db{`)%KY%CCyt{^hs1WD5&~PTDZkSzpmMYxW!aS@W`u
zuTx_sBv7n}Uu7~43_)g_6*6I#mh-Rdsm=HFK6FC`kGI7idWx)AmvzlxB!GHtG?RQ~
z%p4hI_9)-@ceN<Ti9ol+s+1$NrOs^LE`p=cIN^TMKjy5QJeX-nfsq)Z=u>CivU6W{
zSUKkSG$T}3;)R0~^$=TwN397BU1#~isddsL5_X*j#Ao&Bc&oZtZsRI`KkzNp$%cpd
zs2PG-MFIA8KVgo3WS=LDv-W)#oH<atgERg*=JvJFIn<c+l}lO}y){L(Sxw^a-Puq0
z07VH$dNRQuq4idxi}6mF2q0o%UZ$4vNYP@10`aBg6~o}#seJID!YD^oUZv@?oS!TL
zE4{OVtjk$E#&-|EC<!}BvrbjRqN-FAg~#LbSf$QUm7ve>UZ1>k)~IJMi1?0(rws6=
z<#FR;Uq0hY!DB3t7IS|6K&hTrX2(O<y?4>VM?olb<&DBy!G2gfHvV~6ktCS;%bFjO
zM)0%#-^z#^15fe4l_O92)^97kc3TOKi3>3L?OfU4W=1nb8HJEjAf|YQx+Z!BZC|&N
z_(Qi&gga4yhgp}_W0PZbYAuQxJajeIaucwij`<cLSz2EiN;gZXz3j<2|AHG>bo<4g
zwQU`^_;uZ{+nhekwBFHzU}*G>WZ?ENao}GN-@K0As=2?h4^y$)Y&g9sy?RU$u#rWN
zP9*hHD4xVux(DBqY1&k-0P;5QHlPz47Tn#$4&cfv6Qu6yrJbHW`sB6PR!ZJ$QloUX
zRnwN%SNv73af5c7);-#|&MyM{Shh_i$GNAZvFzl})Ac>M0rHWrYKwozC=7O-!3_KN
z7reOK=Cq*0%kju*<sR*;FCeSFRaK=LEBZ5~bUQ`HW?ioM`&t*O+Ho$KRzxN}I;WqP
z@Snp{=<Q5OK)L&ER)3u5z69FbU*VG8qpsfmT;xrw`<^P|@!GNG(7`}8bh2zOn0?2!
zGmBJtEO?Sb-&=F%S2x-}kXbw|D+9T_fW*DuYj4#dQbmcB?cRWOh?I1f%;Mt=%Q#hx
zn11}#EVtebtk>#XXP9jBgvXh=@Z;-PdP%hPCz=GKWZ7YnWdEL74$1S0>5$|HUR4cH
zB@}ssoM=DBLZmqUW(ss@<Y~W{J)SgVFe&#AM9rXD3M*}84n39jIDA6-yciukHC^()
zd4$Y(iIbJWc*kNnxliRL7~`<vs4)>&G{20BSbSQw*km*lQQ;2PVOA~L8i{~2$^|E@
zIkl<Ee%g4jQ*K=cP}s5lMRsT3{K;U2+o*ROi0blYVRmMLTyK?7h<T4;NXYva9|T#}
zz)at%xs2f0)a6Vn^5+HTGZr;T^Pw<y0&Va!bLDJK$-p9E<@mO&hBZ=ip!cZh50!zn
zYB)p-^6X)Z&vWyRt@2~?<K>T}Kk2KbS1-rZsRiNs1k{cEdQ+=c53_nC6@G%<tna8Q
zt8|9OY*vess=kwtO1JN*rw1kE`~-_?+UO9Tdm-l+(dpO&)&^FROK!xX9V;OIX5d)6
zCuv|-eW#|f>Zh7Q%RWI<TUs41`$42gpL*X)B^*X=<0p_jWHTR)*qUX1+B;oTxvU($
zZc<gsd$EqeuU%7SW^O{qF({VZq*<lY&aqZNp)=&#9~U=EL|u5HV>)fVd(4NkM2US_
zGS@bwn0<CbM2+cp=^G)$VKK9Xn^{V|cRHayadM#`A<M6-(a;|Te_s1ztYW_u%c|J6
z`cq|92Nys?P?Nm({4ocxfJ~Qte%y@X`mIn$uKkFUsx%wu!rPQ`u64Xik6jHnx^rJG
zH9TIr-N?~q(#E7uCr|3+A*iMIwyoYB2=FARAX2o3B&K0PJ7aOm(^P}TkBSm%1Cy$M
z3mA`tdghfGPkqj2qRd}uE-UgD0okUFzVMc)!4O|q4Zv|Qu^Uo#NeWmkC?eC{yYv>1
zF<4pUIs4cHGNw{c2YlgAAZ}n;&=Q8-sMh0^+Q=|-QF!AaMns4ph~>w7k}a*fHl2gi
zh<8m-4+YS8-I$M#iKq$+vigVEZN867GxT!Fez#^z4;-X+L2Ng^gXJX6P_zE-lq`!H
zNnI<S<CW{d=fu#GC+w7xGEv4QQ_`pEWuB&Rxyc5~1Lzorw?d<{Iu(F)iDv_s(u(ve
z1vPZ@^;dg<pMFQ_Naa}A6FDM5aVO$`B1gz#gAMtaW{#B9M4c0Kw0&PIJBwTBk7Md5
zhxbhxH@!)@L^fx<lwJ*A<Yc}F$P4)5Q9w^V`gR5O&$29v_lRXqGZzlw2uyu_U*bEe
zKLqOBOS4CaptwS|#e_n*QEWkWL9-~A&n4JMK3V;GZjhUh)HD%m?}{;<+GMHew6)}{
z;vOHZ>g0&2o_^KVBc;pVZm}h{-PKTQY7Dy$3!L1jPnVsM_2;OlX#UP<uxa>%R?us9
zy>GNZpLbakw)a&ENU)(!6Cc2VSSY91=(~^UYm81TU}S<A6PJqmP?MzkeS~sCy|I*7
zu7xm$@u^A;dSKPLUY3)R(A!@3`|+paspP<!4%cR={&ZdUK=dx?U3QO<_}ujb$Dwk0
zA&vougn0Ef2Ew_i6R|Dblj=?aJhW4$3mcPD-fuJA4cGclPNp0zF^q3mjH$sAFGmyj
zFO6#PgK+-pRf9&*ee_Fvj__~t>v671P{P)^YT_?PX+$@JR}gO+U+V|>+^wYsiEhLw
zHsRj1+~WUTZt31sck&Zn1sV{@&A|D;!Ik-tZR-skIG$jvVlel(ea@D!?_|hI^JG|J
zVfmQUkpNmHNIO+nY%FMuD9E>_-ski}h_{pqoV5v_9cJ*i4g8iQ7l3~Ei*=LSNx>)1
zB&X3O6w&4>`@jTsZcD=XDN^w*UDBFP%vh67WP}Y2A480yQMOTX{1vpjyIF~F+}$l$
z0b+MbLtE}g*Y)9c!99|Brs#(ou|@2}IN#9@@_8c%Lgy@;^P;?YGjh&4{4&5V`pcLz
z)4I(3MuO~K)IeQ0l=8~YY5Zz~GwZDrz2~%CXtl$^sS++<)Rs5cZF96@_fd_pe-M2i
zc3Og!<9&pGSu07(WSDwL_v^TZ1A9I2$8auw+b$9N-iA6dPqqsOW(cD-sT`=IhvhJ-
z46dh#6#;@mVD6KAEr~M?_17Op0SIZj?ieVj!Zv?vJJA!kehP-;;TLj~4p(8?m~>{E
zRQN=jsB<USDSLd;Cg4<x{FZLl`l>Pv31JI7n7JtWo=a)plsbW*)u=Yp7H+Oyb)YNO
zM89-v2S0_dz`+tP&wgN~0!TFfc)e4zH%(lNRj+*AmjwX)Mi3%fmzLX18xhCHLUMd8
zWbb9cKMT@LH=<2GR>P39d$om`SogX;ZHzl=U11uf_`Q|x$fzX=4pm#C&~%5{>rG*j
zdBvFVA4befy&VWFKb~Y31vSEBS%d2oxqH<wvW@KeqXc0rPbr|<{)MX~+bX=X%~HdS
zVrSSDH>*YEUT*=VH}`wb?1g@o69nnDT9<HHFa<J(`7ioV0P>@&SekkvntkLq`o%^&
z__3b^0#8Dj7}Rcr(jSHrP*8W8E@u=k1KUCzEZ2v56_+=m9pJZ>AK|vE&f+}yPnIR;
z@wTS7*KwW0;NpMlL3s8WeNNoiL2EE?R*4^EAwG(;dI=UB8<jagekwhJstgvZKVX{y
zlG;(ypb&wjX|AN>pOf9Z#7v5K9P_;GJL8X9?mnY8NL(aYV|Yw|Ub`j0bRJw|D{W#I
zA$QLgbwKh#E278mFVIQLaYKUag>pQ=66MU)4Od_)$5@u{-Nk~+P}3P!7%#`bPbPlF
zf?6XTC!0IM614&dht0a~swk2LF8#t9K&!r|3mra7rIO4{7T9-Nz6(rOMWCci%RO^O
zDs1LZRp#dhZ2o5EcA#fVJ3IcOnxtOI#F3p<Bb$nofDar`!9JB+%HKzgeK<NAdwfKV
zooD+KF1e7~L~Nx|o)&JDpH@`EYJ5N|sy@Z|u?<jPKH07suezFNtK&~M(_;|K_Lyh#
zIqCTQuC!BrWQiJ!mWBFGS=+|o|9RLqGnMgOt7^|Ek-nxHG&)GGuBBO;5aE9K>YeF-
z>gm|5Br1Hge|MxM-?#ZK#DDd}W`ssLIa-A8_=6Y)R~&`f5-NIxEBm|hGtPUJ{o!85
zm1rMVS{}vwm7gL~#7ke>!@;Zw;ri2NAIfR=z|EX!2g<2tsW&nCyGYdm**tm)AMsT|
z{%msOp3I4q9D%sO?_;zpBdKX`N1d&;AV>J;*~r*r1a&v10isQ@ErLzxEh0n@$NJbJ
zi@~1sWQ6B$b>U+0ec^7?Sz~(|3%;2yjOSWah4~*-71n&cH1@JOT}eKH4xah)SaZh{
zCH$34Q*k2ndTN@}u93r&Kte^HBoi8Mry+*I22Z#$CpVZ7q(>WB)kdnNPJuI`W`WSU
z_xOfJ){W)Pav?3eVt*z>;rD7bbo33*onh&qK1eV1>}KVAovho-1|+`?4fErHkQEgb
zYkv8Rl8)TOOY-vYO;hXjhliG2lH%bvI?)zZT@|)M8-2t8BXtj{79tdz;-5#B15ITB
zc#((?cV~;F+oj&})wbk5tx_9oo3)=0!n$K@&UPgY^CkGL!dRB6anEff#5C0mnz$}z
znR1>$%PQQnup3c-Cfjy@*U~i^8a@rJ>fGC?%Qv=hb(pI;lkC0xP1nj~op*m4n!b-h
z4)F_TU9Zh@G@4i`Ds2M8LcI!}a37_F?>pJFNEP%ZT27P*x6ZGvVE7+^*r!m3{-bar
z7O|$8b(8Ap^OII+bIYOOy$=f`8)zAYSEw05S7;9WhRef~6MLb@li%<mh&Jb6j!E>6
zcR;H4&Pe`FJsh?_kfuToErG0R-Acl?m}uc;T_doeSgz+kqCmWK^YH@R^Yppyb9CIL
zI}PQA<JYZT79rBijw|j?@AU6++#N=1yc1JR*C-tf<7Plny85NN@#H8;$$Wo5DnBc)
z-?@%RI#B6A0|kXNp2~$4!3mjJh^cJy`7&Joqq%9Flj*A9%Qnrw@YlrC4F8QO{V%bW
zgOiu{f0?x$|IMuB_&+ggkMzcp%vvzF(%&&1evR+-S`v4gaKV{CADy6u;F?<_(Bjj=
z-9IZ%NqL-gdaFsT!bJO*j)TfTl`d?Y0=@i=QTbXg%zt0UE|=u4yA~F@fbQPjk6YOB
zeF8@TLLGuV&SFr1hL$K(G0U@O>gP%BK0li~gL7(dlW`xaH>%NR;_Jim1k#U5>PZ@9
z*sa0@1G0sXspVf7E=qD-k7q=inwP!({`~o!h-Gf9pF<L6-rtk<xAPY=-EqlRg*-3f
zW*cGN11xRFd%DwC?)Ti3<fNZ(&lM8v3VMCsQ`Dt3Wmnss{zEj>p-W$kFrGB>3@9L(
zI8Fura%PdmsPDfyCn&43{C>0yf1b{~=iY0Y4+ePn%La_4;DYY&(+eF6bKG*Jmj`17
z1BiX*CKDc-Z1$*ee!mJ;7CPnZKtQVfQe@Nh(v-vy5{fc;*j_)$S#hY_?)sGMQl4-1
zXS<tRGs$LajL*U<*rA@I&H2;Oaeci|JLvNQr5;r*N)x6y=Ty0R3l+kS>iDgUi_5n|
z1pLo3OE?sq3Nk6{Um5F^{O=_3!upSBw<!;3s>l3)s5<r#O4Z}Z<O{z)yxx5F*mlVm
zi*g}*`NibxZW>dUkJ?oBI~o~C4eZ}sXR~EOXRR=Gut$-mX-*b`qnrwRHsV?{wPf{5
zo-*I|VTt#b8Wg>kooM$OR$5=%?)C_AHb>#sndKvYEm2+1b0}5;MMwnt`_cW@1)g$9
z*jc(~Y0RfP2`qvo4?d)8^)~0_D{hwaEUbkGa)USDOISbiwvem>>Ezp6k!n6DHM1^t
zYEejXkQ#QXF_~k<PAz7ud=f-FK<{(=I>Bs)@*i(<5OiZ!{qSlji?aB0(NjF96+SUh
z>umNp^H_;xA2dd+&-2bp+QCfx0iT3)p_D!+)^u{dX7NivLwOv#n^3?FlkV$V2T$^e
z1Y>jVy~skN)CXhMUW{486mL3<R6(RDQ_Da`%HV@js@j!HA^5Y@wDT0I826};IKw(c
zD(9g<*{Y)}Wy&l<bdrM7+;eP9x7gC+h+>ToZ4YZcI?Dly`L*U;Z8n7&`~eu6(WzKb
zFKw~<SdBQRG*nC|XK6aFyN&B?hCDy=<ODeey})Smpofg#PC-OVeyH+rJ(`UsTai?3
zYD<CGA)P?Bf~)6{XTkp4@d1ZU0<4%bCgi?&eB3((S<^gDwQ({ry43!kT_m92MNtUG
z;{&A$Z2!`}j%_Gm1V6h-eL3&KIW$a_M$i<-(+Q6efhiW^yrI1P=s8Nw%8K0A;H|g4
z!K-K1qi-n@fP5Ai%o)|i08}<%YQVSvBdf~mn|9L518$)pO2nL_`BsFvQtKa#L}hKL
zOXh;)?NuJQ%2_H0eNBk1yHR0dk~Axwue<%QY|9Xq%G$?$#<(UaM7rWlHx?<39+n!V
ze7-;sY*!Z6zHTD@>QV{D&bLL<ES1_Xyj#%404EG=K%{xc5fq2m;M{j*TLkLTHqP4e
zU1^(sW&0M0jx%-f9<p+c1hI`(v{CgXq6^g1I?{;FXOX&7$yI^{J&`)}GS&pKM2_@H
z;KGa<_&ugRFgPo;866f}`raW8z?|MD7*o^YL5DGO%fj5aV_12JWhGcA^_yUXFJVK#
zx7j?z9w0X{N;f90lhw1Pjq(6_oJTEi{G|zvc$}xT4O<*;jR#INkUFyilznCoLD_Yg
zgp)56i$1_prJw+oN|m7snGWJhdG9wIJg9`skOY^qY!!XgL(j9oh5RA%wS`3<Rb9B4
zySTK#t614=F%D3bW`YII6XNwI;YjubegnF9&F;%EC6i-!C7dbAqMl>-LQlv+K^NI;
z#Z}BfuKo8St@8-p6{Z_M2&2n37Q9BBf<FWh13M93kJF#ogzY{d4DZmSAa5w!XqR{x
zBcCHnezwO+@XwLpJa9(4x1s=*yk~%tg`+ph*C)a}0c(Wz8@3#8waF@hDWMDnmT$NE
zeKUbou()KS_L#0A>`XygpIxI4FwU=G8>dVmw!S0-hw3tXA&mP=;5v(`u_Gmtx22WM
zU{o!{H@Y*zitnf>h-Gp~3{+$LGgYmQIhmUn<SQEB{6rlAxmKeP*hn~E0Q06cgChQn
zwB+U#9SG^!`2@=7ogMpZ_%s`ne-XR8p;xBJA#T1T(}Rm;_#II@2?FEKm>he+2$<4i
zL|=wHfB9mNeD6ttoCvP-Rd>>dG0mHyvw?DyKHeECm(_{Wfy86hsP&{-B%kEh9akG}
z89v)j&1pjRHy{WeTh6|hI<|~A&%5mCpw&x5gMWOF@m;~iOT>&_HP&VD^dF0GQN*%L
z8pr}^dyx%l8}Pg($!FE2r?Mez#$WxInPtri3XPHN4OY1BimxliQsDh8_dIqSzIA>B
zfUmxj%$TKc!gZ}y->QK2yK#?WHS65se}HUYtN=10lLLiTiZu=|38X-HG8ryL4Srpk
z5ZPi25Y;4?wiD%^tGztRQ5HknZgDdKS=31PAQWRT-R`g_L@kF@K;2~CD<|P|NsxjG
zAub!F|NQv4au4PK&u>$(9l(&#f+o|uAau-iEl61r`ra)YyZ(sXyOv3zfgqqYrfc3p
zra&fA42meAd*#}NuNDToyS=Fzwzzp)Kf%Bz%UvJsPu$^32FexX>e6AFQ+yWq?wm6j
z{cvTfD9aIDH)Y{`ntWGhh<mcmh&@CDOCpPXU{HgFsk|*YKX*Stuc@ISWZm(U%6uhB
zN8Sz~Q-3rBLbsy@M7B`y>0jLfM%KxcXkiQ?Hmp3{0u%<NdJMi@O`H1joY%p%aQH43
zCAoUwTvBc+xJ?a2s2}I}m^n?dcH0F~6x$!Ed$bz_>k>)#3p3t@&?Y(XX!mv+ee!t|
zz)Uu6{h&WdEeJuXS96N&vGF*Drj!<RC%(hIX<m+Aqk)!dFY_}4_5<mT6rq#jX5LT)
zx2dgUqKL}`PP9e~Nee^Y>JU>FO?$R~)yngkvix;uVp@hW1YDe_{&X<^)6wnuCthKH
zIddC*;tS5-MPesI9$a9UdbVNB?rk%p*(a`L;3+A{)&W{XG{(z!TCzs$nz0F!hzqYy
zcjn%<{gdug96^1mX}T#b*%0c{{Kp4{ayTaf3WCif3CRK$*L3*nxntL@r+LhMQ#wF1
zn@p3qxuay*hKocdHd}^Ko0yaCcsN%ELoETFn?L6!`Ek#|wKKgxw+!bad|WtYk<UE1
ze;54@e%nep7^e7>)^GeZ`t>N`O0=k33u&p`&Q2{oDO;gEQdE@B?2dIjw}uh#h;1{Z
zS?h1=^sTv4a+VbS_620++Tp<zal$wwEvI{vR9Jx7v~oU7ccN&g{a>MLHd+Tk|8S^L
zI7?K$%o}EGk)*J(3P$LkV~)jrZD<z!Ba+?YO>wbW*tK~gBIn)o)^SW`^9}iO+KaK`
zwkyI4Zncr&Zs|}J3q7h3L06zpvFFp+vkblXIM9B7nH*l7J^tX);<X7R_HMNF#woIL
zcMp=VrGA!q;CIdle@kLRIQC6<sImPi;dEk7wItZ?h27CbX_Wy}xOdc<fX?)Z$+Z|%
z1uR-}Wcz?&?Mej+_>)(su4>ETp{<pjla-J&_H;F~b_0t_r8ao=T=uWRSQK_AFku}F
zP6A`M&QsOu<!$kZ56uZuN5dD)`8%b>>`JFRD=F~uR-anS3ArgU=$BODvN7e8MaP}k
zgRMZ)yjP!s6Zr`97TZv;BX@$$!rN9L3XEhmuVX(2hGVHEMbKb!Z(|`>SG2}#5}55F
zs#`p)c5o$<Pr>0^SXJWtL^<v4X<b0p_ypBx6+>G&)AAqz-6_^Oj(5~N1b9oj8jQ<*
z;<2;s564)yGO<_8S%~Lsa|+$T?V^|jt3;R$+eBO4R($7_%Cdnc$00m~u_jwRZbZna
z4!H)t%RLgSy02I)OwHa00=^~`yPG5pA4i6$G%01?-!8s7vTi6(3EN7P%eH*}n;EC|
z{6tpYCy9J9Rgz%piNh%@UIoxa)7=os13L4V!dU6Ch}w{$Bsy(DE*~RIB_N1gFL*IV
zgt<UYlxEHVH98Det;h2TdK0Ew+Ruuh6O`#)Qi7wdD|yDs>r~2rpLQ*nq~NL8GQJV6
zrGwba%%lBV?t*=<WXj{IhsWGDh^2TOIRg{!n+tfEW2W*B${1#ezZ;>-Lf#9KnW_9b
zAOQz`iA(PH2BZ7H5=un6ZR_xF37;?UxxRS@v$klz3)jd|90!5V2MBayyaRS~=M(@g
z#-m`$fF8}L{s(L{G*Rb~YJMpb-B&0Nylo}Tg=AjURter>#myfyWQqEgDSB`J{IP+_
zOz;rHP%nvGPKxV&T)%HN6w2PPo`l@pqPi~=MW(-C%&a<K>JVD@-4HY(BB?O0`<^S~
ziIgOxWYhGB#*+`E1FDbw9Ig1<U1z}E=+{#cTg`g3+knLCJ{a7w5>cPUaS*5gUklt^
z({7W>Q}8HWP}#~9;NSA}44z$H((nSRRR8FA=)S93&MdxsUOH+YX>?BVzQ^Vcd`4n1
zj%{|fw9U;V!FSj<e)NDIKfC(sDSPuER79?C&r6!BlHenO)QFGybzz|UFsPW+xJ>qd
zhe=eZD<pdAFg&H0S`!Rr{RP1kEQ3uKp+MgrNxg?{10e#_`Pde>sZ3K??<gpw5%~3A
z!1g+?pr&wj*ctaadHcnO2H8gA6oNfxSW7u^vbm{u>$A9lUAF>l2sy0a7ILhzg&m{v
zjD{C-g)}qRiHui#1y5^sv+eoIyLr&dpI-B6MI<Kw{mt$CJ7UDMT`@k33zZD>X#l<3
zp{;IR3P;9_p`+Lr^mwS<Px^!7W_wFrf`nla^`(Q>uu?FG?(r*JUeO6}I>_l3M`mM#
zJPmkG+$|?SY&<!2{g<p(Ea@a*Ov%p%-o(}xP-Y8bs%RqR(Cc*>@MHIelxQs#zE6ku
z?0mCiOa{7wN`E;J|LWL1QnK`OxVD%Jms+ps7eX>uGJP9bc1mV5J7NMfH;bb#BeNO>
z;mD_AI~2B3+D4T3@C^tflZ3T)GA$j+C-uvcp-I0NR4&h_3<DMEDc^J`h^iWW@!<Mz
zPGfC$Fj=b6y{0f(%i?E+u@HGptrL`yUy-fr?+rTqU4J9DIEWu6tyiB(J{5N&w|I0u
zSM;3LnF`nNtNN6`!m7Obwb7dS<~+Ui2y#G6XiU%S*8v1tz;{0d-67i)TYhS$N_aY(
z;~3zO!I1UoU?r0KDirMyFufPHSzqGI6aJp*a46%da~GD?8}X3>dL)~N8k}G&QLYfb
zJgUEV<1s|GNjjVD<BX<)IGreyr7Js*0@Vt&f11AJNs;Q?N##LnEUVk^t2vXH>-ql7
zpiF7=9_w7%9Uc^e(;U}heL*<l@}WnJUsHX-x$J0gKHBR#O9>ipX^zpX%k@Lo?Wo(n
zWt`M_*Y9u`y-YoJ)EY5p=5)a)Lt9hrK)+l&=q+piwwJLl>Ra&w$&ELHG(RkbuB&+T
zP3bIkp2OE;i1p6TKbIG{W4^+8qjDbnHu|IKI)g_GI3hIq3SHW$yeF5<)s*_D19WH=
zG5I=R;nv^g_NWFm9bG<8OQFvJWyR4(m@vMG&%z_f?eHK+lu_t#m%2AmdZNh$!8mfq
zAQZpqZ0ZbuB<jo<3tTBb7+8X0E}_)S_710K@aUpxKdoZVc&I5n6J&t0nxwj(H!~bJ
z>pZ?`(u&$)5owHW<KtdrPVTmp9Y0AqRqZr`Y2mFUTPkY~jX<YzcrL1HhN*n}i&<gt
ziwXr6?M(?rc2GY7BPh(Rgm*Zy1&e&|OL{gQl`N|`%3cr}Gq>w_dx_)n(eZ5xB>&83
z(@4i;&cenb9t!e+PZ|w*1L?~<;I5k|y~Fyv;{gn<Fg)@Kvhv}@bn~1e0)-sFxHR8d
zzNkeSU-Dj;Ce#BfjePJIn^AHJJnX6Hzdi`dre`qS9*+j6B1DP*h4=gi$N9%0;^6rI
z;XVJ&A>#PIaftNR+^Jfy{hl>n8gnFYvm&}#!xAtCb}3C8?;y71A;m1k3hp0yK~}3(
zpta{SeeS}svxwd_B^h0*`E9BBj-zX)wMk~}m-IgYzAm?SuUB{X8_4dze*N&k75H<<
z_Wu0x^KQw8?Is;O1p+vEy|4YaBkeofo{Wo@JO6P3`+oLwzxdQaQ*<V8;xwXK%63^^
z$EZAjF(39bwJ(mlhGOUGf-*yGi@uUlJ+X|D`OhB@v{=YjEpH=_Bo$7I3XN;*Gh*lK
zGt)d02zB|&J|qh+plR8x)2-F7=2=z#mtUu3wH20n+#Vxm<PoaHLi$&Ad3zM$z?Rl7
zzg0s&Fdt)YDy<6MK!1vXn{8fpzt2KI#tf&0;|EGred(!kX0a_%-gErqMKo^r-wew|
znPle<^`Y6?71yWpD32z;iSP`Q9he(ZJv!j`4k8-kw{;0}?}s0M_~075U)@RJ_i;0i
zrXK2eSmicxeCNi^(&deQyI;X20as*NePc?K44*?N%Nky&0)2`>Z+7-w-URD7!#qaS
z$VMe*g;VNWPBGRozhA_%Akm~3|Dp!;HD}!bd*$mijjypO&QHgZ%lBxmx{rkvsHVeq
z7wldx5Mr4AVLmS(n2$LS(w+_wJ~2qB_AQKnrsVUw3<(Wo><8w<v1a=Z^D$(<7W`hk
zqtb?<&jg6Dc&o{^+w1v^Z!zpx3|mVvK$AP~-WgR;f))+&{@eMXO=I3FtEF(&N=L?E
z_>Z#FRcuq5l0o`Bjb^FM2jo+H;L`6nQo_p4+j6;DJ;AZJPA~H8cT#u^t`q<=C^Q5s
zu$r64@5+v`@JV3v0CikfH1np?7L5IIq-C9TZi29>QbExtKadpps)&!p=>YKM98=N@
z!9ISO{~#aIFX;;LgBEg!R^-XpZPW%X<rQMPS8DdQhu?Xk+S9XWn_LqtC8k#Uzz|zN
zQ*oeAEB+X5YR(q@0v_fN1LY?IM7)k&`?h=jD22|<wC#O&9E;$?c}3wlDWe_^>-`oE
zfYzPu^Fi8&;-aAI%V^jpUjlSYdLWA@JgBT5sS>nFTOx0XaLV}oR)><-s-}Wxw3<D7
zrhS%g&oNk5jSldAFS0KUpFWQE6pYuu_~8F?(cuVE2+%LdbD>e4{eqmg+<$GtD*>D|
zCn(Iw7K#)wr*eX6lx)TfS1-lD;-A7wUP7BQNgD|H%qPw{d+}@+vE5T-4_eB?${1Gu
zie)5YFAXRY9)7&cmBmD1&2j;-9_VNek!f%cV)Bz&QYw9sUme1Ca^}ZmQNfqc6HRdV
z+q-)x-xW)l+M&&9?Pv`rqoaVJvhuZtBmjA#r70=;4a<^LC1e%ni?s%u#Kp>AaHYIm
z<Jq)jw2GOnhwJ30FRC+w#TX`?KS+!PKGdvEykVQHdF~~GD#=(sTsXcd;U^cB=9l5+
zX(*SA8awO=L~+FzKE<aiXG;%)E-=DnwXg9Z+K`#>#hPEB=;6;<8ggdz7Zgt~qtADI
z7n`5#egrgGHn3xTXV5KITU!a_P_H>X#qR75=%-ONpe(kVH}SlyZpaC{?>t?8mTN<~
zw0<JIo0><vU!ZBucPS)VI<GMjdghWu5_iVx<*qeqVPVRiEs!6_2d409ALg{WHi>f@
zAfdI&+$ow$ZpbhCD9Vyu(0MC07cmO!U;p~zZGa&L!4la%>IZ*|>1=?h{!Sv1vP6tY
z<yWX6NAX5m6OBLj6n08kGw!3K7NA|0Z}QLqtjRGp)+t}iL+z!^B%dlP)s%%Z{mQRX
z+<OTfNxLiZI6eXas>2n(b<iXI(R1j`{u%9Cqxg&0H-2r|tTlV6D5s38$}27PJi{NB
zoJSy^i44tRcN}qwBB8HDv$W}I#T2>YJU^(^AO)FAz&S{)qx=}!J)ot4HL|jqrNNs+
zKB0;XmwwvAZWB9FF*VXBiXfz-l$fGXepQh<M3{npFx#f#x*gZn&o2@~U^K@ha^EKP
zx(c*>!h*22Xcm<lzX-|*$y`m#AQcgc@1&E$B8-o7<ZfW|$`EgrN98b8pDd@2jdWL8
z%U^umr{30&rfo~PXksME4L;d%Or@?l`+=UWm9+XHS#6M0ZmTprxR-LVRvyKf_C+}x
z*Xe1^3u}85m4j`!ff*1J)bhHpxTv4gtkyv$gH8kUU#)nU1WI+{fRd=^m1zf6nBVfO
zdF$}W&MQ@Um@Jc2V_zg%+I8Q_(f8}_gl;EbG`$0{c;<r!JXaytP#w`WKdIZIa>fM$
z#kKk$BOACP7kL&9sYM@#`5Pn?%(B7~$?a-&&^)uaq>waMEUcW?WR^tJ7`%G#8$@}x
z7-s)celE_Q{cB@b-7G}J8A)x>Qus>$A~j*by01Pyy2Ztn&0y@hWp9#@+q%&-o^P~a
zSw^A)$;aPo`B&md$kIyAC1Mor$<RP_pKGGyLiLg$o|MZMW9{9&&VTjBII~4MrD_c|
z5%qm|(497&&?ps<D%ZF93GzItd&h;Z$EC_-V2*uB#y`>jGt&x2hven<hM|K6wpFw*
zr`Q;T6XtbhY53Q@scL8HydvpJD?;yby(FmIhFA#sfg!sg#Ec46=gPwK^n*4`v)Qv6
zIuniI{HKyVJmO*D)DL6FFcfXhVNIcOM2fKR=7<W8G^2y^)63ZkZ|EVg)2kau!>@cg
zap7OyNS^XOj%Hz_uN>i<5x^4=8%wx=fThkNk0XNPgCkG8`K>$A_5KB$V+?Hk-uKcZ
zikf*tYYWj)q`~SQ5`pz83z~I!V{G`mTvv4YD?a|p1i3MmLtoGN5Z|FaM3Hf-gM|50
z8R*LG_+4y?AA6zY9e_MCG_~70an&d~Dhdy(te4eho?WbR_Hz??=f%{>2Fe$FN91|O
z{m9Rbs;Et1>x%a4^dKK<lK!VJIgpiPqE1&>B_&M$m4)Pj@I+61q?yM?CaU}*WN7(e
z!iCUkVxyub3QMeR)#tRh-`U2?O-;qCiIv8jWyEZUf+n<e#N00FK7!w9sUQ_J1^DWc
z4uE%*WX;hUvRIOab?3k==k%JWf%oH?{PI{#>uZFCXT`E!$XD_F#h|SFJrOpr96o_0
zS$Ygu?vv}v(=O!m_W@)<V65Ivmh2Fn-i`ONH7C+@zIxsBk(>Tu@SIiR^ARekp3KDK
z^HFD;%Z}|Fa1zA!mEB<u(!wGd4fW?o!Gr~z9;eA|^?k+*IH!QGQZ9zUU7HqkL@L(?
zYR12&TV4L<v8gHEnmMp<2-keHHgdn-RSq9j{V3mWzSsVl^}Q>P@FFMJp8MQ#wd0ds
z*JX5Touu42ikOpCJb?4q**t>|E5C@ePbJED=|r-1yhyoLndh6jMwoTjcw!A)STGbO
z(=X~&sMWK2QUv9k7+zTJ*d$kbjZhE_do$J8vkH~%g98&l1(6^wU-vB#t<Ib84mXqg
z=$kTO;0re;I47<~;P8H6AX3|-jb(J8DjoFAY%jQJ?})4*EF3sXjJkr8m@%R=DG*b~
zdoF=F#lE0*&?0Zd(UINNASOKpxs@x!D3>*U%gy+#O1uAMZY|_qUFZ$VCK>$pz1h$F
z8`C|;8o$Ee<kX>_7%SkLn>Wf@r%vrJf;Idajkj1^R1M%Cp`hB+fZB_10xT~-3f>1h
zWx}Sukw+aDLh(nK@RLn`GffYMl-?U%`i1l9V&{eh+2lqDdQd;JOPdG6TADvp2+~sd
zsx%m>IU6x>b(u|B%B2*UW)uPnDhbyQaH3yqXIiiiMjk|DQx0-Bh0p;beq09Db!^FK
zb>)|2@pHzk22^n@A%^0<E**g*EnzqM`W=4tCL9QbwJ`Mc&K5J_r}y0*nq}ujY)NFa
z=V~#XrcJ)5-@U&&Gq;x*xqhN3w%#l%=DS<(Xqm|AO-7ju!V@M*2=2)5Y$%6w<EuM_
zToUo_AN;kUP>o)u9%8+85@NLkQKL*EUkzs%_S;ZV1v<-%V`_`biQji$lyijo`-o@g
z_@H()TR8J^xqvST`5zv4&+&r;2%F<Y=ujwhn*q&7%S0ImmqlV|DuHGlG_Kf9n6srp
z=`}I5X_T!8@oj>NLA%gxi<7TSdlzH{nT3#+5ru^khZl`FpT#`jcsGNt>aM*ndq()T
z7#v+j5C@Z9eNAKj)NE4N@#1wh)==vZZ!BS<zunH0H&$nYsaE={grt3$!EbjLTx;Gv
z>RSEVdA~<4e?6`4uqjM161_&a<SUZHSNqB}37`nTrgjZJW1UIp4ap=7@n3|%-F;8%
zr;pI?MxI#$Ei$o~$4YG8@~{Te1Y+o6@RT5zvew^R1-~$R2OF=evhSbmOG0u0dzuty
zP#&3tmrwK>b%2J&9!u7xfw9}_)u~W%=eZ~oQuf!KhWHN5T{uRS-p~e>AbLu3<yz{G
zjg-O6d}<OVVsq&1CAr|uZXcgRsoeo+nG~uJ<VV*<K~W#93tVsahwRMrU&7J+%2j8O
zi@AQXA{gYj4aHz_{Z=<viZLx~?l<PBx{nqdPfo4}B+sVnHF}zUCS{kxg0GW?b`ctt
zPJ2kqxKEUeYZ$)}#XPi4upfYDO@YO}iV|ZVR<*JEZf*<`lbfnq8W9NMCLRr}l0wRx
z=PVYy>};^9JVdD8G$<kpjwNPUkK0N)Uc{5>p3dMG;v6PS!B-_qPcj<i<t&5$6}gBP
zm?Ae!R=Su0Hy>2V`VfP#2F=MyogPy@%)vuU%UQNRUCDaT?qV$;@@iYj8pu&Pobzws
zke*4xH)$mrtz>P1=H)c+{us*G)ki=kXMFUoTIni^v1Ak~y>0+ilYfB~%&XHOZCmI(
zx9zP_9j+(tbB?IdzAN!>>VT<%qmZF8H_6v@M``&v?}4o9F3$v*j_XGgh&aSM`63&~
zTL@3b3)jVhL7uLih#XEMftUz6+u>|N{}Cx;nqVeiEtoaxJ|g8UoJ$Q#oL9fI$(hy2
zUl$X!Zu?qxlqHGg+xiCa*YRTc(2*2N(;^+tfyCM*+CXe1saDw{2n||7>q7+`32LoX
zF1sD}JB%^b#Wby6kK|+%=P^%b`H;V4NhVbbiD?nO`dWCb`9R*XecMPc1k1ubq~^iF
zS#q{}t?0~%InKVHhZ#b4eY9(==n|W5vgFCtPyB+-h(BDi-{c3^qsOv_2*wqufp88l
ze3>;TJ~=g&BYQuJ4?0-Yf>0eMYsOeROa=JMAl-T|@B*{uO%wyO?o9A2q>e{Q_Q0nD
z?I;|U)2W2XB6aFUU}y+4C1{WfPzDb-aF~wSQ3vJbm05_{oICU0Vj@9i!MPNP77Dxo
zA2pV=mzmkZ_Yu+oU)A^h8=#zMHKP9XBR!Dmq9O$!HZ9yeJm8VR>h@mbu$z87ZjwCo
z)i0_sHDPFn_>K-1&Zk4L3>!9$^E2M8=rXotL`|VY!F4|lyMY7zFWf9j=$JR;$)q)f
zdtOF^p8=dQaB$}qN=a6FfA7mD7R}JCGc>*CgiF<=3p=c^Ra#C9m#S36m&zi7vFVGf
z7sUFJuvK!Ci1BAmFP{FKH@gCe^|LNXb*ZsqXeKW91a7DcI?*`oM_sh%@x^JVCe$2b
zzo8aR@WGvU^J(acn-SQso@!Zy>TjB6o{5y8EcI%q#q4j?+Tcht@ea_tAiKHaNOQ?H
zI_|N3OMk{fpT#0;lj(ieI0Wb?4R)7@;pxoE5i1wmC;Um08@}p#2Z>4KZr9XV4mL$R
z5;AMdnB<HUC<e2^m~jmLs&3%P`sY*vL$`Wjp7X<E;o*eZrM$wC5rR>GQS@){NAT*S
zrKWh!)97bkgvf;vNRN`7r0Ik!f?N&#F$7H(fW9DqWhTH3y0zoxy9L~I8$K-_QotJ`
zA0-rltiNc4cqBonCBLipKE@-e6DH_fi-$lEveJz`xti|A@Om*STkIkbi<+1_#)Gt*
zHIA9H=J-ZyUs=yEm1g4ic$ApHp+RTTr!!N!FT+g_0yb()i@Ewjn%ky%vH0_2lE04j
ze;`<q5|rL_;2(M7$G{y};q1u%6<`Xfq{9|jnb-xh`2%8ZNcNf9Jq0562GN*}cu1F@
zCHJamEH{K36IIeYeeukrMvy1BNmgkuk1Tz=dkAAgbp5B=zB4}nKWQBE9CyJoef~rz
zG&S;Y40~Q}IAsg-X4emPT-hu&BN&!+$?0647Fv`(9A*H~s!%B%b7E~f;%weaBk>b3
zT1iBpAzJ8-U$#juTv2z+^`@{FF_ZChxFRNjoG5wLCHU5@CBu>F=8TPaj<BD(z_4<K
z$2YjQb@gBjc{1crVlD><qcMkrFCIGWX?v&{LyS)DY&6!Z58FQiAfNw!LHKVZ?Ehsx
zar3bLFY}4>znM>*|0m|tC0I8Z+=A(!Z@?PgMCUvEp2U4cMuuycteiRyeai^rK+1*j
z@@#b~eWql=aZVI_8CVFyCh_)(6Kc^hXj>G#&+pMX{3s}x8gRXHvHeDQK_a{n^?tAw
z;Li5f$>2{%KvTf$2T4LdOM;;MX(itM9R5iEUt^-D|7lD#b>$Gzr%%@<UWvY=zxDY)
zjfq~o{WL^79%|l<gV7=)Wd5V1%hTUl7-uA}8tkF`S55Hwd}n?)Dg2WhmP*;29XJ>{
z90M#|a4S?k^RJp9TEKX*meJ|bH8|>{n&7D$iT+k{wDR}{hao6VpJbcrqc2gc*Nb0x
zVGj4%jmA8S9MrphIHn20)y?&TZOtbpLgEFQuqatwuNU$j-OOFFa?o(%Gzsxho7SH6
zYc=qrEz$O~D^d7QCr=VA$I*C=RqAlQkcSuTJd>Dhi-T^Do>$NEG>oUerH`+MQe~72
z;XUa63s5^3H4h($&c6H$p{+~_t$F(_#quTTs<h>WY-*WtkHvo_?JflY!9T@y6!x1-
zsm655edX5uz(uOl1un36+>D3Yx&sb}XJCh;HG(he5%B1FW&i0*6b<~>mq<YFUtgl9
z4;kH*KFF)Z6V)->6u(Yh$kO#A^J=Qhb&^4pv`jwSoMr6PoLK~Hkch$Do!hb0L8zDg
zx!2LYy6fW^ARU5yT+;OQ33G3uUn`(}te{yT8{+b}dF#KnM0e|yXld^AxL!h(bxnxd
z6NAarevV6JWgn$T@OzQUXv%VRM=Rckw)MBfbsQkJxUIrfWKF6xJ)IlErx5niB6qV)
z@pB7tVg4V+zA;FWpxt_G+qP}nw#^-Tc4o)6ZQHhO+vbiv-|TyD+&}N1FQOx}yP~5j
zx~lT2JSR_`Q{(wVgDKMJ&6|A@#w8{<?jciK#m|RYh=P>>cj0;GOCI-OO5>e*+TT?3
z{bUm1BC)LP{qcMf$);o0WVWtrf(a%AIi_<wVFouNk5|;rpJWrvM{U^sC<iEB84Y!P
z+NX_IjLXbZg%~eOZN;aI5JHxvvsTqJpxuRArrJPmbcb$GYoz)_Z43u#lB3JRq0K{b
zWc1(89T3`Rt(Q|EDYAc7`x8GNJ5$talt}#jHuZK{b&DgEm=XbhCQ1m{vqq_PYEns+
z22g^0u!g4-ZGZTeu=1f{fAq4nh&Y||!yzhUJTx|-5lKtDr@nYu@a;)5{Negm@QsAC
zay=eqBTdtMelp6$o{Y>+?`muXm;Vc^Rk?n(d4#yzFtb-6K>&M)K|yM?`g<t>B675m
ziQ9-Y?m%=|>VlP;Xg>GSst8s%FE#VFA`^6ey70CaD~&>?QRpehtMgddkM9NIgWyCt
zSmKD%+y>q-_9p(_-8l1lFs**{h9YJZrP6D0x-cltF^{*c=gY$Fv%(hZB0FE!v9lzw
zvH7~}GR`h>^BQ3uxM(;rTc-PbA-<+OcHubo(ok-yNUk@GBekzxo+-&E{%19*P{TDN
zEN=fRXT=Rtnw!3aj*cTdZzLEIr)6{}0lKwRp6*cD-Nw%r((43nrxN+G&@lk9v{iEP
z^P&$3Qn#NZBo#>d8!!jlbk4FcC_-?`ceFUAeO&_f@9uu}AzA*OPL7znc~fBQA2g7f
z1><2~zL>B3SI_KR+}#DD*cc4_f#spQ<Au^&`Cf(Xyr60DA`@Xj%6vR60A1Xi6^Ps{
zbzpwJ1*A^UoV*9Z28fvDHWEiT8MLU71n+v!w%mtS+w#ZM6|%3Zm!O%ozD|FRJ|;Wy
zkg)g9a$~Te!)Z#@gQw(2iSgIWz)EtT$x*%wOD$bIl_sm@0j*SU)vENzTC^X|$(ruM
zfr*Z4wO|mawSo>BbeOJg*DFAoz(%HD*pBr~AWMlrK4f;5gqac{D{h4yCe8>dai*D0
z%5XrDY9TVDZ7T7~ifVz-Ut}m!8Ph@fYrfY7a|)$By$7IO@vEQMNQ{}?XiOiB+Bvaj
zcX`CZpGARVSbVL_fQN=BHz?*zB`GwV>0TSiOOD9-G$E82ogeDQOWze|4kolv@lt(8
zzK~=?ktQdn{1B#UoKC2OoB0sik_Z>loJWI7ixDftYiPLM2pUvD{C5=G$EWe*E-^BT
z$P^h&sjS>=xI|b2bv$$9c%V(FNS+0tBTV{eAn5s0Y7sk__O<Q=ToTGikKNDXj%$)o
z86P&q>2uT7zjg@N-*SR=YDUCjk@MMuM~Vxm8O2nDr+D%miI)le8*?wIP#X;~1Vz`^
z-v<HDl>F^Mq>)xg{&}Pu{Q=%}_kl%}L&OA#wf$YwvaAPT^H*Xgs7;>P*n<c=OdSB{
zUZ#6F1d>u%QDFj_tWXI<wLAwQlP~<<QrbBPlE8hSmmGEK-wXu*v|$gakdH>lP6r_W
z{%>5^0t63Z8^LLtf}_2On-dRUzD*lQrmq^^PTQosfcXhLG5)kVrTYai^X|fMGU~>c
z70uX2M02Kep%@p+vR6?@Zbo4N_gfDs$8N{tR^0@HX2nV7s%dKO(qDl~CYjlCq}lWd
z23p0=Br{eT4TQ`?`raaf;anZpJXq&i6V%l}G8)FHBlNR7JdzQaMHLd}-?Pg7=f8jW
zhUpA^pnD^(w+<J*#=%gZjI{c>3WN1yR^}^)jT5tCf~S%MmEkp#1p(`LC;@Ousz?pe
zd*Wo0CT3W&umBp?oKw3orH!=bwe&h$$938MbQYai@*2cz`-P`VXaIZXHuJl!nX-wl
z1@J>;btL$eO3p#Pv_C)%T%actj?f~Uy<$b-Is9Zgox9v}8jxc6o8Gy{E9A=_k*A?s
z8PcxK_}u$!D5Vx0B-eM0B(}@zN}NdS7B;0gVc3mqDt`bSu+!LHhaM5E6LsvAt#}Ki
zjxPv9ua=U8SI4o>yM4<HiRjC*`Wadly#W>3Gl8>%0of<L0p;<lZt0t1^{oi`TeKiD
zzEZSCNt<GG3;{vBPClR@nBT~L)|qfHH`j6JN%@X2w&yd8mq__kD~dTQgTmyO$}Qon
z4fmPdoD}DA3RJAXy!ucPJgswaAy8wf(rSD&g*-=~Ah6*V(%PgG;=7zf>B@Z>TInLv
zgw&nT1lXmt+(l%JkHu&tCk%r0c7DEzAZff{E++Lr4vPM+>KLqMicWOXR0oA&@l;%>
zA`r@;to~pE8i-gY`@*6@PM%3J+&hLkhN)%R&qdV%LIZ?pXxzS-)z)5QlaJE1Ks%57
zoGh!q#q_rjNHEkAMAuW*RHo`gmZ`zsvZfu66;Bj#_cH5`rfjpTwjG<RE{9TnB$l-L
zzy0d!;R}W1vA6CzR@>@P>u}*hJyfC64Jomb{MClifoYR0CR~A9fw;p&rTYnYx{s9#
zs|au&K|=Q)E*eKjX)QPD9$ea^sHP5E0@urd2Q^o%Pb?W+2C@}Zq^i5+xpp=zSYc<l
z=2ofig@4SAKSHvR7LkIq(b|KKMIs)0gpGU%QmF^)5RdqI?%W*+B{uZx02FzYP&Op4
zVp_G{9iI`u*+xZLv+!_Nn^urrQv6qNuO`jbNy;-hsM`5x5kG}5lI`<XCV-S`zm(Gm
zh^&Z!`NdLsfWLf~zNKpUg)=ttd=$#zp>8!_HJNgKPJV#2K7=;4W@3o@8VPd}P0F=l
z2WBqw?yp)Rxak-ce_Osxq44zKeUyCCuyzxuIlARvYI`5V2=Ah(lkK9sfG)nd^Jv55
z1hW_*wVn@#sj^HF4cS2eC%ZHtz-nU;zDE>`m}Uy(L~ywQ&^qS7Y`w+U@iaW&@^nn+
zv$-B!69=>vyx!WaczrknSz9$Z|E@bOHD*`d;<&!4nODJO)9u6o)5;C0D{?eQ^}O_B
zc3_C5Oq~TsW?Fm~$6#02WYnplbLi-^1Jg$0{Cx653x;!(+=@i9?EjK_!?mE0XT*QQ
z{W&E&fO|cWuHbB(@pp+s*pR>`++0nW=dKuq$x#m2lhH{f_H0PD9O5{Wz(mwDuo{vW
zF!xT^E*Q*D7mJs-jvO6rB=M~m6#(N!ctV}=<@mz$W>vIN#Fhce!NYNlg4V(Z_k~qP
zR1wJ+Br^Vt9^aAh74{ZvDCf$-R(G#ar5bIW-W4W5D7b*ep}Z6vV|z_#W(USh!O9IV
zWgE!3Tu{X0dO%A>)><3FK^lzHb1jU1>dwd{$Hqe75ES}R0sh^}M$;TEhDLl80JDNB
zzKHA&MtoGx#b@CeNo`?rIWwBNyr>P&H)%~^l7Gu%)^4WKx2W>u!LqWyvDLGS>tHX0
zw?9iK=Yrlk$jhkwr+_*12gA%G=<n~}9zT^Rru9KAaIs3-(X)9=z7mq`WwUi*Ile@6
zJ$#=^m5)U*dXs>xZG>ROz+I<gTYFClfH|{<(q+F=uM2>!UH%F^&jB_v=$-O$`JxR&
zdn-EEiX?37Z$pdIOC|5CwGyS?1YYEJwX1P7Gbz0!#$g*D$UHbLymUPFGfqbjl=!j@
zo8<xz2P4J}oQPFMdUuZU&)K#*Ft5p%;o7dwxM{L)#?*n^HfZpNyK=C7ewSdw72w-B
z!Gw4se~!MKsT~)`giJrr)mXaxoqgqdt3w+eC|51Ow>IQ)X4b!{n3MNR&*7*J)m<he
zU1*sL41z18O1p{W3VMh>0%XGzYopv)%3UUGFBJ~&bE&g|(v33lwM7|o7m2iSB2JcI
z)5u$C5e|p_&wZR*SYoV+EMXH<iPYRe)wQmV&fP~&s+cWpl2t-d{F{n%jbI6&PIR)F
zHQ4zfpI5F*VtTgxo^`l}Rh$8`ZdK+^72Bc^l0}B2#}BL~+V(8ha8i~js?Bvak|AeK
z5IDB{#}fH0_l#hxE<mhUKLdJJKpEuzlNmQWWs!eDVdW{!a<S=mvG8YqyE%rrWp>(#
zrl&bqCVipXFz!H27c=Z1o2;~8eQt9wI<6JYf%g!PTAQ48PGV)5NGvY%@<L;lJ!C-Q
zf}-`DQ*Cn%XpNwzb22m9?bAwCWU=Lcw@w+tCfOd8yYO^#i^fGD&?#nBrY)WTWOvXm
zW`=-yZl^vNsT&wMLn3xtJw?z3xj9E;fQNi7a}2WtnS)=Njsu)h@gBWYY528dV+N@!
z6)t98L7#7p)18SonGF#R?v{9Je_A^~W8)oJ)L)kFs9LA6Evbu}>X5SLltCu+WpeYp
zegeI6L(Q8AJ}zc1EKt1|s552-sb?)|z=skc%aX8VS(x5bxRyk0&eZF}HqJohP>rRI
za5#@nI8)~zj2!{P+Y{#xHK>CU9`qJJ>AEHtCRnlx?$aZ3H$CD0Mk5sA+l=4d-ONER
zTNZ!1Kl39|Nqznotp5*O|0i%^=4AW7&|%j97Pzqfe+pbQTX6ot`UHH&7$+zD0`D>G
z-)Qv!?0!xXco1MCVf0|!ATQ69WgUg`=hqz;bYx_FJnlNx$$UvF`bp)U!FJI`@#1k`
z+Fu_Z=eKVmdoQQ2f;jlN-JGKzF(XGYGd0n6dhtR$Qp}bIi+}GX20n6m#!rh0mgdA+
zMMb}zU0xm@{sz@6|D*(54FNN1{!0m{Ihm27Na3UAPs<%Riy&Fvez%aHp~JH{BKt`R
z5JaNJtoqtGva@uQ{8>8-r&zfBk3$Xw9saym&YaUfhn$6+I~p|&thXv?M$7|R*yoH0
za7Uz{ykRn#@wtnIjY5K?B2M|98HU7rv8-(gEHkOxoiPR@n7*uTshlX){-6b7Po;lx
z!zCk@zfAq%qsEzj400Y!@rIzp*X8L|Qbn+C)_7p64j$+zb`x)AYGq+M{U81&bPi*5
z%?x{-+}-vG$`a!A6ny@^5%>q|3w~fdm_F_gtXKMYCJ%{$Geb&lj3{2PWREW|`g|ys
zh`*z4^3SYv7MBmsWsOsd<FK)mu3z3>i+{o>IZb4CZw1Qwf%S9$V7*uC_GksWf1^A+
zKA*Ht!cW|AN&!ic(%l1(Hyp@t@{F9j`Xy<Sdcq1oi$w6=xHDLk?cOmkW+E)tF|g!s
zMB{Z?Mo!1#Q?ym0VTjw)9Sco}7}A4Voy=diw4MBgV?j>ynxcP1UYr@0bE=srY!Z-j
zb@gYS{+Z*Hu!RMX%6n$62pj3i-s)v%qnr&y)U#X#rqABeVCenx#wloq{_Vs^Htz|1
z`jw@IQN$7~A5;RYR*OlviGrvT2UEbzB5+$S>gItsbV$m14<A<8ND?AnSt=>ToR3P)
zfotK;gDlDfH?6@HR}K37<VDt)QY`3C#_gTW_nSyQC9N{m<sYs`13A_^oHmD>4Eayg
z@B~np+GOB`F(6G%ETYHLA#MB|QCk2Sav}=f+zD!YYH@9H6BIoP?QV4W(6we$Ikb9}
z#aSu!q7kIUm2KYUx)AB12}o4#fQT)R-CoF8zvBE_#sOqj4T7A{*l&cQTEL-*dS{|+
zzH!?F8we;Bt5ilZ8OABp`Sogv-GM|2(>R!2_A!+1A^1}cFe~1MV<D-u)>BRDk2AOX
zc8dta?{J6kIciYY^-cyjqvo}Qrjh{q^AUYOGv%RnFP#MWK1gR4h{jlXP^q=GBYtkN
zGG?`C%&a&}P}u~@K?MLbX)I9`p*A(CO(n8}f~S(603L8SWY8g;Kg;tAVZKUoq8@lw
z{Mk)av0=Ln!O)&&q+A4vR4f2r!o}*_7$w+@<@`P{00_4Qq^u~0a_5b3@ps*si<m}i
z7$jE}iO8?dfA2mAj_CPiS5F}i!XCc?jgLj*?piFcc;pRKf7^et4ut7FQqgpKOpCJu
z>|t=L`LB8SiC}jMEq-{K=d>VJ`3rEyvr;7@kFjL=L%tFsJ>Ov<xh<WS{hR!AQHk$^
zRYi}_5ZJycpB|mF><CkU=gz)>%|4Rfo7;$z!W6*_S*C#zP>|KtIX1l0#RDohe}@w`
z=WdUOWfy?lK3L~&@y~SN25uD`KrM|il=&*)iXxfL_?^p0Rce<a&=Ld#wDVCd;$HO+
zVk!ax0-jV{l`07LNnVQwg*U_?n~MZNITKU$M(SpA5L4w^W)tf&I3Q<iPim^C=FuJI
zg9ZiNP+JqGvA*r}r>a}Za8u^F8Ouq32T?y;R+4^}JjT(dkhb@tMwAX@O3;h-9uZA~
z0yFB!Wa=Z`teEAK|3PSQm}h<2le6%O4qgv!)`V<1d>sNC8fa%Uvdx{!ad6>Q1Yn1%
zToT2CfqOJ@odXI8h?<w37O>wPVc<}y;?ps(2dJX^-!>8){N%DQ;8;sYf#i{rrBGf8
zvt826N;w(^<`71(e_||zB{s`ndQy6&#TnFS41go+9HSYuMYaWl`-?-pjlwEO$CJ2b
zVa`b{2f6G>lDd++D<zwxM3?k#X&N^qM%lFi$=jGn-vg`u3)NITlQ%3znGIko_g88i
z@n47W?NyU1iq30~*9Dyo(veNld{rUYFkIf&6a^>Rr)bU(cui6(b1F(}Ge(V(OO-=X
z>k_1SE!T1{67+7D5`{BY`_h<Xh+LuS;1CmphL%)VykJXn@iA1a&heRRGo!}Lau36?
zM@P|lQ1UCWR-`1~v)&SB0m+kVIlcfxMzehn4WQrcOst0PoaBlv0|B5&?SYcEMo_BZ
zeK3il1#xyFf>FMwGBs>}caNtp$?_diW4l?kg(UcafPf7i@9Bux02x&KLY=GEV<iZy
zA$>(FAj2w$0BfxbB}{1Hd{v=^_w!lN0B8+k=4*^#GL0%-4I|6)O2mY1U|vb1$`1=2
z86JX9qd<x4W)}=0Iye~7`h#py7sAgNMqxFhjkN+Gh?3W$E%(y})OuO-Y#?yKnFLx;
zN6#ZM)`!h>fYyfLs({vDDVg-)nDRtDbWy2HTeMy{Rw`wr=Bf$baAce<0>;jLYb?C^
z_SQX`j6#hB3L}U9=-`o){yI78**@P%lh0H%p*6v{!Tq~FYs066CUDZPNH&uNaa-UL
zTX7xDXiFzfGYl=~TM~vb_HGdzE9dFRij`h%EXuTN9sfid15mn?rHI{rc4;`o1fQ%D
z+nub$hD#i#`DIlyLAF>$|69RzK8*#<_V{0QykQJ#mE_uVNeS;Fema1wX+lvM+|PhU
zmTV{cqaV*volQcCqO=`|wFVZDl&Z#nKE_~Xdv9wf7pu3?Nl~fQ0TA}1X-QtT1ulyR
z&JjXwEaL#e{e!>2oS1MJZ&pj<Aj;%Ryh=Gutb!B4%^}(dE^xhtpE!0?((9j?XHj#6
z39Uq_t5B|spfKn7p`_V7_OKUd>+FeA^^ca3hbipml<-asypHbmzCB6SR`iW8!_Y_1
zO`0$b{tH^j?sLc^<P+@l2mp;+>z}S)6I#ciVK{!Sf9S-btK~PFFfZdFh%PDYL8)L7
zl$Zjh-Yi=bp(e~-Ii#o86bq>lfqYUvgfxpKQxrDw8DWV~B5lp&VuR3N(kU2u>l689
z&&mlMOsl74@B7PcvRCl3MsgT>k_dBHGybo?6E%7ba=nR{(DYCrLrwYs|HAR@r)%H^
zqsSlis|ucUrJ+TnE~~PQ#+o~os^E6ncPe-bLYIsuRlHfDbGDPt@dTn+lV^^SQ+eQ`
z2r86MO(wkuyWXzWe4i%f`N!BaXzl|kU#~4r(eLmE!XMQ^P&V}}?4MxFxVjNDlRtWP
zchcGqK4I2h&w8gJR8QYpMLk=UIi1Jf3#e}$-u?)kDUFvP3#w6ZI&wFl-j!Z-l>QK|
zZCy`fy};2q8=fW|1#XQZj~&OXar9I!0^Y_q-cea8sP<K<vUGB+KC%Us?;BTuc01(*
zsC3Y7T=^FdZE{7<GJj;=pE8~p<)EB!|5W}Mu&L#Ivb4!9o!94(t(0l$J~3xsoIYsf
z)ID$R%=yt_ymnA+?i!A{hnT~k(Q@-Fx$BBCXZNy%PM_bZ*n8tKXEy<~S5+2GuO2ZT
zXif~neR}<T$rsnsKr|<jhg3vi&U_5FF;zFSC5*p1*6(t)BcsfY=$^+hznAf5RRnP{
zuEt}*`w+R4Nl;M5MhPHkh*Msh(!n9(5KgPppkiQAqqis`nZMv(5W3(&al7ljE^(<#
zG4nC?^s4+`kpR7q!Nk_<mteQ>K>?9bA4h)C%^X3y=}thlgVRyUJ01<@&2JVCJ?EVQ
zy3lvL1L*y3@rK;r7rz1Ki*6jiewsOgu9WT&O?uyVJQu*tXAFISXI{4HTaBH97j@II
zeZ9>m0$eFVr~`0a64)(CadxfChiT)Mnpw4~<R33R3&D!LAJcoS*`MhLtXZmaGAQC(
z#Od!@9jdkVCteMv?PrVIZ;YrID`zyAjZTx|(ARD*d{px|7+_jSE710&!evZNCO%^F
zGK=)@o9&Q4OCPPK_XT)^!G{~@e5QrY5?X~nX$3YNi>eib_8YEcg3yqg&AL{zLO?xd
z6{jl;tRBT-C(nEqIP5)uBVF*xk<WYx8xhvtD%8Y=@R)74%ruApc4#KND$D`Ri=K&n
z34=_eA0s<@*}1-#(tPum$!Bd(_2A%tUPabkkuKa+F~-ft8$ZiO1W@y-o43ZYj>?U1
z&Lxtz<!UL{EMu}u=kr;A55<aecIz)-_B(CCqomS!|6~P`9&APP7PefvsvNjTPP$Le
z6L3{*DE2+JO}G~Woi-~aaT%v{MwU=vb3fc)xvBuW7W#>LDItyFTOsC<y3HE7I?OfO
z#gE0#H|D_^k(Bz25tN{OsA^TN{i@8E&tfS1h)nNksWN?oh1De?)<x$G@(2tE?y2%u
zPjI6TZ5M8*yg?~Y*H)oXd#c2$#K_4%C8=E+jOVua8>}JS2kO%j(P;8!Iq=sNh7$$%
z!F|FNMj>YPt@V6ZWmJ?2CT)XJJN9Na8c>1;Go<ms7)yd3$NB6etH}J)<R1IRS&ZB;
z+@ug+*n}}g!9iyyrtVPp?av$I`a8<+3;)x)#QUte+y`m?KX`^sxf>pIA1E>TFLS-p
z{2+wW{wJq>Udhcd`@!N2;)x6DnuR!g*J`oxwEAw-TTsa?&av?{YqNq_o3CubG+I&e
zTsvl=s?b}3O&s`@S~P%FeK2!{WMA`OZ|(&Py{{^18K48oDIm}#dQ9NfLT#F}y8O;b
z!E9AjvEe2d%90fb$eXQdJ>ifE4JKZW)3x@FAFOmTb$>LjJDtrx;BK@um~8FjUtQ5N
zb~rt?q-nTURB+&wYP&N2w!89vgU!C-rQAfX^z=UA%0(|dli$yfb5=atX1<UZ_{ZQz
z>Hsi9`4FCsuv|1XVR#K05o$OBwGgdlc2=iW;Ybv6trRoN&9RM>P3YhWPnT=)Mi83&
zsf`$oYUVE0tzu@9j<Lv96(BV)=gBnAb?8Y{=3iJ`!>9?{8-6Qklw7}F!aVi2S;v`*
z>M^*9o14d~cTk=UdhUF^t&JxoT)Zym=a5Fn_}OYqfyPg)SUnb)1>faqvn>8m9I?6B
zF>PEi6JmVO(H1v~YD)OiRHklS|5p9shxL(QqbOX}^!(8NJL{sLBt!)Qm|q_L>{?fM
zS+HkiE2CW$yi?EZ<_rz@*28;QyS-0#T63reUiO(Q?T-&G^*7-sNEfSY=BA~~quz|W
zAIR`-{S3SPXV!&qBzT!23<5GBz<McM(yK2V*ok&#&qj?>g}7Vr!nfwt%rBP3`x!W+
z?{;dKhLB(N{ZV{7zmc(lGo{_^n!wuoFk+4XlS!(Me1dw%O^Di~`o6*aKvy)`gJ6j;
zspndqt0F8#kWahI{T9zECrFcW--}SraQ(m&DGvun4^=C62kz)9+}L8nLE3VCOHmM;
z(+5t7rq_Drh(tI?WQr)DbGpVwhzsO<pet0zd*>sJ{GOI6bz?d9A7>mZi>kuQ5DBZ$
z9^^|n?1CW5xemZXzJa*XX+i#*rT9Pb0VCW0*{-ntxA=hV|4)3NyAl6m<?EfZOMF}x
zVh}N}yFbNtjJAo{PX+@&Y-VFH0!DA}@>_{Y(xbk;{Y>p@(z7mrK&N6%2~YRVgo?W2
z?WX0v(Dvk`=WQnj&$j#h!u@@0BIETbA){xf^loJ1E$3(RPB*!qbH$}e<x0!*j9oJJ
zQkB%7aH*Z(!ji%>d3bhwob8SUTd8rJFOTP6*_*$9L<c>zE1t_gp5Y8@7W;ehZEJxD
z@!Vbx&yyDXQ<C`EM`l&=%s#E(kd*3$R@w8JD$CdNDBg{MyI;oh&xBj%lO|F8AN3Xv
z4F%OZZ5ia&0R`88yq;xb8<zV_gETononH7LDXt_=_RK+ppZd-Rv^D$XFdsTV#iSVD
z2FzdAxp?2*UjnZ)ZRUr|26CKs)`t;-B$f)iIC$v0TGtXDPTaWUoUU&oL}8;2Zh;X5
zlK6EEHc&Po?vCC~BPoLv$WFH2GxWDkDTg=cEkFOb3oA=1W7`Qw`k}q-4VM{^ZGC<2
zAG5Q&rsGPwN!tAcZ77OgVE(cj>qnOoW#v4w1C|Ae3M=%CV2@5Nl*n4w9^Wda^tht6
zt(JJ7nmlLY=(k&{Z`-CSXknJb=lgnz_@GBsRw}b4EoxK?NqOZ$!dR*K#PQ0ZFAB)^
z2W;&!#i$<tJ&Og|@@uqIm!<5NgjPi2oz-*#xv^Atf3~s|K+KoefRKBYDNeS0LWE%d
zyN4Rz@0!j96Y;<qk&FJlJYOa{Y{2OYw+Sz9>-+-UjEn+e={F}#c-EG=L4?IUQM=EV
zYWS%aO?i&LRfg^c!|!2as&JQArWq9IG`a8Mc!o;j>&?_aHnq`H(+xRD{ui_Zr!+1I
z0m-M=H}gE8qQf6KGD>1`edcN`KMpJoXqcH{i@eui+dqT_*Ls|u|NB=xxr~AuK_4Zz
zS7HrtTnW9*a!J#4Q+P5M$b#;MHwBIcTb$G|qUjSLQq?Nt$O@K$dsgIVeaUWYq}2p<
ziWQ5Q&anjvo1*~>=t+rg7AYGtIa8PE`bj-<B-7rlUHc*-rX75`_LU>1g&C^;^ygjb
zCf9!+$;sMeBQVF<0qj@6j>Yn3Bq?rHl!%%|n2!M*;SS1;gim*vt(0?WBR9JWB(}1u
z>oNrpC;8IyG{AWtiqg(s7@$v{NDNi?Y1C2n-M8*oaE%VxL1~8yF3sI4|D1#qw03M7
z2e6gx8!vS2ErtvACpkw7rRc{}$`~u9sD16j9kONwjmpK$EP}lP<q)sS-vOR33+p@>
zyK1hxz}9}i9rl`M$FSkzFXOSmrrfk9!<V%aOn44slSU!S;bwO|t5`>ohU~Cba>)ol
zNf%0xk~6;|P}NpAAE(T;gfc((^LN1AXA0_>o=8FAEFzsH89_c+XJ-yS&Il27-iajO
z(*e>D7wHL{>^sdx({G*KjuSVN*dm5EKF7mkR4vFzauUZwPh^kbuI}{EQPDHf%2~WL
z%0S>E15J;03{It7eXHlt<eE}A?BGz&Fbf}}C>%4h5-t~qtLJVHT%K{F&YGqW3SO2M
zgmry(JPSBI@x_wHFrW-9j=F~op?Q>JUogBW5{Vze-SXW!Oj8XfGnH$3=I?6zegY4n
zSA2y&y%q)Ar!ZlFcweLeAZyj>qbT{A=6EosxjRO>M9iDPpmZj4-&5s6(4mBXBd+TM
zhyW}c_gO9+?{U`B`oCq0-`DOktY>MBdLF|#m%per7ojA`=UZRfPGxkC#YtS?-9%z!
z&X7-q5J#g#t`;rhP-4Utsr_%uIUSlExq8vKinm}O3#0%b=U~a%;=vV|-~?vN@nOrT
znx5e-l7V|3E<R$ZOb|7~_amZE?;@LY@I+~^*vakvO2yTD4yPYkb1)8yWHaMTZY~(x
zF*x}q2B+A5U*%x-aC-Sf{{^xnH1&(g4>hiIu05MRsx3m@K$jD5`oYwDFJ?QWu_p)^
z3)HKbGGz{>si7^oDwZIJe(KQ0+P!-W(EF!45^(fhWDX0!jkXjKaOO%ws#z#a?Q245
z=1H<fm{Xekq<oD6ZpWpay6!KblpIISYrCOLYKQxdof^xPb4uGZwphH2BG!GorhRiL
ztMs7qu)v+J#*qf+x+w{q`Wf%v^v#(Q^IcEQB0@f4_%F5r5oR4gEk|847I!!V=}2mY
zddMw9M}SAzPU}NEeU|LT(?y~*AW^bOI*hXg>D>HzpUkCQ4zo;yx<S2ChE5A#b_`Ns
z){^$Qw-|DsPH)6oOO--)R!V4)s5Xl$?l2FRD`i_<GTkT;XPyOk#5Cmbwn+Xu<b|th
z)*)OPw$@2+Oa;t<QjQ{b?vzZ(I0%BoooUwg&dew7ZHt66zNQ^W)fO{{zDLEx^<C5&
zCR~b)_H{NC3%Q1TwV1)oT4u_gL*%fs4ZK`r3tyO9Jw{0k7ZZtPT|&me<*Sx@OcltB
z3<jLD@k{+R;#(R*NyCc+d%A8CQ<6}Dbld5)&<TyF*h>8ya@TS@{Me*9#0|eeV#kYi
zLs-Z#zW~krw^qb%K-z~Bf`jap&LFF<l}!RswP9IOT4-x<kruQB>@e%PIeR@%2yepv
zS-JK=?J-`m?{OTAy!fJaFyUh@2tk(YZSD0kQ*}oWY3}<)^3ERfl(pOq&v9jcqRn9w
zRU1;aT(t?%SE$Z}u^+M8#k+&3)2KQff_1oxOc(T7Ids)4^jdh3+Cz}ZkP!6~)(KTg
zC`lvNlbCz66)S@C+sOoLmWw^LC$>4W-<8a9k+t6aDu*@Xk~y4mrKhOzL1B|tT&F6q
zjTX-v4yFTJJ438>9STU6Y}4#t?zNR9tG0N~XeC-Qa>$uNt+)Lu89j~G6-sb5c<K!K
z`N57vmNycc%%Z?0a?3*+(n-FnI>#JgIw#HIpidI9LVo>HCVp1l`j2Q0g+7Q~+c$`p
zw+|@dcpOHcGG!oPu1+_%?-W$TS}{RbVJvLqQPmEj3jbn-tH@^CH~qH6UvwEL4lYzd
zCBmy(NSr`O`2$eL5Ly$cN>fxeO48kcG%H6Vj|XWW;>YMZt$Yc-ej|?fZ7Obwk_MB#
z!~v<=WQIRiMH-0jU?D41nHdsDAv80jv;6po%`{14@?RPM-oFw}gpnP~!I1;iO@u&?
z-RlSdEsMdI0P5DlAng(Lpq&G$RBvF`Yd~C$#lPS)X)S2`k5Pkgh<<Bu!QV+z{1jp6
zpH3o=66BQxrSRX`elrv1DLG{BzW@2*d{&r%(=RkZ7!x=QB|FUFMO-=~t`shSqbgG!
zkNd9DkBemPmtwZV3U%!M44g1$RRU=e%rb40K~HQZBIznlBuvCYHJq?W2qo(1&A!lv
zv5{JJ9jtx{b(Z!3R>0G=4-jj;g(EmekR|gw<XlhDWbV?x79L;v7ec<^`aXsb^he={
zAb=q9=&5luEol^xKZQcdLMaMB1B&vePyiWF7J@LVIOst3imglT^*awm_ah8P<1m7B
zDf<8TvhDjLLf-w1{!$?Ut`XEq>@{N<x1;bSsqVZWLLO#|2(j*rlpV*(no;}g{HC9Q
z8o6xE#8K%<UUnzq*;_i=@8Y;vl4BuHEA7|)Ad(Ef@k)_K^EZDw>x{IgKv{vaZb1tS
z;8=XwqlHl5_MN};!j8Z1FNFM+#WezEZCi!_^$kE%-Qi&8wqLquY9uq#WBlB$l=UPM
z!DZA5xsCiI>rF>=N~axujSJnV-<7g<b*z>4`0&R*gKfPIK#}-mSJ5O*8^G065GC{O
z$o)-{Gy4+ZbF$$mt#LExYj`B4fEDdQtktlLK!1H<RitL2O~N>XRbLWO4@c29Lp(#l
zE`=mY4UhiuK_XJ_vdIOADfq8*ztGVfo!NyO>c3d^v`?hJI4Lt4EC>bV%A0Z(6=8D8
z0yxW-Il&BQnzq+4eEk-!8VmuzlxP8f%lZH&XSFO^WDIqs(J3jw{R0XiXf7_9(5xX6
z^z`Bi2$oW}nd&Re9E-qv2MzHZzu&cEC7iNhd`-qCg*Ji5j_YSB2)n%Z`__)1X6&xG
zBXT=S(3-$eIP(=eIqF<*wnT-yqo?swXZjPIyPm?2?^IN3-Hq)YxKfY3SN+fSY*qs-
zailnKSsTvrSm7_O6`3!#-8aFpv@3b4>xRZT*3*d9xwuT?KJ0Bdr8aWm^^@&1Vx?a?
z@l)M+9tJWoj(>74ZH{A;Ckyg?Z1{;PxoP8JTFY3aN0EGqsec0Ao-YX3C>^5zfN3xw
zwlQ8ZMfcEc6{aGGzbccTQ<%2PWFnWurMO|2_@t4I;>$T~7aoCrK*5_g7Ym^Yb0sD4
z#6^p$aw_IIbygA3D?AAo#~{dEfuoXlc%dGx!zv=;(l5DiA3g-q?>Kv`?d>lTSATe<
zr}EnpB(yX9n2b)7wcVZf^CBl60aNuGYQK(#76lG8-^C$H<o#dw8xPZTJntL6!@Ql{
z2M1!)$r{sb258~&8k0cvxf58)Wd;ZdhoXX;T6OOfYA!%{Y~u{vp|klEI3&5bjmK{-
z$H7Cl$=+YMJ!1lROPel+H6IygK|^<Y7%EBUZnz<%9|4`G<BZ0HZWJ}gRci=%Zttit
zVw`)ZsH$H10V)R%zL3P<!8b?p*QcJnqqxq>3eechI7$2*-5f^j#kr0DMf+m<C&)_O
zV)*~IuJ&0oc`@Cko+8v*P`cN73RERZjrCSaWi2qf3M`vN;KL58m3GJZSORHCXIFqp
z355;ux!Fw1H_%;1{kVf6!Vc!4H5GcwdvN>4TiSyoSn4XR0WbEAb~=?YLl5&Xrn4_v
z{m<c>UBkIuvT|;4<tvD#HMd$h=_ilWXq~+=NF#1e+ML{b8;R3R<fj{VHd4|%%SC}L
z%35!1$wGE3h3VwRln<^}r*Sg(HskW+x=%}$*BcNfwENcGRn3>THlYWKKu3HYrvkyp
z)$h=Qzh+({s<qr>3AeWNYp-d2`mp8#A}xP??YjqMvnV7->f_I+lS8_^|03e2cuius
znxeVL9=8$vLmF8GA_(%cC058E;*Q#oAP5BU$%{1d*fiV;3xW|fiVNS}JOQ>g^Foyp
z&YG6^#FHq+g0z$zhS9@6PB{3uMHvjvv_Pm05u^f*gsHGY8SG*^7iKn;gsHer5iI&C
z3YyXHpgW9VMm+VK-jK9V0gt%a1Y39p=@4DBQPS*{;%L1ILVUhxxmuJ1DOsxxZBIhO
z>PTxCH*6Z;5AygI4|ti8mwnHo1!jU6MF+g;(B45Jtr*ZviUH@An1h)4U9`p2)qxCL
zY;$TRYjvx4=GYL4Ksr(=GA79QM(#46?5j%I`9u+e+N*nfHXo2&c_MlN>O)|pZ%gyM
z-npU@pIVxv=l4zx88m<0V9~YYzLMT4Y7Ye0Se;e91o<l)b%mgY6hdUu62Z@rF*ap|
zkRa?^<y|6Zs~rv!=fPvLFo`Fe%;G?}PxIGSqvbG`a5INk?ixa<MC3G<F*Xi8K=qtI
z*sDXGUffXDFwBu*k*1y?l!WkMD)_k1?_tj%B~P<<!kewu)oc4JBw4kIQY8VPuSVgq
zW`2Un6gx?9s7BHDQ%{8?eFr1ipvusspd`@Iq^yokK1wUmrJ95Hge3j;Kgh?E%g5C3
z!k8SlIjP47C}ZZ&th{&@fu~~m+vZECDLfq37~a>Lp`?(;h5ez%m;INE$2UGx*J-mR
z)K@hmF5O?jup##F66tIG6r56NSWfB3LfY2gQp{&R341_hjxeiCJ9&f++Pisx36}06
zabvAKf_+((cp-DG9uMIZnmq3g*LfY=BU%ih{lwf1V|?vN&YVF8(|?1ol(&NmRC*Ev
z00q$kV5zO{<KtXlr(CpmIwL8O=wGc%^7VV;N|WzI`b#zoJMZ6lE14=qi}zusbToR^
z_AB-CTfZ8B7S0O(PbYlA3$KRalDO&KRp=;o#9p^b*ploJLc^eY6AP&1GRjS$8bU+B
zJItB0g4VS?JI_W>&XD5)o}&ug!(Q0Y#yQ({bgV(l)y){SG-Fdai>*aJ2DcAc57LPu
z;jLBtW&8zg_O+oq>a1%a>n-6-(`dwZ$cd(fxC58I5XPmTo|G`l>q@1sWpzaIb3igh
zb}=ZEKopu(9KlPqs3-+^4GnfyJcj(ZDd5E&kE=?nJ*ND)F=ABh81Sfd6nEkJ2kN>V
z{61f~Bq5G_JR-ZEpzPj&uSPt>w`_hLt<NSL)u?Jjyq<fh$HQl7KzM7%&twWfcxlJS
z{OdMWGq|swktp+*zS{G@V?(Kk&^~|uyF8gakC@1$6Zo}}#raze578krJwlz?1G;ee
zdkkm&IqVGpRG)q%<uCqS_f8)DgmQ^&ncX0+lt;JIcly5;@*_eoh;PgGw%e5Bqt2N=
z5}_r$fs{!z5h7p3F;vRA#-Yg{_spio&BI5O5;k<u!Z=t7T9HB*1`kXgY??{QjjELQ
zlRL6uERuRFIgIM-zlrwYBN*pkl4dUFJhFb=<#ZJr)FmO;e2%7tUYYWA(JZ3Z>G^-7
z@DSF+HqGc`XKEJD+|}5AK`U63I{g=E_a8FuKi)Z<tp6u1V*77t5!?T#v}i4vL_E&B
zh8bTmMiYnY)_YHuV2}wWLdEyk-rd)h1cXXbf|<rA#v`y}gSDo#a6wqLw2;qJA-N@J
z<8kP^>9%(C<DyCHp^55y>AR=1<88X*>}`O)dhszt-KT5*f?sdtyQ{O~YnvAH(E1AP
z!oRKibJwavLH`0Lxp2y5(rhgF>+JfxrDSIlqsPQX{@dqXh*s~1syl1AYEUFgoFSv5
zTXTLhwrpk`zLd||>8vHAp~_f@nE&Pc^yJpUPk559Q$|`*8R3?bf)Y;*(o!?Jb92UJ
zjcz`0hF*bQ!{hS#iQUA3&g=4gkb&;0I4q)%gLZ&(b!NjfJDf1H@LkCu6FQx_O@(Gl
znd?*AKgwBT|5oVbx?LJ8hd-Y*Zfe}c=dJR_MmIzvQ;v_je-_Y$UIV1*<7qsfFXfYC
zXKR5|;?@hG`{f*P<!D7rfxn|alIj$Barm+Obe^JaCVBRD)A)zKGrz2`Om>B_NCMi+
z?Z~Au!qUgb>v20tJCXdt7W0>8l+n2*Z@~ra&<kr-yj~~VN*tO0u!ve0sfN6Cy%uj{
zOJmQpG#nYnrcT&XhUy!aXaCJZbKAC-_zj9?j$YSW=SPw9G}lU1VH4xqgXn7}MC46Q
zKzQCv3fmmb9MI-A6Rdg)?`dUVhwZ3|SG~tpY$F<H%94t7uEBU-zZ$2xulV=>@OF55
zqY?6o{qLF%)k3p<*x|(e<_Y(Iom>3tyFvc(cFV6%(`51;%`D{daSwA`cviLrl8omI
zBVCV6viRw+<23(xJ5K|)z@UG;-R}*yIX*6dXCKo*P3x2O>d?kMF18XC4W=L7Zs8Qi
zB{o0q)Yh(EE5F#_%bbw1f^rv{TFZ(wh9d%In(Q3!S;Q_4{DkLfl%TJx>k1OP30cB^
zT#kO?MB)%rjqGAU>qLuO9N7P?`iig`r`47ytBQR55|~8G0Xn*dwfB|_Q_+Neju>+(
z8M=~65OjZiNTiS^o(yZ#YRTQN%9ne!JeXX=0ir?msc{KbYYDB<(;o718wsljy`&U8
z0}aY}^^?&0XknOjnM@YQr6W9oS&(rBL$)Bg%sCcnw}TqWS(mJ=Nye8coz59)@wc^v
zSWbK%ir;VrQ{AXGfD7q_z<9~qi2x!^@NI()1j*mMhk<^9M&+qdI-Wim_JsS5HPDd#
zmC~lUqu-l-)qb*M-fq_hJ1kBuKFNUqcxgmtylg_fb5zHrH`|>QWQ6E+ML2Y=7IHrN
zPFP3M1}T{#%g@T)CbB?b%oJ_;*5g9$5`)i}EbwGSF%oA7__-cO@F`vM&5uT^lR*jL
zZ7!#G0klnbtbPm28Xe^|OKgKKEwgYXvmM=^XG>Qte>&<i3t;?OpFtnK7~QKNP$2h`
zPgPBP)-sP&KpG319&V_KmChwCrKCY9!70M5#x^VSWtxDW3!^tTO02Cefs3H&0a2!B
zw}xl5EeP)9pUm6S-I<W8ySqe_She+3%t%T%i$(Y&M13rVH!?T%&*{1Bbzni%uH}^G
z*yzpBi)|BWq6L;~@qBg7B;G<L1<aNwe#J5^R0ZC$RFE<M3hG~|OrNYpg_3VdPe>Dh
zDVQII*0#qyZ>V#8XdG!4fKH^({?om+iXk4TcikLW&o*=Gfe(rFu_%C*b0DBoa$mpl
zd%t3))(f1(-3Ln1*h8#Q{O%IL(r4O`)FTtfOdQ78B=MW-B1IX_qw8}E@&Vk)9$MWI
zb3VZN5s9N*$siy5Kpj37Q18v~2>)DBN?^l?`w%Sgf&A2}$f`YQS`KQ&)o8aW*QB#n
zNpg}6>Q~O_^m|h-^>b?>Jxjc_?#VQc^Hn~BsZ9zb-I*G32LzpAg`X}+O6^iF9e69r
z%MjV`WElqD!OCXbsM)vMq-TqQ06h0Y02=}`mtcbSi#R4Y1d#V_V~8gX`(eP~-Z?%*
z(U134uaZ!{tq`y|T!Z!j?p1uhUf(J7%a8<+4G1G{_{d;ebbONR7^=T89zc;FfbJZG
zIZKy}BaD4I8J5*I%yC4(jDzE;Yg&D&!gwSa#dgVluD4HVk(c?nn|v)lqXvr~aej!{
zuubMU)RPRQDQ`-1b5>#_Z%D`sfdVzs_GNYPV;hD=fQJ}Y9zUJd+zc^9O`ERP>VP#!
z2Y4DFXddZu5T-Fe(W)dX0R+=BKG!FagM<<4+N71$DOveBk&J4KxQ-4T*U2tzcvDXN
znE_p5hcCz7#l}dY$`ntD7ZEkq-s+I{Aeb1lrJhq(0x*u}v+a`=kiY}V{j3LX&LYF=
z>C&<IoyUZ6viu?sY767+a{(85KHh1-Iy4({gvOvf6N5uyFc^JqTfY@e&wI=B<8S?(
zQZUkQ6B9I|JuJzwC$vZseK_*`VTtlA<GTU11KZ(4@XBD=;4yfOrk{6Y3HUZCwN6Z6
zZt}73y`EJ1^@8m@NoUm3QvH@Tc4?Zb9WPZOaA#HdbtGJ84;8Ya@%g$hUwB03mdX|)
zhZMC&T>hhTU+bQyp>$jeH1frr!ZLo%87txpieMNmc&_NzaWO0&@Xb1|p3qM^XktkC
za;9re@}?!a&nnFw+hZnuh7%?yxXm(X!(0y8ZB8%Zg9+bTerjjW(i}sT8#I~@k(_q{
zJSXkwy%$}N@7g)z7vGa<<~`^uyh~p*S%0*IB_i=+xS(AIUuOTzS~_efe@n9uc6CIt
z0qal4nOA7KM*_=5j|xr)(IKDauem9eB_tl%k_2)|s+timo{@;AMJW`Q;Hj(1KKW&0
zveS+`cWq7kWl*#vdnlr%(y5#Js;IsK6qb(z%^PQK+|K8}OjZ6$-~!~#oNK?<EZvE`
zAamLEl)qRdEZ<2SpmO1!XvoGR5F}zH`1FEl44zy&9m(d+u9KeJi`1%`7iOn|w^Cjs
z;|sw}a`#VA*Ml<Q)!aUusIJx6UaUqUS*a3RN2VE31HOSwH?Ht|fxFEt;3R<3a2t);
z8~%L~ykemR*`O!P0Tp9Wf}W*400Jc&u*GG7f^Pb(HYZyNE@2`g^#?$Sb4OiE$aDO#
zoBpoX7aRjV#Iab<Pd5g~-S=+w8SkiW#191C9xwW7?daL1Cz1TG-8c$fm(1SS@>_*s
zX73)`Db9Jiv?9s%5f*w-sVZ`w!&Kq9I<ugkHJNH>I%Ii9hLl)E@|+MI<wZo}<dKq0
zw9&HG@siB0dt_njG!E79;vlOZg74M<km4s)SE}RYA|TF9sjyRs5#vd<)WCE<k0lq)
zm^U>kgS?WILJ5*b?^uY^^l*Oy!s`*1l(Wg98}*^T?UH{^l`mYoYJ^WSkeG#90*ZjH
zr`?IPep$dgaAJ3J^6R`h;5nqSWVXR>RNL#7jGyqD$KXO*euvm~>iyQ3zxPcX=x>m>
z)Q8m<Ao2H0rLhLknppNO$sbYSMVoniOcK9iq+ewt!bN@F85oU$pjL+rgk%d=kthVD
zHtal6U|<!PB_{#N#UZbU3pKpy?k#X)6tN%pLyhM4c&L~yfA1lTWz5*xLGKlKDh~M`
zw!$vdqqY7Xkh<QPp8-e?1*#=uto*pC;<9^fl0hnkHBT=TCfPU^e63J$n79>BrejgT
zNC)X<YMf*-f!jX7sQI||T0?Fm<x191G35PY5HgD41c%MPshv&U#kfg-=@kxf=@dq&
zSyHdc8b9|YlTd-BJpro5>S_bkhO@e3=(RC4m6+SKE}k)go5b<gyK8;Kt=T?&`p`3L
zVs59x+hnqrEg@C=>HzN;+6o^KNqK{&Q0tw7pv&xvSQj~Ea4F{T=Il+}FON>1VLWS_
zHm89tOv!*IxN>QY#eD~gSe>b>lp$WE)5}8;fAVc`^YwL30i1<f5bmTYoY=#VQ|P_D
zd0&Dld?U}4I{Fta(PF8OqWRXF*w&@kftmQ4NrB;eo>je~H9!I99L<_<oku%FK((85
z4Tva4m1#G`576v{_yL+kk-)K5h(AD68T$ul+8hEZG_l?FHT^ymuIYx|*jebPJrXn`
zdEFB!{e2C)P_2yzQtgIB=(>t^jJwPu5&5{5<?am|LHwF}E$eWo-osHZewceKluQY!
z>o2IQR}&AfwJJr`<TVSVCRBbDfL4p7<$Wj^K^u{MazT9d_IQOHd%W%_kdC+z$iiGm
zHgzAH&O%%`(t2~!g!<-oh#AwHIen_@MvHno%8Ym0Mecs7D6t!7&cjXpAR|qZMv=3-
z>zg8^kmH3Spscq&BT`<GLbz17B=JYW#eg*yUkO(O_R!7u9HlA%3Oy-lmbI7%W3N7b
znMq%b$bJ$<5~x&*)B`|;HUT9!n_=oC2ru*&;AT%@x)WrmTAYlh_xI)Rkmufm-av47
z_@9fK>66_w-fo-(|B8`4WP`v}XoDxtYH&p9!c>ee*@!yN^``@(R^cYbm-a&~&*Hq(
z7<X5P>Z6NeYbT*Wq$bmz=xij692t%GI9K2+HmfcJ72>-`L;Q_)$9U8p2HLT`0OcBb
z&UjL5HS~G(8k{J5XF|k^@hTBvROWTS!X(&6GuDE;CS@-iU&HN>>L?t4^Pi6EuD^h?
z?iZYjTrz#R28^2A&uAT&1U#o~nBeP^AW**Fcm)b^JSN3)rKK<#cO!>+>KehgMC3G?
zHa3RAxyqo2{Uj?))9<l^3Mzb6oS(#VD`k`HHZ3=XSws()?t&Q#suF7xbO5Xnv{lgQ
zwTjK~aG2AqAqA7yksTApbob649+IH@u2&Fax%=el04~IJ1&;yVnQ7=q4YU(sJz}OP
zJ`BQp5uFWVw|Womjxzwsa<2H-)-DM!_{*(Y5u^e;BN0tK9&FJu9s5bZ-GT}5Da(vy
ziw|@3!f!p|@zxIbF~H3NM?Oxm2mSHxrc8Vu7vR(YEANtvm{R~-*ZP?vY?>r!-eR+j
zQcQXq%2uG#imOqMmLG>$i^hp+EX{b!n}tm3a6z{vg<lJH=c*(kx@>2QsZfi85{24R
z%nwS<=|n3u7ECpCU8Q-^kq%dxR%@`-@B+ZSG*7-MTJ~snTB+o2VFSi1n(}u5$4+Vq
zox2hy9mIFp-FCJrGzIBu&*7zX=2nR${u+mW5D2rdMg%ODUHvm^4Z#@)>s(-%5MkmQ
zb=ZyE27uj!#+EJvdRjbj;{}Mi3@Qu=v6zQ)bbIu++Fe4e5OGG!T%wluF_<;@Fbx~U
z5JE6+DEHmv{nYiOUr0D?tzOOmnCVtMxd~{01mkw$*SF+bBm;N?=hv-!{Tmka@0m|q
zk{=lofH8taai#DHu>f=1n&+zS8a@5QMMoX$r0sC_A)(113j1qOORza@GsOq57{yCc
zuglsd8SY=N+n-6E^C!S9q=QPYOQNGG(<b&8Yj{T%HEA`hUfh`YFs>83{%s^a&>gSX
zQFx#-;hH{g4lF4b8v@}_<-*}oe`Gy6AeQp5qa6Ltd&L5Da=B{f2++Y71(OcHzpUMA
zs^G@UuWTZ#;hK(oxd@7d&wF>%xh4aw&wY2hSzHWqWpR)F*jxm1d*~WY8y$dfLIIL}
z`Dh@#f#HB01P~DZA7gJB6j#)A3j;xd1a}D#+}+*X-6gm?!QI^n?(XjH?moC{aECid
z-RJ#o)${#$|4dI!ovN-gXZD)it9!3yywdK62o++N^{eQzt{<0W-`9wK3U5?DBp?_!
zHuBZ)`93-Fs2bA?0}e)!Rp5Pc=Yt9Jj;^-{0lqod5hpmWa3XQJMbA+C=EcE0Pe3z=
zB-~DC2N`}%wzxY918o<%qmZ4lT=*{G^vZi+8|Al|nc4vSkXc&E1yBE4M^#KcrEw=x
zD8p>p#caU1?9l}PaWCbDskI@>ECTW;S3H0E&Lh?JjAvDd<QmBha=GK_D)`$*@pFpW
zCzwt7dHH{HFMm0ef7}Zb`~P^Kv;FtL6x;u9U@8NUfZB}Iv(<bfcD`pdMpk}L(+$en
zq%=@FIVeGy1O){~cntyfA^x`9UN|fEczM2*8O&8Rf`$N>CkBYu?6xs4c=x7)urhv8
z`tWwBeg1P+<Mm-e)I80$WlQjR)bw`0Z|L!f3$cqHIWtsx@mxRo_QQ&h6N%s2OZf-o
zTXn>wUNPTAA{x@&-QJ}%3{|6=w>t|TRjIbSs%~md|6PpoU%3|9YFATD4#NDjDaBfU
zv-_<npO7(76$vEczyg3Mk~Y0r@3YdvBTZLvBmJ6;9?<q&v*M*!7UpNi;3@A`re7@Z
zOcyHY&hh;n1B`E7iQ|hGs}P6nf)kwtW?bY4aXL_{#pXrMomEu=qv+_Vh*`lLc0NM6
znXwm&RPoODcD`OaA_=}w?@}3&aq-bzHQ*xkJ2Gh5&8$^ZSZ)&m&j&{{gXopxk*7n~
zvqiq}*Y#}sH(r3gn&EPAB|`5Wh@KVoFB;|dN7wXW9HIh|6VekW>?mRjd+tti5{ajH
zMbJQq=KB?-71Bft5?L+WcxvZ~<{q2;P+1P4Osbr2b7(EwS?@3Pj)3t>Hsr$MVmZBH
zAAwLLLwgdCLVfpytS{I<*Xt8D8$ZAflK!Nnu1f|_2JHukIy%-1K^g}{uM*n-b2|^}
zA4Sz+&>F%Fb9?exp|;Sa!D5>hF$g$1^omQAI_l8~y8U_q)M??<G0Ja{N$`C*3u*1H
zobAn9F51<%C+!@$=-pjQ+vbtpYMd)>Ut+!}KyKKx?6vD}a0KH@VmtphC)MvHTzZey
z!~~5j)!muxO|ur2Bm=r}Cc$Z$*7$FuHD7vS6#nr|c=mx-#J1}rqqLwFiE6-Tx98Nq
zUm(*QZLuH96XUem7_OHyLkQLoY`1cc&*JHuH;!+#q4E0Gs~;flngSGR`BIM}uz()7
ziXE!X;NMh@9(%}wxif*jsfd{rPbIFxUy2$@UQ5qtuwEzMFNpSI|5(u3fi;)cr(M3`
z_$d7ABG?Znrzd^$r$lfA;-#E`9tv$QDhR}U+?UxSBkXBHcmS*i4-}SD8)6aPM6DPW
zP&D}P>A+tYEY`w3k>Pvbt%RQVWc!N#Ods;RB;=Yt6RI0d*D3@F968h8rbsMngIx(r
zeFNJe2xDV8mlLE%8$+-rwA-acOqI>+o>;-O3>B}DbYm31=}b#-stxWTa7t!vPgH3|
zfU&H`FyLW`8%}fQc%S<#gWt2V<dkAl9*K#m57_|+dn!3Y)kG|VIMfM>k>X|nN`;ab
zc1+?MnOiNf>UW_dH;05+#_ANj{Orl-2#%cmL0j+CTbhWta%l+ZwR1R9l-Zb809~7x
z`8f!<?DEBP{^YZ*hE}#IT56;bjA2_?DfHqiQR(fXmEis&S!1bslLT3>=EGYKai!#R
zT<hGkv1~!Dt5T@3PU4G_JEJR=bUB988Y@}Jn<G+js}aY>8#;s`#+nc@#1Ew1&;ov9
zLEJbh->)sWy%1pb6L4H({Qj}<>=VZfj61K`c9JAL6d@#KNpLHHQrOyG@ET7d+%h@`
zuZtVnyQRDK8qVHFuABW`7L1%TjCF3m15|4&%60Xz6h=;({Eb8U9f#}IC+jXDaBU)u
z)th^EI@xn@0yGlVhH>&WQr7lx`hl-sHrS_eiw4%paHRgo>&doy_^U|`p>Qt+Tkhzc
zJ@F7ke~Dd%BLi7cz9O>E@D+YU25leWA&n-oGYGsy{56iWvY{Un7U?$xX~1Hv?@psW
z)k8X1qFzXO-G*9dm{c}!rkEIR5Q?AV2T!jMwLB7I!VEDMI~j$)VB+SFFT+AK7JE4q
zQ{@qcuR}yKmO3;R(~sny{k&u3i2yS9=8H`7$BP-Yj|Fa3lnNpxxpZX~=7tPfkQCQH
zPDSONHTD6r6P&f5|MjH%sRXF9vk)XjS?GCu_Pg-+DyF&&X(}#rt2e$nBZtN{=;#5u
zL)6f^iGtWoiO4|$Nd2+E=F;+1`N^4)0ytX3i3vEGeN&-pUaT8F)3#FDN1;(qw7y7&
z<*^ImfwMO#{KnlkEFombaQ5wrjPIr+zi|9DcRLl2PbiA5W#lytpexM4mSVf!u1S01
zBW8P?=yA?g9#&U_(L>gu#aRVWw@;;E+mdEVO=Rqjt(~e4+K3{ab;4ggj2N=T8So>i
z4^c|7Z^IV!C)CvA<{fQ$GsNbE@z2bDR#2VcpyVG&<xGp~@-OobaxmZgvj3*+?_(=F
z2^p+4UkAFDhEg6@)n@#qzfFsE#+lCOi}24$NFW(%wO!N50pi`jCG~^$loJ*7CsvdK
zwbPuhbOKZwz5H*zx=2RR$x_gwVfJI;?(-N^N6B0gcT^csGx{nw!3^)I?8`X*XB=Kt
zgVbEPgq*=QqD!b-WxA=JmRNS~4TBE&&Gw%sV6azYj#%1RUuL9<ecWAoL1p12#W)SV
zp5v!v^qD0i&N8eKVdx3JlZtF$=0#M{*t%~v&3L;VVF2jd^)_Xj3Cc@QbnV^ra^k8{
zLWBB7{IRQ`?ScgOr1zKUy$S^?rE2Nb3MIc1DKbx}lp^<qqJDD}v7j!|z?;G8+cEi$
z=a)2FzAn?h8oSEk&QLE`Vcc$kJ{|0|d@SNbCrp<YH&o9MMdDt&L@QR!=r)&215-+7
zbT2th5$Szeo>1rgjoe<!fVr(gcQ8XV1wnJ37AtAffw~RnARX^u9O8FpZo7NO$wUrl
z2|@m)Ii~3kK0alsDgu?CDKf*RG+{dAK?2_UZz6y<`XxiPR=-IEuhPjF8^;)+W5_Zf
zh9teZ=2bx2!q0Q1pzgg_x^4dfsw;oyVB|rJ25aj>--TQ9RzY-&^@Bge(@;YqK&pID
z2OMIXVVGoyqGyW1KFFTZOP_v&HLYRhsPzh$d{JhuWCe^xqPSkiAW8x=Jqdu*+-lhn
zeaDYkINIr1B<+^^;o$kDs9kMO__3<(OMoEoKXlcvl*Fn}idktMFIBsBXV|O_HQgyV
zJD*U|={u%(Y_Rwu@(-5e3hXbTcXodk59W2a(A|ybg1s$i;|SR&^f9IeC<+*WQ<$KZ
zlCu7U%EX$9@qUm5{ukQ)hF`ie0H7&yk81`(r{m0^uEuho1miY%y0F2o?Ix=e+CD$V
zZOXJpYcA7_Q2<gJF3ajtLoCVE9tL(xp27?|d8DXW#^lu_9!~SVK3Ze=dKonRS!G({
z9LdYz%m$h(Nh9Vv^&A*Zn3>~~Nug#wg7M;%I=m-=`l%z`QsoTNc+YeF7SF8K<d|^3
zo_n4%aBvTO$Fb<&;T{-EWz@VTC>!BgC#%T=zVQv^SN1=P@EIH}!|6S{QUt5@t9=NE
z?=JpH;)~1s#bT-Y!C6%8&IYce91P84U`~N4cEWI5?XTzoUf9`Ap%voZo|pLhEIPlT
z+{6xVPDeq~i7qG6tiTR$UR}P8p1t{N;R8rvCg&BD#1<A`JCg!%aMt22v87EUSjR38
z+k3Q7iUAnLgTxhpVe)TCV|mnWus`no2!1k;C5p^;?1l0E&hSb3#ytGA+LjLHT+)og
zSK9gj&X;Z>x<Cks%GP;A=4%&~TwsVw$_@!|jCeBN^zhcTV0vg%SbGbvTl}-mFb3K+
zEw8DYPky2JYF9{3qUiB|U-85!{K)`eU08{`<YNuyOB$L|Lk8{@plW`lykPU2J04DW
zArwrH1C2dZ?)cSv^dxN<`jX6-JI=U8u)tLMWuJWdFfW;X4X=yeb+DvCwaSm<X5P{u
z;pa&Q|7aFcO1Gm9S|*jzUnrthyPL(-_AP+9_;(Vf7F{68q0DR6ffb2i+o7e7{iL_X
zlRe>F*!otqpzQ@#B*W5w7JCUfU*!Q^q(d}#mO2D8-{0FRLZ1Xh-?>iQ37N{;o#(Xp
z7J<T!11=+dtK}KdFiPKa^KFh+XmqSutR3#)G;LCWWZte@CX_Zdm!L#L4y)0mIu6Tc
zx5!Cp0<qDgIL=IWTVYuYw9zEn-XL{pMqH0As$%X6BWe>XAdOCe^x9e!1UNW`3_eMB
ztp%kMt`xS#NuU@h^|k~WXK1A9cm`4-WXkzg7;KMBgP0p%vN}D}aF_<l^f|y1P?a`s
zKFRVW&m&A!hiGp;%@PtepfOnN^u^67B)>Tz|4=$ouAVMwOUNn(B?(0~4qvDOqWBHo
zR1upFcHyD}11MJmEBNBlO7g7}hPU9>#Z(`xtK{%ivXGrCR^XR#>NGLML1UUvSFiAw
z+2U;H1sIR1GADGDVL++FD`=wQEe#YR@+%GgCs2m16$)Zb0G#wyschn>G4}1d5oDRF
z4RY%ho~BXR;xieYaf&(MZShde3-rn1zsjY5Wzatr3`X|<X;riRcdMH1f7hzcT#ct@
zLkhkk`xV-CPRMS25pmUl)90H)Xcrg&yZ0?%m<w|=OF60FtuV`CT72Fho5XX6*R8HV
zuWX@MImzj?JwSb5py2c7ldrYY{p8*5X*Yz*{%QBLovQWYfbjDwVZFbcZ66U~j(UyV
zx#jr{*ron%<Rle)x}C3?m)G6a`EvZUY-yZAet*5SEH_{n^e~3s4e+MQ)qbh`D{nbG
z9k1bWmCxht^Da!)99j}B7q2l2ESPLQ_>-i{B&ijIe_J%$L(^g^0=<0~9~pFt?+qKc
zy4sfvg9`KX+R-BzHWlr=yS;y4<R&(k_U+?#@^;C>D{7S8J`Jk`J8YKhQH}OfOWfg<
zM`8-u4Oh?G{!Fsld4kZPkXBwB+e<0^r-LC?CC2IV=1Bw8!Pwl=F0Tny-h9+zS%}_C
z-}Y7Msvl)#NJKBl#;>xDoPBL{+-zxezmlXO#P!a5eOy9wCULfAe?sI2Y_C&Mb?PcV
z->RwMYXb!?Z>q9ni`(P0X#jGzWh5M1^Hd{)lCFOikQPX9%Fv5x0P%~KbWH_310<XW
zaFA*_zvczMcaXe`+VtL!t+^A8ygr>2Zx!>6BIZYOBo-)3e)kdY{t{Mu-;A=k_z^!=
zK4=-MMbqzK94?Tr)oRKAw{)`PpX&@|0Lav`V@uB(uL7koZjP@?G{~#fhYtQqTxz-b
zNGAQ0xEw+{`73eRp(A3R4hi~jCS~cPl^;-8B6Fy3PVCj%6f#lB((B>&R;J0<StT<s
zTLQt=g&B+k)VM5BS`Y4Q3kqx4^ligeg3V7HN-X(<s;`oc)JKCfs4`x|DFgvtt?A6R
z{8!^r|3l9|F`0QA1&u|cRP<Z~z4!}S41)sA-fm=Js8eOja&zj8Zki~x%j$K)-8u?4
zK(cPFvbEZneu3cl(#qA_cpF=o(L!pZ;u~>AD#W@P+)M`y5%y|C^VfVUH(xc-L`3CW
zL8I9P;V7+6N{lj$nt;x+KSp%l`_9p5g4zVD4MD2`TI5%4L6RebUnvDbf`YGIV3#EV
z{V4<Yw3hEbI<qSj6otQkc1$sniejJnXqeJqfWPb7ADCSt?T|0eENl)W^lIJTnte~y
zgQ6S5C}vsqQ!fwh<y3dYOVegMpk@0!AHrE4A+l>2V%(IqO;**cc7rHnu)tAFY@gv4
z>o5FaC{tqgrjS>+>n}(>CpY@Nd%Yr=5s&;)tL1W!#%soZt`L8D)|%Vz7=Jw|F<}2v
zZm{q&#UYn~XQRW>`F1^~rYxm8yWpXvB*xZuN7yaJa!k(@1O?bN{c}r0=rENSNTV44
zFpBQk7qRr3&LYy_S4ZUZtY*_tJc_?|w<=44uUE{SVA7RTKPGk|ZJvNq%PhIET2h_s
zZ>!izG038H&zezgKe%0PpaM-ASV_O}HJJwC&zQ1fZ$dID3yL5HHg7EFfSK_`?=1J>
zO~>_G8Up(miZ63yG_!W2lp1*W*Z_Pu;uu4jG01n~Eo%~Gw4Qbrs2nbHb3}j@5@kUn
zwZv~0TSy7$i{2^mJe=wdOJeJYh=o<wfaUo38WO$rl9PadD>Si)%VIXM2m<U*yY+|z
zP+b!C4qYv8*q!(pZo$_0X0Gg~HAWo^pEp}P6tRBQ;12+woP%q!HcfxL)TMXIe$gd&
zxe#EGQP)*=BE7A-w;;1RKmuYCE>2)@P^>L@H}FG_6Ra{^Y1??*7hW=IR}<`bWG^@w
zLT1XhXE^plKd5_Ic;2DZfge=U|IFD}1wi?2H;2?o2|7Yo3IxCx<Ch)A7%$^2p?vD3
zZO>4(Fk8@^3?eCZET3P!>mLvUHb=t<y-Z;>)`HNcnPzWGWYD+QA{Qh!PuzXJ2-mf%
z5)9(8Lr<a0u?X?^Ct16{tT3#JH#H$7vurpNfpmSC%<7SUgUnOyyCpsECN2S$*cWGL
z4kDExTp+<GB57gR2C>RGLytXCYpFIhiC1a<h&`k*eJYqX_oFN#u^x&opSEapwDHE_
z==br@`Q8IU^upY?dOZa@dDMP8=|nUUqNy8ogx3gIRRx-U#<AVJxHwy!${4xidwJK|
z$mPrwEExdSq#xrIsqG{JWk4SX8JD_)`Hj9h{Wfyp`1A6O8MnRTBRYfpcZ(O^6hgpD
ztSU586qO|yj=h9k-_Tmj*YOo&uA#!C3Gq&+-_A7mWorGsyDFH&x+DJy=PcqpQaTCv
zt$Wn|L<~Qv#qk-3`T&Sb@i_!azZ<?vmm^DFl}_erLONj!rT!^#ghy^fh{G1rQ77!3
z13~(L`%xAQi7oVl@`w5W2fy89Xk={~EJ4fR5d=abMjS>f3KiU(=9bieP@8lx&~~yM
zRP>sXUY`#9Kj8s;;LCwu@98`>%5M-2(ltT@xw`^C!R4ZmngNJ|k=-(5{v3x0O(_t+
znClVbcvn;OVui;1b{>+nc<y;~Z(}VDaf2_&R&@EFt%GsN!kT4CD)V+sCk%ZpR+NOD
zaaPXL3N68uhHm7FR6z>3b}N}Xb}F;6ZsMW`^keK|hE+JL+^VjG3`_4FH7yTBeHu-2
zG}Z-!b$!iD2aHAx9`{b7=mBbCrbZ0EoSm}tYxAVF*H9KZJ@6I`QSVo%&gifcLxXQJ
z2DRE+X}fXt$B@b}3>0^GSx678;;HL2MIBgMM=HV5-$pW&1V$UafI2~?!(`6lQOlgQ
zE%FR<zz4v4qGSl!_i#KtGjhAoKWaAAO=U%)5DVv;ihp%>waOsa(cRyHO?LJXbQAm5
z^RhGi_Cd8~y)Gl`h-jofrPi^0bhUj$(qeRJE+BB%e<*t$nY6^FmFtn~vh0yJuV(Ql
zi+0Z!IU5DH0-<Dc(;{!`Nyg9`Zg#LJa|LZQrLCdElTAUqbPQF1Ku4$)#V*ZVLM&m(
z{PG|OE!U0sAgwug#4S1={_WdQW@OlUGugf^yI!aJ4O@n3bu{q9)pDXw_9N>Yv?eYu
z*iXc%b)Knp#DRyjYP&A=A&#xDU5G~lu7_`dmlMyTkGMycm`>aHN1jAe8~54<F}J2J
zyfsr<Z+jWGfmwUp%YZnajNA6ONB`Syi>Y<5sb%t$o-I4xPH-hij%+&1|4IygR7y~I
z$ZqSxA@{2=Jvw7L8G0&zTgpDm8DlEuj5b3I;FwWuFyrUre@iaQG`I)IfI@H%zEv@m
z`v6`z<Ct;O#&siS=S_E$MKjg!Qm2P{x1N!IHN)8JSwH=T%>W?woggc;Sr1vC1{?8w
zI5h<|;{D}Z55kDw(WasA|2&5T@s4_XPkURK@ANgU8;dkF#(_@ct*i~iN8Ndcrz~ri
zCxo93RQk{NLD0zqK!@pwP`FQ@$I|LF2ug=ouIVoXXIG{k@T<q&ONcAF;n(58&dos{
zDCyx($j2qc#n9LLx|y9WcNP@>W4mcxcF;ih$MYZ*{?y)1=mk?s=^fpRolWpITWq`R
zZ+k7a`?_BrD>(POwVj8&^4;MdS-@jIg^=X!wnD$8p0(Q})c5?xNU5m*4H6uPzQHCq
zy_0n|1g&3j8Tkrm@$h>shIq8Ve`L{*{mkv}jO4r^>*V<jg1w<u?G0uI%nksb+0N>0
zgVqOoM4cWRrq~$Q*}$=!BX!;OYLW)QhtPw0s%S^_(RLN!J<SsG{uW>ZpUTf0{w3$C
zBbVn^GkNfSKYN?IjBD7fs-5T){OGaoVs-bedf)#2Bax}st#$etn;!2e?v~R`j$UBu
zXgv1zd@%u^13?$>Iqr6QF%I|)e-vf<F{NU(r(!S=C;2{G{qEsD?nFJ%aLIjZITv(`
z<$-XE_KSW&iG{M}sy3SL2r(AmIVJ0X51+EbE4viu>%Gr>a`(G0hB!0CIK!pGJG%Cy
z=g?^TJ_Us_{-t9Kb;nTJ)Y`^=Zsupm#2AI)#XL<70-p%n$@*`l0ctFh8iCOR)N7si
zD^3wO=i3f;O;=u#jRmi1r2!`yPE7FP&<Nu<RQr6QX%JcH0Kv3BLmF_V>rj(sChu%x
zIRaytbt7Srg-X(*rA-32!x3JRHN=$n20S->xeFm8$F$&((HM^EjA9nb$$NwQ%AdVq
zIn8{m<TcFenx2%g!m(YfS{1sB{<_A>HEOjvYNP0@<?20_)LI1@M8J{xjNIHlv#LNM
z=2d!c|Drh8I27gzH!glAS~o7fdGfBA=ig<mxY=0fI<nKuWk++X*ad_1xcMZR)&l@r
zI>PdjWCJXc5No3hDZ|!FL#%2pvRWCy^ymrJ<*=czO~r&tyj6<{T?z|ol`c;T4otn;
z@Ii3j`J%m>f8S7{&+9E?`^9n5j3f@m#UNvsnJu`U*&=+~ZJC##t8OD(+Y!53f6kXJ
z@FV$+pBGI(GkI{x#6tzA<6_S)SJ(ymI!8R3mTsqS&RX6lU2y3ExV|IBwbGrd1bjNA
zTKn~COwc3f&)Y!>zE*Jvy6n&+6#GikvX|AH0826B>Q)*=J}uHv1a~?J&tx56KH7E=
zd?UH*te+rczPX4c9Z=RWjjPedtSCLPQ{f&nZov=oEH^$Cr-HAUB_5!!#U_^bAG%!d
zm;>GCW^(<`Tta}`?p&|?)90<3tWM4kwgw=~eeN}=ZO(D(=$cgHp?#n0o*rtUOSa!&
z^QRl|n8|eG%jLT5n&p9d!-fRF(Zj!q0`Ic<XXZODz3iLlF~`0m06<J1{RRNuX6h$(
zcg^~i?J(&4B<Q!BLJ2m!I3Tda>UF+I<OuM3I4AH20C9%Y4|@OXo{p}5i*``R9T{!}
z2yFX6U?a?S#@Gh}+XxWYMu5OJ35qfzX0P;mp|1T8(c1n$MBC7{`!L^m`|#$1PlbOQ
zakKb(&tS-_7nE8p%kvm_bG~4JPdF`$cTa{%H`o3MYu%LJXRY}Sbg$mn|C;<sG13$e
zbiLOGyQlDox@U>%G?C5EZQ=3c(oR_d^$xzIFpE{;xQKT|R?>x`PgnBMAn)bVt`n6K
zebW*X4K$qRKF_C0hWeER-N#YOgBVO91oz!OIUH=EVtpioMa!2q5c;STMf$NGZX@A)
zNqCD?S<V`}Y5!b<H4||Dl6i@;^WBkXgK()3V7Zt6jRIbYdc(1vkUM{*mc*Psd);~@
zt=w4Man<@)%3-?;j^MxD<)-xta4iCY=Qvw=mMPo6MHqWF8|3c^Zc!Tm4$?{X+Q!+W
zumO)N%D;}37*-k@z3Rae4{ejB$tSm{%@g6RDEE)cE4H_((qTp=ieiMXyZQ{xAwM|r
z_V}hd(W};>2!Pu~UH~r$C><_on->U}?jV@%&(T_DN)Z6v>m)MrPV?;>FwY?4OwC0)
ziA?)pmj>AB4Vx6{!hvlv^Ua~+0X8ad&7qK}*$e=#(n%`vG=q&3!CT|(X$213B8)pO
zGYHw7`N*`MTkw&R+dOdjf4bDlG!^HY#i{9F`VSV)MCnk1Mo0<Zv@5?ErwGi=c2qZb
z?b9X6my+Hi`IHu@4?R2;(<L!W9&O8W)y^4~AW&h+ufFrD^dYCB31L&B%lC6o+YO?j
z{zStd)gPROMX?}L72oHr=+{Fg2G6P?UuKpr(d8k*rmj(g3J-=mU#v9*gP$v6KCqWn
zpP|(4dk(*k*(;{PHpk%5QMj`)r4l``A)~@>#NgD3yH9pR3-BK=4Hvz}wYJoEdCCN#
z4R7CKlTa6l*@iyMi*%egXybyB)5kdT)~(Tct=*%KUoO^ZZs~8*4%)7i<q3;xKVR^}
ztR0ud3n43!$`8c;_4_JLe&>07>0na2HnRJ-Ik?{OB;4_otqG~q5gSv~CB@Jp`Ee?n
zAN#_CdNxrhqX(rdJ)(1#0f8Doz#h!c!{E`Xv-;WjqQ-0Ek>z!Zr1W~OmM>`h#o#IX
ziRd&DnX|EKrf@l;EZ8wODgLWPOcJKXCLKePS>KN|F=|v*#x2}ZT!_tUz6H}TKGpdZ
zsZL3$h1jj`U#4GL#cN;%LnO5V7a`NEbUosJkP5fXlJHEcYGGUF#m(aLNuz0$iO;@&
z8;~q^QrRUx=hZD0o<&irkwPg!&!#GlPvWxp&}o0}EdTs6YDm8K-(=JO^yXO^ng8RY
z!v5dAdG`NZZ$49}9=F*(_)6|TEMuIf)GqQWW<#RMi0fda)=S%g@&Hz>0hNT)UV2RR
z?Fx_zicMF;G+qy~T%=B|0~{iU*VS*!w$Y~_=i7`B*^Ic;`?!rLZpVLP-0-=7k=^id
zejMg%{g{4z8^(}(#OI3_=twb{9V&etrn_pQ8}q;dAQ!YfD$pp*e!924#>^ifjL|Bb
z&S!tu4IPBJNaB0ndeDGAm@_@VKyhM!=Vh_H@@gnnL!fJLhzLEs`@!;8ef46mTmC4%
z?Vqd4=08^zRPL^V4{x!pd3T1j%n$2}K^Uo&kDUPZ$m?X<$N3Np2P9yto>O`VD8Cvr
z!cQsXmG$AA^w(D9PN9xo3i*AMl4uBV<HTp)^e^vphvud9Pk*&~zA*`!mzlH`|K#mt
z{GL*kz3!tw0473w*`v`}Xph|s26E+Knst>lm=P$z%65kN^UganL+<;Pw>{8U<+3~f
z^>L3YO)_oG?n>y7Q$Y6RUuTtrtF4Xq(<$n{cor?r%u7+mMkl_`on)go>2d-LDnMs(
zSPnxRS~I)#Npgj%SQp8~|7)$%*<7$SGTF+jDP{`}JRQ;Hne;&_6v=3!7OzO*=AOw5
zh5Ylm9%b{|Q{rH8mu2K2*`Ss2IcK(VW05eRPGESE@I-eY^|DAE7edKNqbZcZpZmp!
znL1HT^FPE>cHz`N4OV;Mm%kdUS*i+aMFc%=@4cK`OUL@7mP(E_s1kbBd%1^<Y1^IL
zUj3DH_`l57pc}UbQi6B<%#YC17F_i4ZEb#3vgKT^Gxw1fG7M62b6{FB3AT{rvD;v&
znjVAoma78xgis?=P$zsOV&~$)coh_ssP04S)dx@bQ^c~jlkM$?;>FrjH)2?`{}E5J
zt0xh6tAB|n#;hgoWkyVFL}^!>zr@q&KjLX06j^n`e~;=LJ$EpY2+&-mkGxsiKm|l3
zx0^g_ByT}DK&vtp)(YahM3scQT;<!21sY9jn_&4Im}*F~{3QS=!fFVv10<gMezgZ#
zA`=$F_<^7Ti#otq%o0!r)1f=@XQacv1>Y*K2i4nX)zq=F3{{$maH`XFEaa_|n64mA
zycQ%lPV2}8jLIKLXN<xczxu2t4jLu#*y1-&sra!_yO%|5fUeU1wtm@Vj?tKXPC8?6
zoy>fR%?)mxyl)#HfOJh5U#?rXz8lv63HoOV{xs%@jeIWqF~kHvs_D{tDNj&dHU1~o
z3_Q9&U)El%GZ+C>5F@<8F<nA|IEPt?OXJm8_iPvJMKrgqj5?{NK;s#T;va;hx$17f
zDXSF3S<1RHq(tME<{2_}X|Pq*txP59CyI0zWP<JTI3~?Vn6B;N{`AU8iWb93N!7$q
zmcy`5@4a_7NA@2rRQXtBjZ1tVm&d0V2q?`sHWOUL$!R-+f<|blQnIv2pI_q6W}&pK
zdjqB7P0WQ5SEMjy$W4?hO6KQ=al67Bvp0KCvNWq-h_Md&*%%aYtr>s?r2$o;wq4>p
zQ)zs7{ar7-(k=9>6llk-Zh_Mur(|%%=fyHPuu#vM(|C{{_!Vu$^nRZHqE6m0&xeT5
zg5!fk*UiDu+xZ(>pxG)In+WB!En<sGE!DI$*FIp6<g#k1!(JN!L7YQgi>qY5&>Q(P
zuq`27?cnr&uSDG*TXxl3dYkD&n`$v9$o%~tMCS1{f4V#?cX+h!v2u328c!Md`(G^<
zjeYGmxsHHN*Wr&Zp^r}X?c~rsbToSdw%}W#PdQvfK7y_$-uWKU&*zXj$e5<yMDU@s
zkH7T<5Eef;ZZ%A^A%=c$eeE20TXRhTzV$hFyTZBMg5K}unL7J9RiEOtZObm_*E)0I
z!%5`R@78oWx@Fg;>HN2-uH*!kqFIuvN4uy;i-boM)aby{w^`cmJH%G_t%~c;Tgzpi
z+XBz9n@a%v8%l<lJ->bDv|CSidym`FYQo<V_YV2UatrU7jNND74WIo})=?MFF{`uS
z3!lTLyI|qX$nzV^zGvg<XiNrFc{FfOSaUkUH}!lcEm*=aHBK}&KdAOQ>9)`~<2Gs=
z$NsyM>6F~@REDjSoPAfD0Mv*56x*)vu1ogq4(6qbcFe1N3)?Ae58lhA9UuIokgG@c
zc2~E?&EsmzsthwqMoLIVHT=9^_f7|^lhhw(iD%9KpS#_heVepi4;7l2Z=lKT^|bj{
z(4ifap9?<QuLj)^c);JBe*x}M2@uxcvj%m4foMV8mt=!*k+%)puQ`joKv&^EEkjlK
z=+)3h*G_%R*?*rth>g?q_jBYZJb)>WM@;+^(JR(!lmyANopaDbSW7K(aG1+MTli4?
zDc3vzWL;OKWGZV|r&q2;lfIw{6L`3s)>s6ivX-=FaBj>;QtuR~y;g<UH(YrZ)SSGA
zoYnGQbWqw9`m?Ht$=hEucny=n#767?lzb~R=7%!&ZPCd+9eM1dFpwAD+e#gx2k0iv
zO}NuyLl(dd`X-}%$)iFfR^}oIU5;V1u#mbAzgq#2mPwjhl|&@I@Na!%lJrs!ywu(<
z;e4`6un2NC-Oz8YATX#VmUE7f?kg4D-t!bl2@Zzp!;!=t32-uB|9(-TDkHu2U<Rdb
zaJTX|B%#+}Q)A7WKO#;Q-xkNh=yTR~ZrT<u#)l*PcIatymfYdbbQt&LKOm=SRV6@e
zJdy~4iO$TZOZv%C3{J-1=xAb(t-^H^N-;Y?0VLPPgDR8#nX%<%;0n+=2-B-#H%ZN$
zr&XHH%L)xvlv?XV?5DZp)K%=pYv5>26^07kn;vvDhhAy#sUI@&NaWE%R1DnkiOHp7
zSAAg&^~RbSGXg1Z7ghZW*}l(3(4I^p&@`;WXtjM;=!VBA@8`SFLtyC~TaLBy!VrZ<
zTDIWo%oGX*z`HG%HSMrW6M=0s4bw)ZECjDN99WL*S}e|EX~3!CWyTHaFV4DU45C^@
z)Hib&i!H;OFP+|WHbl~GDO^P*UlDN8ZIKxr9dM0h`D@?%MZ53C3R&BprRpTDI@)LW
zm)wGZ=bphw>>+aBv^`oXd`5GJzT#xpmVeC6cKda@pvJbSX>~32WL3JqvDH+o;(g$(
zsFlNiqSO?FX?%4{XL50}Px=5~J4%LL%iq@8={lut!C%&~i)lOhHElCP;tRc*-_q<n
zRCRi;)C2xU;h1E1xvL$QUf_*r`qlT%_2qo<8ms-31n&uF3O3FL$AQ<Ua)(>%{{Mmk
zTLv&Ca8Xy2&sDZfVsSC*Y^g&`0h0l#E-iatvJ7A{c3?7gV6uNy*QIr4Z8@h05Kg`a
z>F#=7{Nr0<xUaq(ZJAHu18+=s&JUlXIurWrD&%fkY@%La+N;2m<+LUywptYLei*BI
z8DAsy=iAORXWOp=59mlB07m`=!0Q|?CT}1BdggnCU!OzZ-3}vdnWb~;4zIb-#Qf|;
zas@qWJd3{FQ|7yCe2h4~x}}KR>vuk<!~28NRo>D`2>|Qf^I)*>+q%w-!rpfEG|h*6
zQu1tO<v6m2Uz#06d&a)uX_o$j2SmIpAmY6O5ziHfc#pdf->!<EqSvbUG>82)$K(X?
z&~*GcT><jmFsEYh51^-87$x}ll|OyV3GmaI95v&pINsvA<{W}lMxhF<uVsSedt*9N
zv}Bjev7ifgev_STvx6$zc!aD=x)_eK_(e(5LY+MjlVN=}v@_VbY{C=Ux8WuyPOr1X
z(;MiIe403xVfywlD#m}M)#10Mn^a;=J|R{y$3jFy@sOsX9L`+G&5+hSf^HP-8{!V3
zFn-LDk;Ub%%+L@uO=5zE-<||I3B|)il$)C<<C&r<yHb=dba70>J?hMjMR!x6SUD0v
z2x2PnCs@-baa%w0ORZ;H_QLfa>-exQVv@;iRr2LhS~(^j7<b;tCi^b<vrn(F{@GoO
zj+w0(4cC5{c5V<my-wFbwyc?Ai{#L|!a&hNJWJPOq^40*Hx5_Ao;~TIw9`qwW9lM8
z%ahH@JxMbOJB@-;ng7P7U~ta%s9(IGZmXPSXer1sZWJ`TjZ$qvQ-@dW@K+2qYU6fZ
z<qYkQ6Po(ZtwL0Sf*-oq{&dZoLCxQG;M>FyxUu0P+`S%NQ|}*Yzbv+^ar;G@MG^O+
zNRFea4(fT{M!-;7WBiVT3Mu?5??i&NQ23)~NwqfRnGOw9gYMH=-L@3u;qDMdzcQt>
zT^R(G<Dh!a!QsJnKVxt$?GNFn;3Y;Wx2)dsx+NN;#aKq1mZ(Fw?t)7YCB<@Yd|v@H
z)X2H>A|;K=KDp#5e?2czcvbA~PqBHJjA{LQ1W+$@lka+h!|kfF`3WN7ZtT&znrX+i
z&~Z;^b!SQT3599Qw2@lW`u;XSIMn)znr{ndCj*piphXnNOYbP!L)$oXM^c&fKR+j(
z;cdoTP*u*3U-PQrYndaXYLq=Ezq$&xDBCvb*<hWupgPW6pWKEFf7(c~A6GTNxul#m
zn`G2T4^{-Kz|kHtpdB(z@Q!8TcAE?icML_V$KD(3RYIlje_{-MKCfX%)LT*pJG0Bb
zTT3jlLiGP!qxqT(0C~C-n9YDNc9jfV+}>_^AVWmZ3G=--7sBoWgk}X3-tkcK#}b}!
z)x8IuS}gjCG<C~=QyoEH{SahPC)C}api?eRNh@$pabtWzR|N$-6E%VxH4)D_hmGi3
za}J2g?fQ+<c*P^T@I4OqCl9*vwpq7WiSOX%Rt6fM-`mnf@u6jA5cd{`5r3DxcBUm^
zlMHs%lq2x5{>ETTdoYN}Yv5{Ho>1vPcCLO-qx^8wa;r!+V68|!d%_!>uHGxp>6^3{
zD2x{q_>$Ct{H%bWcGGH{7cV9ul*ApeE9tGST)MA-LVe|GF~MTHjY7HMwPCVi{%gfF
zO705V-|Vc^SoHRViWDI1tt+MT3rEdEkoMuCR5rn+$WabF77)2p1<{cZw)pbUBmC9v
z<uMaYLADSVgrpJvm9JPeQA2Kl$2C0(!oCkrbpX1Va!sP8u|M8+n2Y)uy?C3ef@Qin
zZ0yzJj1OnAbF4WbJFNFZ=9#15JmrIi)}n7}y%|0Mbnr)^PPm!O?~u6F@D)~(DEl^_
z$yhp<(vj{zsP^kFi<1S3P~psD0d2V9v0CU5e?CZtu&olWlqtB_Oz^C{U+17gs`auy
zj()xO=xSI%+l?ubAa1skdH>y+C`y4Ftl@7Fm5(0z$MbgVv~GJd<YuW!*X(QRMoQ8y
z4rJ|9m4%gso<$glv}Ty0>gdVNEI;RNtI8%OUpwT*F)PX{D->p6VkC`+iOy@$kV*32
zbRK-IY;HRL9v`=B{pS2w)4*JN*r5*AWmT)I-Ha2uSZ4nVa7pNo#+hr=jMQ7!;Jdgo
zruVY%GnqfG?6(lb`y#Gh_?n+qk8`Fuo(8a9Q%tPcn4_;~QYI-u504LU1>2773#!jo
zA#H{`qi!6Vs<;F)dsR~7zm{7qdaD@Pe<lx77H|{5HffyW@2|XFxxvt+Q-}S2d8<{&
zBNffHVVnC(nW%Lw|N7Fn($%h9nFbPZ(JrMQ1d6`yn$iRFg?g%^UDz1bO;1C!!TAl=
zdt*%)!>3}$6<wkNRmf7DTnrf|h@XSq<GthYuzZTNB{<N5TqW1T*yjT2r$xmuvH&}a
z+R{5FE2iv|8yV%Y9+um?J1p(RIZN*#lkY5gd)thBkA&x3qj#m0iq(R!R!V6Z5~Nm2
zNg2}6nsOGSd)VwHxayQ#hqAY~w_y^diP8zQNY`^vu+*99($c_^vTWJz16Zj`Xf8`q
zm>p|XWPW<#kME?xF_tNsq!%E2P1feEePw|fX@R~mAtRLUO8rc*j4~Acqh}aKFK)1m
z$#D9Zi4(AOc%y36BV~D#xe6l20>R;s=tl0ZG7Rdm2gzX&Sdx9&;(-tvI)TtXG8hSj
z6_^VLms=3qz;yT<1-FTNa4>=?z9)&utSLmK%?e8~Rz|aQo{WUnO%+)i%@`3iPKej-
z3pPdc<M1$7R_P@y<s3Q2uf+`Bq7LW?DPo_eJIY^p&_OMJw^YTxs3p#I?EdX#7Jg*m
z{q0`sHF?tAcWLb-DcTu|!2)2^GJd5H$sM3GIAnwHgy*%ZY3m^~=ef)QkR)o>BRO(P
z*Q%-+qhM#?rVNtMI89Y8L6P9NpgQprt4i@nsV&&1Y%Mxb7|lp@CFbL!2>2m8-ybfi
zC@S(rLL=2#3pC!r=C}A5`N^up?;tzH@92FJSV57*2W6$i2lg@~6!}HXuXoGEP+CzI
zwmh5rabz{gp);kMUT-)g2VCb|ML##Zi>~gNT029!D~r2XP+Ki9ql9UZA6D&Di5IFY
zSLxT-FrXW+GJk-&@7Ec$3@Z|En|;#Cxv#t8O)Fho!=`Gf>}I`cnw_Md!Hs@0Uo%l9
z&FxrI!ctDEd`7l=Ur=1dDhOOZe4kT|+4V2rR4%2?6Hm8zqWhkhOjR+)XC^0wj8glN
zvyf~vDEyA})zCcq{Pd-txBR0dUTVB$U(x4W!rS{DG~8i4Fi+cuIlQWx&p*eHqJmFy
z{MY-exVz1}LQvw%Pi^s1K5W7-_fTeI_rrljz$?>iu3K6-HEj6tr7W{wuh<_Xh-)nY
zh>2N{Bi~Yn#D248*4HA39K9pYqsgcTE*rCPjH)!ONLCwk$C4Jj)<u!CRkIDoj?ZB`
z>qJl<G_FBf0O`nLjF`qB1DZ+bwt*_eH*N$sl#&q8O%1^$l0yTUX;N`pcyWEB4yt79
z9~?T=?{zh@;d4guudVaIql$4ql$5zB+sXS@gNvUrTzw!~JW*Bui>>^}LjE0%V_~HK
zAKz#8{~nEF|6h*A0i~D!vXw^^hjqg{G&`Pu@F?bfSZgjB;3@|m!2Y&Wtm7ycXcWG^
z$m9nd!B;uXGGDM$U0Yd+xEM6S_yCL5BZb$wFV+I;R>XZIc6a}3c^<9qyHK;W8Mshm
z^J$BF=Cd8w)8pRo{v`)_t@_vh+2*RdL!+DA*7)y)+>%(Mu*m1V-R)KJ^i`rx-a;|o
zRwwd51L&8%m;Z;WRHOXo6txBDocrqlJzuU|Vbt)#cljAcnWCJeo)^lvXtRf`O<%D6
z!Ls<s^^&y|F?)Fzp&fyiO#431Rg1YtqjNdmuJ(_sr2NNKiWvc|qB!L~<BiFWqBy-`
zsVCxveKL#(F#Wl_5_oaS17VA+kJI~v3oE(b33dKjK$|L_#!Y2+O{mrO#oaf%Qd0lW
zxoU63Zi|`c^9NOZUZq?gkiR1EdXwoI2AV}h-Cn;>(%CK`_gy?L`0%yo78MkIYvu))
z!+W#)`Iij;{CGKBW-~!bu1GJOFJ+4_E&RAEN+RAP(}ZYMKe6Fq0SBOHG$I$%I7>8f
zvNaWSMoBr1Vc}}~4W*;sENRWz?sK;Aj=28gD!V^u-=%^vjj4qsBn$UXWL|K^BdxPD
z|5P=mDC^Sb25hJ@(x??Nm^sn0A&lf$%`hN{pGIIR*@h3sF^Q-dMPL$*<bUR`3%WHL
z>1IVleCtYiyj5<sljSTQi4o6+A9|S=LNBy-0JB=o-v4J9mCuR>C6#p-;>oA1eMS0<
zPEN0RZrSx>sR6~JH@F&Np6&0HoWd*4s~z57%c#>2Hh@9mTq-9e_qUp&e^$`DnxhSz
zLKt6l?@uqU^3*$sO+N?)B&8glghgZum5G{uM9llq#{A4nnuuUZ7A-g%^lFHPz9>9N
z)KAFl63^!8{d>g7gvOdCAQ#8~koI886!K7jIKL@y!X+RO=mq`02-eaGNpy2Qs1>L{
z;ns%Mny5uyBmZ05vqDv%IUe3>jZ~Cqh1^#GrDD14&P<gbv#CsWLiPOE3XO&%P_SGR
zOx2W2Gn!Kqx)n373Jcxg0lC;GiPQgOIIP8CQ6XjK2o*DFhSU8`y~3RTDx;Oc_$mu^
zEdwiP?VNaRK6UQx8sAqTI6~yL<?*Zth1*Nf?A8)pGHm+Y$2KF$(&#a>vX+yIb@Flb
znS4Aqw1x9`n7_JAiB#oJPwLXI#@Kh)u9lZM5C@Xg^{|$bBc6{~)v183*ew_z_gfPg
z^M?B3Pw-d1{v$RIR~wq14*+&fYkYhu%WUi1a>GexxrXzlYGoN!En1saV_DUJ>aoqB
z#at`-g$gfR##w&iJ;iSTb;+Q(F*#&lVxxwVjvNe*OV*-MUb#%ERaOvXZ|3<*J#DKJ
z$}-1FV%wo?h0VUKHWBBFcGO~K4NsL5nG=o^O$}`VO6~!R?EEE4XUT?1giSc9(ZVqz
zKynmKbrAsmTPq5_q#(;|F}Yr<Ewah7Jeh&Gk?&nEoQ&7P^wd|Xkb6Y-^2ccU9$P0!
z2v#SJIsUN>_J{X{)fqqneqXt-2DfM?F(a)YKO-B^h)P}DNIQ_j0!loI`px*ff()5B
zQc~|RV(K=y1RAF{Nm@KS5vNK`U&3vOGD)c~v6bnda_z=b8F3>KlrX#_6!91TR~<jv
z9Ct$eYC*HMNKV<$rlCrL3R9U;1PWw7(u)#dyjfw20#zKD4Dwv=9Bv~OuI=Wy0VI7B
z0+zM>nx74}W4@nEbJ(X))}l-y?|~syhxGI+_9VQj+#@c5#aUGBWXcEU<O2NQ>%X}x
zPztxrl7IVVSy!CVhAHeAut>}o7ehpAcEBQ3im>ZimC$t*(-GZE0lz5{WOj@_L7HdZ
zS$`9w5B^_vvvdL@XZ-;_iof(n5$Q=umcKH@zukQW4QDr*prjPYQ@We)z|PN9TTD@&
z33diYn@>!xOKx!b?F24GQk5iqoYU$wp$38%Fv9=o-$PJ>M|?~GU$oAV6HrwnjN*aU
zJrc&+Xb(J+7g~zTaYc4!Y6~I!RYWc4E9h>+4TT^sqS*EF5tT3dS6Dt%ycAL}Zf|yZ
zY4~hxY)+BWS&E0CnVww&b?LJ5S<r=Vq+s$yVqvS;QtFuBe%dNmj0$IgFO#^9b@NiK
z!>cZf*U<j<N_S-o-DvCQmd0_{lPMf)r)}`8k3rx--a*~9OwH=y=DCN5XgMY)-tcj8
z{B$)BAhvFf#S$&zl_dE>GVe}2ImH4II@CA=<gXzc7-U@sf7RlT!AG1OB(El-F;@1Q
zpkO$$jk+sg2iV+c>0-PW*$!x$cFL8XT7O($=e&5Zu0pDOSHv}54=qEQFBI4%5uVTl
zvK3L~OC)06J^Yp!d`y98txks0YnTbAARzhDYGfq-qCSuWe$LL<jYfhvEGBS87>}c=
z?!|gXHn*(cgW!pz1<so2g@tnIW>CVjXd}x)bTE|FG$aL$awA7#K;v(URr^dUGMQ+n
z+q%pGZQ_SIJ_zw@6j-E5T2rh1s1OevE9(tpzGGIqjpTT$ic@{ou{$aEsWtj$<@q|%
zJ#>W>i>guV{`tBg($*wtDI1MF{u?w6BC0Zy+i;7e&~QoidS=UB1Lb(+bQ7k}j%de-
z^#p|z=9FToQez$C8u!I?SQB(Ct2HU^b?pFPQ{-2KBeh@{KZLt3xQ;%w&AjPytYj(w
zDgyc38RKTh4;l*bHr?4<!*Ef~DCL=q2e5mjNmLdJ%S#r%I0fq#HnVH9>UC$M0(}9d
zW7WAGG2&;W>jYZa+HbC?D`h|V8_RfyRgY5^%g*tARcJuVTk#;ofG4_IFG#=D{P^1W
zQGKQ#I_s_tqBTv>f|&8OrlT$0fcd>qU(-9?Ajk9dcsBtH)Prx;j8kj0VTN^8KR16A
z5_mM{(6S;S%4^y}gejQCQfZ;Ky@H18nauJ~dg^Um>C%uYZ>D&fA?o`CXUCmfW<f*7
zN3-i2fLCg(cr(?e+f9k~yT8UXbRz67+_toL^lOyyA`S9k7hgTv?Q7lf;l4{Nbr@w1
zTpGqWQlgtFe44k=*usRlGP=_Vvq-yd6{~2hv2my}xP~B|N~FA`UPNvHvX*mdnJR>U
ze$anHxZ{p}P<)|!Ci2TsKs*mD$x=*WTD)HF!YI`KlUS~k0Ysp)OKKXjW-hoOl)OJS
zl9D3}I{7#E2cE<%V9QiPbfD~t<awYU!Vm4WKYgjCAs)uF40y<Kp`vNv^l3m9$I#i+
zu>4ys{GU<dBQH_j-?yF?FKj)WJ!FXpmbLa1WHs^_PYX^qVa~pC)J_9rRrW#^Up&_y
z&yzek>(`zh#Qn<SxZDqnmGN}ZQ7gAt_mBB=OZ)<b<T1rY=6T4|&~gGQDbZKLXM0uA
zV66s^fnqQ@InaenA6Npp(*b!WrVi3$wmJOIzMveC5a)m3el69^IDv7J2C>T5%pj4s
zh>8wdMWLa-W_d01gSri@{}4H27Zp2e9)q~S7`w8=EAsv((W|QELO{`D(GO1yp>Dlm
z?~5Am0347dhs<rW^E<E|u?IoT`MCDd?HSguEm8C{wi&heMUgoIL6P5q#D$`-JzH{(
zLbm0}+_qVl&kqp(c!#cv*smV-)(NcSv>Nf&0k1&Bsnf#%r5Xt4uA?<HQ>T2)h-eJR
z%#{o)!mni@=~m23KtN=#SNAXoN*;7>NL5r@1vwS9+r~!106q<NxVBrJ{QmW6!j`>Q
zj5@t!dQNL5ssW*A&5#h2MhMXmsHQcL{QfUm*1q;22vf96Jf_GD>M<3}W-n|V?7mQm
zJ|>lSeP9$+Yl^!dDC%>e(As;!$WW;@SN*P0(5fdGCSch?$3AFE-U3(oT&~z~ay{=e
z@I~K_U3PFaEnsLoH37w^<oKv2@4a110xvZm`djL)AG%w#vV-_XcKT<BL6%!Pbx|kZ
z_^v(Sv9O+a%GoOSVaj1N5AJ_lt5q8n&eiVxW>O2!AYV+#+L!n47H6Z%)Qe<Gk3pks
zCp8Oikx9#Oaoi85si<I6ldP&KW1}uz7D~yW6f5nOwoyP}#5%zU=ab_e0UyPT?zs0L
zb?2R!{h>F%Az8$-oFGj!DaSVo9&Rz(k>?O!s^oM|oFFkhVh111xETLjFP+)3)8XNo
z9A>=GFMZ2+YF;EeG+<6Tqa4kIH={ho<ecW6cq^ULhHJ)|)0Sa&s?2vGjDqkQO!xi%
zUN}L57JV&2#ovZxgz!S5dw8<d-G0J<)nXiM!oSyIFFO??KxGp6ju94}6VJsb4n?M-
z+oX5?MhiXiCi_en<;<$}x?v_6r+!Aj299NE<5$;E8qSr+gYDHH{>S{V=A?K%cAlOy
zH#!cfqn)~5&0#VjFrG{3!|EV!x!6ihLtsFxh*i(sLII~D296$(mFQ?@M$$R7gxwR>
z6z!|=Is?<#p`4wEvXC^~KnF%Y4Y2&EJ+z?q#J)S<`kws}j=<K*p16i7AQ|NSsxTku
zC{xKP4Y4j%s^Odp(-eZNMOaj(B7z7EeMB)wZEz%#>64B&)ERs;12;&DN~bsp{O^vL
zriQ=q3W}UqiCHp<e%J?%l(fQ7#kl}pE@?=+4&EUySqnr@8pFZS8n0rEm#YbhS1cmF
z1%j(v*Z9SPlz(G|=NJ5ie4mlX?voaFZ(C#Ui%kC6D$7(mMZ*rS?;ixu4$5LP0$fqR
zHHr{!3JLM}e;E6!pvan_OWYj>cWB(*-Q5OvcXxO9!C`P1+#7fI!JWa~-C_Cuh~1a}
zWgqJH?da&+S*NlxvocRtt%u_iQ1QKF_2${mFLR_=QuM|llCFdn)bD_2Gcm{a3WvF}
zoS7*w)q9o>p|ZHH<(<8w#XzXz_koq+8wFLGDB&CR=ZkK!?zCmj@WheSgNg{;MA{HO
z@DiYBEvBInV6Iq$N5>=B0*!`1xmq{i8J!)!1+#PtM5g+h=>uT43=M)Z)U~5xwGMxi
z&GL=5eqYUAZ&+G>G#iOaLQ6+w4@nv>)VjG^s_W>xx*Ap@GV<`$Erw%3A16-F^-R_S
z(s~NMpB(slrbu6(Pfv;jQb3J+6G*#(vI~OjPVh_!9S|m&_OHZ6hA)=0b8wH-)N~!Z
z<@P4~Kc5d;8O!kdr>D@PilCg`qw1g5P(fUz-3@fl<)i&AEUc)LR8BTO1phZpAm4U1
zY_|`WmiL!@{tjT{k;K~Tp{J8ZOqMd02Ao<I9(s<4yo#y460ORq?1G4SQ#-b!ibK=R
zn}yktAt<Xm?VB9IOT}-_YfMK@o9b`L6%Z{0)uQQl<D?WX8{wmuP6$1e{O#`cy(6v3
zy<BzSPHO;nZs~g}dYj9Fs#)E+gruGme^Y7ztdhk`7BU{m+vSl587cz6FvH;pvt5f(
z*$lhuZHtO&?N)FzjMOr44<QZWE7cEz-U$`~NVaq-vG=r18xDa`UU2feDcd_T!XH3G
zZ>5FzviYy)@S8B*s3Bmol^eSTm|KV`taTOOjy=uPY9^0++k+d0f+d8~`7lwhB`9j!
z0p%7iTRmQSes646fcQXp5Y$Q@x`_>&0E)6GVBsidmtS~oiGLQuWG$1@&p7*{UlR`;
ze|ZhXl7!|rZ0s{({(rC|>I~0K?Ib9V%Fr7_k<uq}OW({H5RLB7gK7OjD6ms;hBpNt
z8xR_HbFs{>?to&c@*z#+2Aqbh$q>^5putvgq%8>V5r&w&J`J&viDPGlO7OED=3^;J
z#Us2vTp?czPaZ^XqmW}EOTgXONr9h-8SJZ@^kM}Zp8TT^f6L9CP?{(ffha?#5+u<!
z`}yoTCWy)_gk0uKO@^JX)_gxM4C9^5S*J$PH$5>Azf=_<ynG(@;PgOj|N8&KFYDJf
zx}B_d6kHd?)9j&RmReDGY+LCN_|*1X-2~+zi4HlGgKj=WJSSB>^G5nveWWwVtp4C&
zSO(D0T;xh2w5D@HlT$ao9QBEj<M~M2dF?IE#mvYuhWYs`TE}pWmT(wQlSQ^@yU5NU
zyohcjt#j)TXH=eo4<nloW026uFt1%G*d1fecBdrsXL&Z5C-o64g*RWJB>jE7yQ|b#
z!L4d3{oK2n_AG&JbhP<t=730RA$dB&bmk+W>vrg8&)8q}O)2#c0YS~3eAN$iLCr<9
zx-6ZB^k}A&#Y;P}o*lzJ0=nu^kNjiV7rjgwC>kqBbQaTm$C*m(BaTfr99<}90H$@P
zZjNLD#BI~}Dym$bkrddah95u_uRWQ0PfLNZo6P1T7AY%8zXsDsB;nWX6T*O;qs_!`
zuU#4jG~n_Z``MCw(yl`|<d$$tRa2ifxvY6t7L;Dh>6p+ts6?rx58`K!ALs01dYzdv
zV&xN;w{I7@sOV}bYiOktk}8pfP99x1S7svd+^GUbsXSDWz0aSTl)ZAFOcNqfB{vrj
zQ-1=u=0VydcewZM{*KYfpo_xRsubX}p?Hl2{pcST93=GcBl+)FVFrZGGyh6pLzYrF
z{&*o(YG?}p-4x&dvz1W+GRg>fH!At#Z=^4#c9LLi6Dd)NfXLdCUgW>bf7ba!<IoXi
zxavAn1l|@E_BjU?k~s%(Nu8=c)F+W74vRAE2>vngZtfs9DiUQc8>XeIPfpWTXAmGv
zxwzVVgteX>g(Z1X+NRH!I;?(99oR13Og}m>K+{6xUTo<isY~(s>`xseIX`Rz7$zMK
z>3(D7dEp#qL`a0Okwmf?A$x&p&I%O|S3$C#S#w+jx)&-#$q-Dn=Zt-yTgA|IJBpvQ
zKS!G&S9`+p+)9)bs=BE;w!G+XR32Y>smb-zL!4-VUx;WSk}0RMzRrQk^3Hy4r0y&j
zNnfIxrO&;C*=%9=7p+`ACXh=^F5jz~pG9nr>~Bu}fKj$vzPbP}IJH5Yto?Dtm`s*Q
zho{glc}5#ICobE+LP<Vo)LJ|ZHRa-H6yGOgSEP|w-kU$JU%jMijH4#q{g_uJSEFrp
z75vs4ZE2Bh_f7+2|3|r72Sni{w(*8DK<Y1%-=EG;G+lmkT7E2Ex-%aUx3>6Kw#4YL
z2f_W=fF-u!CHXFuoQ^T2<R1sPCn>ku)k)K0WF~}q+RB`(O^+f``ED|t0DJycaa0v5
ztog!vwjk@966f{?XUd5@9f$YZ!!OY3j6uf#oksn?q)&EM_Wwuv<o@5JPwxLO(&wh0
zd?Q(l+h&i!O<Ince8&x<C*^8dQHrt7<Dj(6L0C~eMhROo-I)9PmCJ108z|a-9V1tC
z@|*}hU)`0}_HGV18}9qvU3<n6@N@a|>m>V6-aCh2z~|=t>-)=mUf$&6$kAhu;uc@e
z7aUEB#U9pCk)Qv=>innpovn;+L;c_Kl@iV8`RqT63SY1N;U(A}uM;upXK3o_wmg1~
z0nL?h4~_CMe;M*V)nfEy%0uT{81;GZ(t#`U6WX@&u$RcSpRmDrFhB+~`cesGvoU5L
zq3cuj!8bDBzimSup087wfGSXik4CJsT|YR-He`cNwh3!HICjHo-DKVmd>U*#;=_|X
zPHYYe2gR#JT>tX?fcicvz>()`h5zGsy(&*2!l9;H6KnD$#@p`9Wn3>6b7au<)wV-M
zlWgJhHEe1^yY}gV5bAR8KB$aX)W2!q5wJ(Fknq*KX+lkH7k3w<p-3dS3OG9I5&rtP
zh0Q|C;t>3kgYJ5r{l_p_hwk%j;?EZUiy5NQ_wEE5K1J$?GkIgG($&5e)wXGdyDq`u
zfj+_7YG`_a46D|96ZGi06Doyq`V<=BzAlws3ZU0Mjg-qi>zi*stn>7HKAS%$_EqGp
z%1vW|hxd9PIiPa+f1Tu$)+Sa=)Au2CX_N=p*`d46cI;s@?M7>CVPXB0WiF=NqL?yd
zZ0nX)q647=Le`V7>%@>5(*XMm18+YOyN4}}>zLv}@+Jg|=d%%0;N4J0-9N^b{`Ga>
z6WiE0Au%O)uUtY$-`G3L9Nq&`yZwb*4tK4wR<AYlyP2`}z~a`nR^EKFG7>w5p8UE7
zjANfNe!!x|*1fpJ$tG==iN#G1Ksq<@w`(wtx08d1yj-Og=LCToXw3>!i=|YLZtI3m
zOyuOF@pBOolbIl29{DJ=n)*hFajc%qzjA|m4abd@Y3b->pYMc=xwPD7a3F0Yk78g2
zvYbE#slSt6A;S+|pyKq6>Z>H0F31q-!&@Op3?zq;bD%I;2Cq-q+oNTJ)5CZ<Ji1Ll
zSm^S6aQZftw;n4d$$oq?3s0DTw$6>nI+KkCU#28RZ9pY<tc<GSXSd1W;bqDWe;&Y(
zPuNwB=r*`8HB|U|=QD?^1sZl%8??w)MZ;u5r>K^RftL3MuGaP@`s<irnKa&YNR1e8
zC;Zv6(@nvTbxC#&eBGI@Uk!9AQy;9EPCeufL}A$qa_gA6CD6nwAeY+!)df}IzxS9)
z49}_VB@vF9%d>kY62_`qwA0;#oK+=dx}&`djMNvwEF!%b$%FgAHKm^A2|{0}6_XVE
zd)y1#^IFULco;c(v=%w~FNC~GU1dh<OcR^LKHbbfUFrUJnjdUsIjJ7?W{|LAhYuuj
z=i$6ZHiT!LBC(u7^lQVr>dnjB=F9N8LY{5ZuimdF|Fuwt?;nb0u6sgXX&1EJ8lMh@
zx65BLsm~uK6WDt1O7i_DgR~$L4^5&In^c8ts@q`~{9xyH_Q`dY{-&8n3{RjWLa{_7
zMA#rOVooqKEU}bc|D(!5kDWgGL1zr&OjhbD_u&L7@?p_KsEYB*Fz-G1214*Lw>8Az
zqB^TTOW~^l`MR@zUubEEw}aS!98w+3j0WXKcEM#(aXS=)Y8Lf|wH@`wMJx@>PK^9h
znDA2&;BU>Z4ra|)=n8IbNuNBYGZv&Rbw`^RcCo}PSJRk=vup7EM<{NEcjw+sWB-1-
zCZVXzjDSF|(Rci8pwRb78w{&6eZlc}dyl<Wn+CrC$m2Irdx~YO%GN>Ho#>x&%D}~X
z4|!zW{{$Sx=q6ILGd5N>xJAhwR8J;R2ch3rh)J4`A4`~yqh{&@QIq7L^*XXp_OCZO
zII0Wg_FCQqPqmB54aQ0X9Ac(s-jFTCtiej9tU-6%f5ZmgC7)@3iyQZ4JniPB!?FvP
zd1j6&WL)nE7y=u8dtxRvF^^v`mXN?VB4jR%l*ccR-^8wu@ykKoIkT#FhJ=v4k6jUN
zKMaFTX?-yk4oN29lxebgY@te8Pv=ru@05z#?2=O^4KYbxC=`{!IEG50B1My~Amfn1
zf4Io(vE|3>1tl~deD+Kjr5C~&Lk!f#av7Jc3qGsc7os;EgjiN|b^@^$$1Y=y%|Jt`
zHFh#Ihzo%&?2?Xz$fQ<*&xCOT@sf(f&!$o#z=KsDR!1?G0d3GgGp5ZX7K4?3?J>CG
zk^x0~H_W?yOCEQ;SqLW44eqt5W@l=mxbFLbx%W3q4y8_WH5G$C+M(*!c?*r#rXy`s
zb2o>~1Z?EKN?g)(@*CJm)TrD=)TCHwFQEJxw3k`P)ZBpcFY?A}d?(|V{enS7a!g%N
zm{nx-7<V?1O-~)34WG8gH<aoM6lc#)8YPWHIY&j5ElRwT%)RNS>hGQ{1cEI8bv^*G
z_VUdsQwxJJ;iOSV8id=U|4BS5LYa~%{R1j7qN2B6i?XR+`G=`(7>(Fj8Wk2&ovFx=
z8lhx#`M%mY{zfWI;yhCb0i9(RlCS$`+mBs;$l)iZpZVQpnM&^T<-vp8f8Zb#jziH1
z%E5~8%E2T}f6qvW{cIJiZT?%-d7C=4M0X3v=Ue47c<%+Np}FfH7Ss8P8VU9QQ5h<@
zg$0)SuCm{aLdUHH59nQ2!n==4iE1}<mcZaMwNs(XZQ|fagy8%c#039a$(Z6Nwjp5!
z*ogV>?-}<qvHnK|$Umzw6^zGVSou~ksdx?HAq{fSnxEMC*W4Il{H-)F_8oyBPfWu3
z_GV#9k2J8~!cxLOC`f$^3;QiB?6<H{-@*#F3YOC#8_a^kmj?cV8^wpq5h$;~T{#Yy
zejrl<KZQzzK84)%LIxjjl@<99*nR)(`PKfUh?A~NZLW%9j14FDXQ8H#PksZHRyFW`
zx_jZM%mu?huQDk7><3{yyhWj5^|GMG^-?tw=xS3DWb)#zbFRW5t*#XTmK6u1DQ!j?
zVy(ULVFPO^N637#EzvA>P<;J`-+UnDoCOgkesjpF!5Ov|l7LOA3XiNVeCdnbbs&>!
z`H~k$OQes2Q*~qKy7iB3Aqz8lI#eK1^Q|>z&yvmI5crOL_500|BWM{9ku+HAy^3#_
znodz2=2|m0&8%jSjJ3rzp*dt3LA}sstSKb<G}8trWp%Hk;6n*5agJIcluS)vP`oav
z<RF@#m@8yDPBSC+zdR!WVa~-=uyLlmKmy~8Q6_^eIXI^bBh~KSIvgf%10ez@3Eku%
z5o&j>BGdfg?&P3W^$}^!%A2?{05_}+dp9bOoD!%l0~Kr~CTDg9QeWRuA{lSvPYVip
z?YW+Mn|vW5)Vo}&6crxG(qxHzsr)`rO$95x7&$12cC?@t+ULerEFxJva12#UMk<3l
zCoVSECRcIsvJX04u9z>2Aq||8xArOf1y@tIVr>Vd_0S`Twd0>izR(V8fumF|g4&4i
zpRT>oM!eQkZr6g4{fXE4&?Ogws^0k*u_98wJUbFo4kK#ry(ltVJ_>VdNkeLkZdGa0
zQZ9w{_N)fcZBHUSr1ou*RFjbA_C;-nBarJKp07#VBd)6d%ImVprf}&(a)g0HmB3nX
z5wZ*J;*+UtV+_2Yss%4#%@?W9#9e?qrFYbCGHiOGSGWoE@;LMZr^7{#<XbV*BDHxO
zz%rpr&y?qpbrP_zOZ9;Z9c8O1LOrahmJOg3rEfp5PoC+c@EGMB*NuYOPiB%_0}0v5
zjQ<<0=tD6Of=u-INWg34RF11WYsc^4U$8Bz$NybiLAG9DYA^k02@+M1Co{mn$lEXl
z@dKXl0D%|5h`G}CKK<JeYR`>28xSh3LLIw(J5SE*EEoNEvL@RNHmk@OUiV_jcTcf9
zybU!DO;ygyo^RSG=?$&wl3OJP<7hx~zjS|U*@fhLJ@d4NU}!~f#8<-xs03wd?L@b4
zGieibe=9uZI4y-pL9Mf>KRAOnw2_n4>n2t$BH8q9{F)|F0m^5jr1TSy8Kq`2c!g$e
zYbf-xfgbZL;KJHV-;FS~NpgloSX^73OkBI0f2Qu}-}F#IrlIPbjI)3bHy;;#ckYJU
zZ=RN9aA4xlSq=@w*x58i#?Yi(-5F^l;|S%-VK*#?u=(n&NGa?i$gN}WRK4`%LcLQk
zy$LPF3Z@E$CU>7b03F>H*2+Ct{@F6PdRP+OHtNbQTS<3RN0J#ygR;s9N>cBi&fZUa
z7j)ZkW>QE6<}AbI;kbKsU3WE7$reV(h;qEmi`_Y(Q}rDQg<f`<8wYl=4LWcJ7^N+<
z+?)o;lDzmN|Gv@H`Ptg6&a$&cJ~yChYtPH(cv?yZP6^6y9X-W0Hc=jwoNkg2&PYjG
zm_9El#z>iMzBZxEl9aAWiSES>du`7+A~Z8`5|p2g7uzH0$sHW6uMmgPwXw6ayv{Qd
z?7=c{c+X$-9A9a&!7<)>vdTM>TUo9?@=p`KEz3swaaenFQCGIXL%pkKv9&$dbDs!E
z23?NJfJ@6)YE3t*3WV_9de6$1y#-bP6LSHP<tOYWXHwdbNYt5qaoCyQGVx20wy|_N
zCTWS>W{HUp)FGjCH=7e9V3K=#u=sHa&?e`gu9H%D1ZP1UJl*_*{@a=ClvU&hX|-Gy
z;opUq15vC0=HM!CMyKF5CPFO^Ay@UIkY97?-n_NXa#Pj~T(xqS^~T`>S!WS95N7hW
z1a5<3L2r8x-TJw`Hgp*MTS&z+Ua922gS3h7%5nvwfz)hd4HOYPVM}Dev~+!W?8!4b
zTkz}T(L+`?SnX0DBO=^@XtdQF8Z?%IU#iKYGZI;&JDPw$5I5ROqpY<17Sx~to0ffV
zD@0L`i~gZ&+Jrs4kym-#Pq79;P*^XY!nCa&NGvD0w8=UlHxE;Vu-*^m%)^9#G(3p9
z&`gC}Wkv_<z(+;H4^}4I)>fn%Sek=P3taQwQOBB-VUtr62X8E#_ebfAZZk@|rn_rD
zmhc0$lgAWS6U98x9`H9&xr75{Tn9a4?FT*alem@eP^D|HhIEmWAU<ERtWNOyJR6Y3
zfAF27c0W6&75SL273ol*fwybs_&x^%psh)OnLj#xEyzKQ+?LQyH7J*uqLF|7?nyX@
zX~-7@n38sY?vt!K*_I00*^=|(=m@)iYde;-`*#$0PF{SYm0(MzaU4qQq^X)TwH-*S
zra-W}^2l>5;8zdd)7`L5js#DiV__)toa}?quNrV~%*)3fpHSN*kOKG-H3A;@6KxLs
z76<OH%Hh+GaLy$IozxV`8Q5hzhIxFqh=!b0>CAm{F|?Z;6jUw}c^Ox7u~>U@G5n+w
zX*|?0%f!{?Wgih{b|bD--=_7~V1ZO${@<iqApH*Xkq?YgR@`%b!H-N<P!hqh99G}?
zh+VSy`kZi+Dgi=$IgCg!-)aT)`^arLS*<SX*o%>(=%~47D9Cx+QLCq#<$NmHRavFv
zWa=_S;b1;cTv?<AYl*%CZ*BJ{InYtZOzm*6iooHXrzT1xf^Y{FT}|k4xg}vloazCX
z+fkm#6-$Eq`fRsjW7?{L_N>ngOS)N|2&B2Lr69*dH>EwHGp7C<^|M~CEVr3~m1@z5
z-Bve4zVGh@X1N`sSXGB~Src$g%<`1|!vFrzbDW2Xs{zT{)sg_BJ;)6Ob(ZItjN^=H
z{Jcd6S_aU7bgCwD=J;b2@vN6=hUeIb?TiWAM6wE;g!C+5B6LZZb@;LlDTDwb>_P;a
z7dl~@<Zi1r8)jOA4V6@HmQbifo_Ced@H@n|BoztO#~=iwsjdid9~CL~j{dcaIO&-e
zCe+)ndJOU_2pfbD7bbc#UIYi{A|%##L!fsVoUObLS(C+xh`gBh0(?*CEq_G#(I{}w
z?ooJq<zfIL)|`kudf@ww)uRy@${KW(lnv2_+gl*_w7W?9t|=k;KkmE0nb7PRBeJvJ
z6^W&w-THK;VbMLN(UTTjXa!O>q*rp%Jx9b*w>@G*wml|L@VJ44V;)0%Y*bumeo_{s
z=vlYFS>S;k^-oH4g01lAJGRkt=7Sx!5QhxwaB_ML(4Z1j_L-{}!nEI3gFi$^uLlpx
z8ghfRs0o#@zs)BB8&TA}&5R7DfeBM))Rg=?s+9;fX#{=;iL@jpv{j)IXPz&2bl*J$
zkQe(@L+lXK77Pok8wc)Hs|P!=(H2C^R)vZ=ZOAYYHlcY7SpvvOt5dI+t0By|ec;WK
ze`O{{9!)|7p?~MQ;?E(9@K#~tN1;UVP!WfS8@KGKs8UCj%2cBehS-TY5g-SIL<=H<
z!grlx379cLBN4)IQE}jgu;;7AU<64v`VVXnv-7aCkpt3!`F!TJsMDOY>X3i7CO7Ov
z|La`!;OX4uT^R(*QfMX<mOKA-5oW*c{@Wy^a48zQDGS!OjdAI#uNNc2n+l7qX2N<X
zzNR8_F&qnROH5q5(k$hvbBqK|i5KA69@W;eu=x7@Oh7O&xJcCFCOW<EgU=51wmW$&
z9V03{3&3gnx2WB&;pA{mw2j{j<_4E|Ki-k`OCL-UVC_oY^*u?u@KI&&QI)-+U$8FB
zK1=u}1$}>op`&b>&QcP0kFm(~x@b5i1-?Pa-1JLN2r|VKbX0ymAhlkBz23zWRl7hN
z2OSHlpkq^iC`K87tERjWUEMlq01G$`D54@&Jmfz(=^KB^Hd!Z_x<q0E!-Z_r?WBK>
zrkKQL`=uOu`+L^l28y!D4xWZl`gS~0)<7fEG7j(WM$q6<&aq6ytqN85dW;4FEbaJ1
zT}@&s;_JGiWChV2H0Q{dMrhVq%33t3dW`Ug@HAH7LCHd*{3+yk68RcGjVJjB%o}Pd
z#G&#-h!Wa=j_84+%BTgjN{E@>NXF@u$4;3pe|+qOevD+2PDFc>oCkbTbarQjT=aB>
zikGEX#N9Y~<lgox2L&Hif{}}tLIsb2A{jTz%9lYA@6t`IJH`vqgI7ACd0E<KUw+C8
zG05a$wvY^H<VptIi7Xa8jV$8~8Js_!%Jm}WXrCl2!7=@}$|$90`Y&bX*@LfOCzq^i
zC!XvCh6!EH*$d&@YKd^K=Gu&kvy}k}bXZ83W?J;`6b{}z;1G;3lZyuBz*u|vFtFpN
zl8fTvz{pH!Axe`)o>Ty7a-*@K#pyUA5;8>+62@dnU841E%+&=Lh7Zc-AW?Ch<=pio
zjw1dk$5OV~n^Lx1q!S4oq|sBvA8f{=FOuFx(}Kx4!k-@~$wgV~8%10TessbMKE(jc
z!%!`Tc%$zTEn~Eqe(TmR5Ek|jVWf@+U6YB%(ivjx-9W<+rX!bv&4jQ3@uK3w&jv{0
z;Xz6ctHZ=Qb-yjHsxy&rqRsr~6@h`Yhfyx-{U9cYmi#D1e<GTQXn2#NC!gj95<7|r
zeoIFGEg3x*=~)B^X~ht+k7Jh=@53PO3zHr`_BD6FyRpxpzPicz$^3_pmD?YOC(0|(
zcVUnGagSRf&r2cy_crhi{mJE>cT3AMjJKl$P3L@dG861%r~2E`fU=(@4#BzdW9z8q
z5hq%<5$(c%5wuX6(OBm0U~VIG#ce5U?an3s>YeZ1BYfw=c(<L7Ea+y@U7^dBi>9Ux
zj4WGG$s9GAmLFi8`H@=t!8ip5ndN6UA|_YI8N{M59Tk+>LePt=#Ue21XAr5h#Z2dx
zBy2JGPg@SNIKv;mJaDqIW2m#W;oGoiUWUdO{r}TM93Bb~0OGyCv_&p#hPS1O8vehn
z_)In-5KihWCippZV~S`(LqZj{5%amFVTtIp`X3m2$g6VB8tiFuN=>1ze<IBBEejvG
zX2cU5JMA=pz4ccWxq;u8OQq4p+<NkyPp2<kMIQW|1x?Nv{W7SM9}|%*l~U~F$J~qG
zh)aGW&i;)!`#0i|--r(rr%uOxJn4iyt2tAmIGi2<Kl0D=H0k%-qD0KK-O^(d{s`J5
z6}B#lcbaR)4TxgMuHHv9iNhtf?t5%W^BF|7R#^eJ9G#Ae{%Cw%OCuLkYX)-N3Vbzo
zM5J@j7r?FC@a^5)ph@~oWLf;>RLb<mtx2DU{|^Yrsair$bs61QRHArV0K<Zd1%@JE
zZD)S{ChP6VS9(Wf7lt(^7n4<b1LRI=my07Rmxn_pC$>7V6@*(gIHgscg~SOh+0?2{
zQ2#bm*CO?*JT`!tVljy_a6o*iJxC9^b6f{>eH}Etr;2Rh_?0o#@hbV=k~cj?0xU}v
z7i?s$NL*58;8;Rt09D#&0ae+`)F%>4tQ~fUeJ<U5_GCH$rtniGGHCz7fz~FX#6A>l
z_Xg`mzVH1OVX~2?hHxkGocQ3$$SLji{_%u?chmGlw!o`F_up5^x&7i0eZICxnxJk1
z6}VJB7pRmKUu-H>p2UCdyG)>xI_7=KShbwQx|tynziqtiMu8ibFv!WJKP)ngj~ewC
zHX;i0P^3sBkCkW<=GY7zy|WF70XeWNRn9Ugl)O}@NJ~KvSyrKU1#@r?BD$SWeR@sY
zGD?$kQ-_wPoE-}+gnu}==$vT|3)WV_03{m*AHbE21;v?+1vlzlgcx~Bsw4U-H6q0A
ze)Kg};%xiw?I%-T?eH@^HTI*uQp8pEuHZdBk|;!f+Ccza?#s=u?kZ%Jz3%hbv7KY$
z?M8n6bc#=Rndw3Ei0V$NPJN)Z^E`M_#=5$ldiTXa4F?UayJxCd4(wlHuudC7QH#44
z6HBV9_P9HkSva13&!us5M<e$^#ZSh#zt`d&f77*ZhPeq>+M6KtZP1lwBYe<(R5=(g
z>9F$+&uWm4`z&=Haq#*I7yQE>gBey{@gjfl*y@OI*swY3X+70G7{f9g=1Vp=8#KoK
zF*_TEiR3GcXUYo^DBxMZA`<*S78bBb`(L%G|EW3sNAc(2Waj?A8<KGUZ;C(n{~yI)
zcRd+n1l2F^T5{5)HiZ7ve+Q9{#K4rUz-<&MjL1}-m`+^;L27C1ZGqpW)Mw?y><5JU
zwUC3I{6TruBwwZ$|Jz;~YyH8E!OPd!;^C!9k6-ip^+n@U0$!4T8~qo5+nPXYZ)n|*
zENZ)h$K4Z(03}=Tx=HvpH{k~2o65>5jrnwoxjN#7`@{1b)`8St_THI!fOHN4*^wp<
z|5pkm+9O@2`N~EY0R5h@!jY3^wBbSz!zaQHSRofYUnJEg#9{TakfRf<m%*Nk40HAQ
zy;ibuYu{V|*Pfh>Mcqc;^fct23J*Hu@K|5@37H(qoY3L@GK8r1p95FH=^47<Pp&?g
zJ|A8`qC8;I#_I`=z?fU&c;tzfu8#H9J5$Lh>XN+TJWaUH<mZl@_wb%~Z3FhXiMJGm
zQc|Az3eR(U$n$eT(+EnA?D)&G@!g9A%T<fu-n+o6P^wb(7S9y{(zCTmsH)sjo`G%m
zisdk(c}u4H(0rsS;`ICp2O6b;OB&WBOoy8i#AB$I-5^Z}nRH{C?KgkJuurD4+{tSt
zd)N33;o2rC?#zF!>o9{~Pro}_5SAzyW+){zQAMuf`5bh($ztNS-7DIh^dUyjx`g_`
zk69=n?hZxB{ha5IWZ_*pk;p$|nPIGuI)-;BU_lXEMmiY!1X{>{q0Bq+cKD<F+kpMJ
zu!3L$2SBI!pI{|&u3#0*9nxD8v<`+qrxiTUxw|GJgyl`oqrv;{x>zo-ntB3I6kQ8m
z$yr)urgYj`54|cE>Xeo5L*RiOI@7Kl781m6o8>YA2DvPuUNo=S%gbHZ!3Ceg4QlZT
zfT9L$Uo+Ft#EOtE8bkl#OZ&qyE3p(Z4~1zZ=}2Ujt)y@a3j1^Qo?L(zLNS|EOVwr;
zc#Vrkddjf3RDzumD+OVO!m<v9s?JvQRtawELyz6pn90h9otoq!P#Ma6)}^?UBE|!W
zh`-#<g}a<$aot(vmWv<^dr<ndtK6Pnm1|2xpMVto<0GkMBxGd?<(FX#niISoYb5k6
zK*nvBKe76U-T>y0k1;LQ@%`f^=vs^o8s|R}2xpOCg)wP2djepf#rY@>B6wP$)V(^l
za0DvpzhT#)`r`iH5S`X-C<aWZiU<W(FCZ;EjopvjaKk{veP@p#(uYcho(1n*%{*6-
zE95WuM{5?mW6E7)+OvP3B|O1Q<NPW^Z89Pgb_cX#s_=5{=U{!|?3rS%fFEfGvC9!=
z1w?Ns3Fichg3b@MmL(ow?k<e-I$Bdht7$u78F%BNa}6ccb(7qo8=UqE0}U%u1b9qm
znROnW;wAQNSx)wA|0XI_X3Ui;#pYnWC?mDmaZlDMw2E<Yw+@n_1w)23eaue{UG>m7
znFYwY%D0!~&B<u%up`W$@V>@O<XnHBH2;z|*H3uEQmN$?WXo5whU+V;Ugp!xw;<m$
zO}QsDtcJl~d^JXLQA5R#DHIMbjzyZ_QxO$$8lfjRb&QHGrACYNH;j3n=#DiS*T>UT
zarAZzEdx>jX$|rEg!S7z+M0Uwj!mWWNOEdau;GT_j}wR#x$TE)rP>1ajecpQ_zRQ2
zLfP67<SakWud3@O*x{M>_t27PwEqs_lWoy+r`!fMz;7WnC?4tf@(s)Mx44<|Zy_bZ
zsmj#e<L_vjeug<BzK?F@IPTqp_uEBZA#|!-r8-5(|BH3P<Ld9Do&7luI6kCmkv%8x
zR5#w)z#)1j=F<3(XwM|>Ay8B#(SFXy`k@5#cl(b~4$F-qe=*Sv<3eRW=ogK7wO}-y
zJL>tH-0aj7j|Jzl%{gr!kV5H^o{VymCN{PXnHgMCR;tP2L=^wX5)_>OcfleMyB(Y&
zrwh%|<QTly<z0^4V_2WI?bapTcY}&VM#+0vc<uQFgk$nP7Ijf#X||C)gaK|9*901=
z6q1QPmIy_pJI^?g?!yOAmFWB`o4f6{1<QfSB<7BW6^^%MNT~@L&wHE}n9u8#;<1ZB
z<Pw&w02A6Cc5WgVcHBJc5}jH)s}hN1kekEq%154{30r1{_+v(HT4?`)&bYcWi}gG&
zuR&ohLs9fx<ikTM32;q;gj%OIo+DU{N59uuLgXtw`2NOp`^Pl&cGV-b>Hx?MoS&@j
zwc`Jml4W_Aqho45Zb5y4f}sEI(O`(AHr|oELZMb8xF+|N(_wS*<sCJs;w~j1oiuN2
z!R3j`ZkTyc>K#!0Mp8O^oPBvC#HLNLEUV!sIis%0+J>f=s?Nh^ZBEZ?k77e;J{HM$
z!CjCaNr=T-<H2b*(1D<Kh;I9AX%8$~5~+@)v0((abGf`%<!9S2>HK3_qSEpi#Wn!(
z+LwIg%!v_94(F?U8E`<)dtJ6<U4ucBq)Lm7^f7n3fAEYy?=fZO1OphR^C~zId-#j=
zpokEsrg4|>)Buky*e(=abg`X3KSNmr=c7F5Nbsme&=QejR9{zMFT?TX%Qf7F9bjp5
z_qNVBgw*0~n2sw#J$x5SyO^`OlQ>bPh5jHZmm;aE#;vo$3z!?FlCXLF(Z(@OwD^l_
zh}>RL@kJhylASzRLcy)hK$!QAknEqi1b{vE%2Vm$Jgw@ZE>b=)l9$MCyJs<kXxKz}
z)^QIUPQSZ{lC3uBX*cxWsZxu($VC021{d*K)A3lqm2uNXPcs=4wYhUKfwH7R#!qbw
zssv*O;VjIIq0AOeOKr=VYsaY?NUOcuwvmt;aY?yXY+BUinjwVUwq{7wO*|YM@$_cM
zAAYaZU;=g-$@}UB@l`!P^CL$A0#j$Qlz^XaO%;sO;6xZr@H0LbaJg0dL2;9~WQS07
z^<XNLtiAr8hX8YJZe2S*Z6Q4xmcJv>W?P(ZCmt4d5y&BR2q4U3hSjHT`oN^Vvnq@m
z#N5hR<cZ4fqT+g0-{V=8b&4M*`#cYP0mo_TWCfY&QWWk{Fv;1!(nqmG(Fj^7>5BD%
z+*Fd<hg2j*Xbos}lS=wLP`ozw+ljc`_`<lVaAiDxh+(<P2&pQvGeDa9-?;+U!@`TF
zD;T{C=c$q=Et-iV8WVcx&xygw-<lPJ$G9mN>6iKA!79`G`H}86O$(u3OJ3e(YsfJJ
z5FrezxcC$c*-C)l)yrh%Y@0TD-)Zxs0u;lv#|7TXFbZ9Uw2>++&VS2I(@H(@uiRZ4
zQ&YYb_wv--2hTTQ{#!o<Yyi*`#OTL6^qZGT7xTXbD*0o(e1H@dwGu=k&Mw5bMNj{F
z)B5)`kGtevGZsbbE*itHbu3b6WXxy(->c2C?pw2=4a6Nqhg*TxUP05`Al#>>Vi$NJ
zs>hF9OhedQyv=bBTTx<t$eOTCD0_-iv>-O5T6E1KhS_0pIe|`(kcdQhWu8tSJ$?7J
zO8aRG17IQbO7@GPQ%kKpP3Dlzd`ZlH-_((}O_k)}z_D0#?LqcY^8(`oJeA1<+Z}~g
z#koJ027<r}6NLRZ4shJ%E~O-V&bCydI}ZNTX9R=7*+anJs;KLB8+jrqXL!1#Yz?0L
z>RP5)`zD*Q&aRf(czqOZJ^n#gyRTO|+UZwOi5;SMxzisMqZP8zmX*za1Y#R&ZE$iK
z7hXS?4s_!dQqaP(3T}ybY9?SMJet8989TX0Qt#19{rRn@tGuHd$QX0zxCwz8#wUu=
zv1!VG^dW&?HXGX)4>{TRbB9{n_Xo?IPpl0(eiKC;rq3PDSXO&B*}<){1X@=NnnAES
z|Ng!vAE%`i=84D8+*Ag5c&|Mpbpaq7j~SNRvVCV+>P)6ff+UdK8&hT%Wr-SnZM+7J
zq>|r)-X`e1Vday3x<wn!dAni4q>X)t%HwmGDk(PbfBwqn7pK<sMmO_QRCfMb%+>YB
zv&#X>ZLkYpGp6+s!vf{+14h)bx=9tLo_Dm`{RzLgFD@6x3H4Ia1HX8*Wx*dQhr{%L
zxP_e7_w-~c5VI5p?*fhcGLXx>iR#7aAa4{wnj_PjukyPig)Pk^I#xpNs#dw1^d%g`
z%&^ooB#5ItB6@0?(2e<sqfM>&c!_>X+f>fBLdjYSr3Wj4<c-#;a^}^QQQ`~1KG2Ax
zNHv&~mGz6Sp1tl}P^OZz)_aRg)&M!f$&`LEWBT}IS-O(zxdPt|#8G{=&!xb3L0chr
z=tAc9XT=vhj1PL}n2%&ZA!X?J7hEvug$~q(#T*k7y&I7}g{0cAKr^v1c*u-+*_`{f
zXi8&@V5N=l`{}bzfJNL0hVZbm){vkL$l0i`Za8|6bup^2X?ofmb!N2+G<|Rk<L-{9
z=6fS7mIYy3WoiY9Fe*N)b|{!7AHg3XSSSc_F7<F=`{%~}`^#ZK%Q&6I|6V8kUk)ED
z3n%;kT_-U!{cjQ<Gt>VUi*Hd+Ynhze$?s~9=!SpkXiq_<V{p9=!Em4s2uidK>OF}q
z%4(kVu*I7~Ew1~syrPn{LkkJnw@BSgR~q#xJWcKM(@Jl0Ui<5t!uODkW$-HO|9XBA
z^KjCL)e~UU9N=sH_4GP5uc5CTc5C{+>)WJQ>;GW<Rp7+}U|3!Gqjs)B_c5Q1CCLBr
zy!t;JzP-B%H`q#9j^CdSU+Xm-OFeelzV0vgTfmi)6d5kRoA<fZ)~cag{Uu`?8Ari@
zhbz;K#Bw)k8|FoCD%to|^k9v=<Sv7ms~nxqm_Ju`>ixLKvj%?pSXZj~BYtNuC0gn>
zs%20%2gw^JHfnt<LL7=)tA;BXo&0`kHqRx&pRZ6wDLH#59uE#g=eTz#tgi>BF>ZZ1
z{(5<KehOY?bLSZu3O#GNXiHK+!GLBjt+TuCl_@1&1tL*MwwDWnX2!Ob{LhzP)o~@o
zO>Wc623^me@E!Z__ZGN44xRZm#Whok)JJ0A?}y?{WAx2mPYwp}*I@=rLclh2S2s56
zMWMY+zVwB0kEL;QSPB8aDU3Dx;%@RW7~+`vS(ZcQwOSgQ-Tkp{=KECjAvI+G)zqaf
zkzMr@?V^C+>j~CFj~v5L%Aw4#rj3Hb*;ZyR#3yeJwQ~SY_IWaX?*SUSuBzWm2kPZv
z;%fN$_+cU&X34^=Zn{*TnsG>1nhFTv2Oc~8&I1~Mr)FB>Jj~TEYu~upK||_znEyxO
zV~43T=xKWJxMqO9UDM717oV$bc~o+8kXIPX@t-Kk6L6W=)x8KN*n(d`bYW&#C^s4C
zZs>@D9RqI{T)@OM>AccU8tuc*Hdt=OfEf8sd*>1+{vw#|@@6<oMu5CCpq1tp{g1<^
zOszGjkKxELzc)+SDuz4_eLKn({I+(9&VVCG+{eJ%RTDr-`nQ!C$HV5#<`+LZOnXP_
zNR!j{p;&3FP|6r0x%Lfwb1jz8T}CWbLpnxcto9f<5LbAJ9VG}kMSM=Ip?UGNlNcDt
zTdWSRSOy@W1IiF<v}jhQ8dg_|TAGVmYBWBl=5F7L7<D4=Aah<Rl2~-W!*Od)S5Z#?
zjwm8depUn9brciwcX3G{rA>Z+F*D3ejbZFp?iCKCnRViV;~Ce`|G2_7F;p&8u&E!x
zejKSh(gkEYhyM)&5%4dqiVUYaM-?xJAH!E)xrK)l99NATx88aY!=IDLa89ou|8whc
zp=yG8+x$mk%m3!oAYd)F1jFW4?GCo3o$LL*Lx*BlmW2O#Z{s!{LdT^|PWrC`ZZ1T6
znJqe~LBI9z?eg1J&?kIe>w)CGl;C~e?fcxD6tSpHRio*lqUmPoZXr=_tl&GX#tA>l
z@?6Ju`b?Pt_$1Uwb$QNbi9r57nrurwiG8)U^bZ@(WwH3%`p=Z==ZjpBWS=Jpppwcf
zZr~^KibIHy(mWH)^AphC&S?KUcRO~^w!%(kdJ5Bi5r@p}jx#rNk+URrsEXv5j=gAi
z7796BBZ*VKr#?LZrF&THQ4Ot43>BRnxSl6uyWt8g;jTD>Znn5{pEq;y)$CzPcX|H^
z`n_)iCS|3(&k3CL%>2YZEjL1^F4c-zC6paJ4Cz>;dxY33c%mmYqipb*NTuyhYobA0
zm0BU0moPc;ZLRb1;Rj`Zoj+=A#@8A}2*1%cUfmk&|J_C}fv#}HV&BhgNtrvI#GNhR
z^<9Mb^t790Fi^z&vrNO!HI_?>N!i3JA9RkI#+!PCm<z}E!4zX!0?u5M_P`Zy;(SQR
zT=n5Bv!`qlaE4cW!ZauP)RckD5%LhmuOgpr4V|MamtNBD`wy3Iq6+`4;eH;}7=rY7
zU$Ypq(c0YpwZd?(V+$f8PQ09R(-^*9%$sw5-)K?(X~_3!0!?zboV+g8KUFUOH)rp3
z!kF3R5`es5z3Q3|MaTB(K)m-@Zr&Abn@&-`v}B>)XIJ38Y7*ZG?|nYPzD%9D?~6tr
zbcpwMs&>}F5#9WEU|J-Q;#NL)DYfatMcN|3<0NsN_#z2aJu8soG{Icx_R$!P+;6yu
zLT{-<Foj(eJpaw-d$PO|&o<d>!6Tq87RJ)jK$X|7hsv2YA}dc^BARgqBvsK%Tcz0R
zS_J4<ZNL~ZD1%?pa;67DPuNL7r};$vbJj@#oxu8+0}ojI-4HtgR;Kj2Pyey9V71Bd
zo7~AQOco;$uEc#E9eXArFwBr;wJDTBjsNLS%IdkSP8_Eb)XmtZ2b@j2qx+@OqyLHG
z>0T>}dW9*b^Tgrss?*r^a8fZFj_cm5<FAOe&IR1g^5xSa8ldC8Pc?^2gmxE%^~vEa
z359j{MHGy3c=^O{qD5)4lDKZ(kT{!A67IYO_$K{)i6zPhaF$3d&b)<zCP9O|EqI0R
zZd}~GftD5XV^vxicBCKMwPb#-UON$b0d&BU+9DAoA_|O$0Z%ApQ_}^1m-BwN@oC@&
zZ%^)(pbhtC4#)x!f<!+F`O4b^(z6WY<g0<H9;a6)pi#tHc&81F=~PUsbyaWZ5c3g5
zy@Z(?<=7n&q(5$Yd|nX2R{lk*g>w&H76^&ww1EATGdU)Vo?o~3NOS)xqex<V>dC;C
z#*J8g&c%|*T~g9bi@84JFzU<`Zz*!52QBLO9A9VdY+FLP?ov-MFAqcAvUzY!)5gBl
zPpy4|d0L<D6W1t8^m9QY#Mp7;k?8s3%XI~+|0L%V#L)fm2qJV(9~pXeA*~T#31hu^
zT+Ler7E;*3AbM=Xh4yd(3bHpakAO^n8*gozX;BB-OKLvNs)X-wjj)HGAqklh1r_v<
z6t_NZp{Q{)^RW5N;S6QV)+XCe_srSeZP?CkwH>)%a6^r}cuA!cFAGU6$uA^D?4_Lu
zHqr(ZAYHA%sUk}yZSB^cq%9(?D=RIu;MJFEbpMgak6h25&`o>O_Q!KNb;5HmO$vkt
zum2_-F~}0`YVKiz*u)XVUB(sP^p|}uROU)r-Td06Fh>i2=)}5By-Qnq6aDgUy8^_%
z0r^DBT};O^>{D!3y2^#TAucH7W!!%1VP?-_%y8A(MNx5UBQEi&QwZpU0x>K0rwWfN
zU-ac*CsLjGw=5gBrT*Oe6T!#pasBrpsN488;gsoGx2rJ;RcqrdSvJ|o@MpHTIB(AZ
z-F6&Ny~PRqRhVOSwCU0aDfQLER`K<gU3FU%@-y3~)~*XZJ^ZsRBSchDJP-5fE*qU$
zqOMAr6B)sQE^FUG(^el_mk#FFz1HV_Swwx^<4eH-!*uPVorFFl;B-Gx2!ZwQu&*FP
z18R+^OA+b-(p}0OtNwPNVF?@8(h#=|OR8fKSoqjPmITJrym*OW9%_O?IXOP)er3im
z>g<3o37MM&G4wMSXy%zON$FpleGmh=9MfSe!?##rr0by#cefe(-mbPG5PRlsDr_Nb
zX9-wva8qN*qae`cyzl)se`$kL<`4ivv`1krB**eGo%;)lOdmk>>p+p}hVc=n=n5Dq
z3C!e~-E^Y-a~}~UvbwU{<G&GVbd%jnm5@q+Ch|a&*ejT~1JB2^SRTAbiI14BL2Pz_
z%v%|D<a3R_P}o|T-W#ckVugwe@@VFlPo3LEJhysGhPHlyi33Wvnu!7XciAr2JOups
zovt?aeDWG(oo%jEiNQ;#NS?aBd$f8<xq?|Zw`Sjw7jiN3BzSVPaE1CP=o97t<q}BV
z%na{sivxNnlTou@LT(8Hz*E~rX3H<7^$G}P6H=#IlQAj_cPzOc<|vG9ohf(j&E!Yj
zC}`{hL&DaGihubrySb}TPaj(e+<bA{^;kzJv*29X05;!5{0=z_BIToWJ79A1(V|ZE
zd_5VSEYB5rRN)@WGYB_30y46E`o8^ir_jCT_DDwIdqQP(n+#f3aWA$1w(F<o1jjG1
z%e3WbI)&!dfiHafuj2~?uKJfnE)ob3wPKU5{!yOehsN5^dN%Ei+!^YLUZd`?NMoI;
z_{1(k3&A7iM;503-R5q9<<9=Ybsw5JFt|-6MW+)TrB%Lj#cfP{G8aNeBaZ`5)=cnb
z6W!^Rz|GX{j0wgFf0+Yg?a}Y2s=h3+DX4z6Mdox!W&HMuq~=L{I8Q3n`E~<5cFO~~
zW>S+OtnDZ8=xvnVO>h)nFlD=#sjTM29su*wTi8SD^cXuMkLru*14|v@!+q5c@fL~0
z>V1$*vSQlIml58t*@b!pgm`F=1dA)ST7cM$)T;#z_l$(MkYB2i<t}r(MIOqhMmxkj
ze=zUx69N*3&^O$Kvzw&8_4H`@z)jeI-OQu5w~;!S;O<9)f*0gMIM4p;bN1FJ28<10
zA^xE;WQ60QJ~XW5e{eR`)rffvR8#^ieqJCoC}nsLYdU#<(0af@nn0Z>8Jibm80Nx-
ztze$atX+x(HUAJXnrmlVtXFDE1{VoRtSR|GrW#5r7nbUl2xR;9xyndQWNE$#*TWB*
z<t0bA!YIa{V&7*Rv>hJ>83O*IRA^G4;W?^CH`qKHvE+^w(`J`L_+Dfg*4gp&uOygP
zy(#1^RooC@!G<ZGpUS6H2^&)Bu!HQuuT=fhW1~klj21)ZqxFkS1+*iDGev|r#GQ)7
zqa;H(ml|>f^I~SrUWR$aUzhs$sC$}6oZ34|pBhSvi6-IPuhd%#e@3ftr~Rp1Qp3RB
z@v~|`sg~)RTezoZ6B?{y3I~fvis--Y#2d)AKvrQS2FIinoj7W)vRW+3r#L8m;;9z{
z<r>i>)f>b^J?ln~JF_`MT1S6c4BTuwcKX_S^Z@v+k)JYnY&6kl$zHAet=aRxxg=mn
z^!PwDr=P1Wl;5b<Gc8lupE{8@_5RkAb_{WE|30ml%547%-NX{AcEICuAyE?PD4v5f
zswk9`iikONmQb!t(h8>2+}fo|X!8#hj4?A6VQOmtbTJGGf1#j_AC*wUaB5uTLgF#3
z7^8ovYuMsW=sz(R@5mbznaLZN$0uJss!R>!<|kjDF%&+5R&TlMDRHhHVcK%H%RbK;
zIP2dpxP(o?{}TWpX>+6fOIgR?ew+D?jKIg=9-J=;6vwhT+k^?1Ws8zLsAu)82)Z(!
z_FINu&>Jz1U)kP_V8Ob3(-*_c(Jh1;Z;uypIB8$G1bDCOEi-=Dq_29w_%tuy<G6O^
zl{OIqS0X|1x-eY~a+pViK<yD9^eZ^Ys55RB;mX+)gK-S11GS~#%zc4i)+E#!WVPxG
zXL**n-cHjv|3rK#!68I|{9{WW!{Z>_3%|;{#0Egxa#yi?2}nUMxlJK{jxd7~H=C^T
zh2VJ#g~b<r&xL63`Z&J)#?7M7xsuDt!z+Z>_Fp%jPw6GJiIA@!g#18vwn}$Y)id>5
zp=t#ziUrXMDdH5-<)?aN@@=-ZX|@<oE>H)J37jnc&B<OIR+JnxR*P-)$$11_TX;Kb
zyC(#EJ6aY;+4ew-FzvpecRbLzY^aBabs)AWU+V@q2P_kJ419j^V6^i_v7{Djqvdq_
z1q$<B2AnEwL`oD+Jpq|H6tr5k*vKFs&K5Ouj9r0s^@YNu%escXE{<QNdKqKrmmhT&
zuQ*rsTj<y_L?cmv$*tXg(>1hn?k<A!G^Zek!?LtdZg!pK&n^r~joU@f9Ux3L+I&)E
zO?y&q7JX7R8gpH4&3gTJ;cRnop+?@*UnnNYZ|*U9T%vuAFES%EE*{6Dnb?xjsqH(c
z*nKEKDVm?SKhB7cGu&rfBe_0C2h#f8Adw@1rLomLF|!Hvi+Rr6QDjfsRc<Zv64NTi
zuG9&5p|EC&SL--rqaQHO#ThZL(|KUi3c$X~=yaE)+XC2a33|C4F=fkcxoVdlr9mpL
zv;=pYv1K)9=KgkaR!E&oj@PBMvXc7Pe5=}Sd(xw#PHYoHY`c3zxQ%V;b7!1gx9{X%
z@y}^FIda;txBz(nBP7=2P9k&dQV~ViuA^;R%|hDFr&MA*LWTbK0Wpc#kTkD?62bmZ
z7(~WJC%*fFvIB`r3{FK{C(GKEf2d0}SnVCOR@ttEkcbQ$7PyDT-p+u*3Y`rL`9FFq
zPgS!rd`{@#{#xLR4#L>dn{LV&L0Ch!Wnb{s=O55n0C%OsP#oh8dXFM2{8(<!8-&^P
z?QY$I@@?aq&;Vqr%V}g2%nJ!IQ%?*dfymi!<RK&>kyIp&rgFrJ08!hRNJ~49F`;$1
zF{ytoSSanL-!?QkDDg5CVYn~3;JXEJec$)Z0z?yvWTAF53=lj0v?x4_6X1;uk5EgL
zUXkFz!FVYU5Ri($iGE>5yArBKDC?v@wAb~p51X%0!twU9oxc=}>WKp1+wjB?Td^{h
zO!TG(0Xh2rsrf@LjLFJmk+!~vBEqi#s)tI4gkL>f3GX;8|A(=23bSPC)^(}NwryKo
zwr$(C*=5_dZQHhOtIJiV*80!c=VI@ReG$*h%!@fQGG|6ceB&GA9rZ_KO!x-5Py85a
z9`#>#A@gml!vFS=D9~PC9qsvfar*voqI+e6CG}<bX@hq>504(v4YYiKrydb`45EBs
z4kC`nUk)6YHVBQfR7c7-QCsn|7m~93Zu!I#J%)RHnInG!`}`Bh=j!aFwCpIc4Kxdd
zEIXh^Hd!G@`pSSIkYGOB94|E?U$&|)rtkE3;9_-9^!H_qgYIl_Ts{9;U$vj3-%G@}
zo@vTrdYQ?ldStv2b5{#q^WYea=1XaeRth4GD&in+R7zQFXot);3xu3M57~9(p<v4q
zfc)|Qb@A5?jZJU(x!UdtPYJL>s>dFF8G5P|a?in8)4AgmP;wg&|BCZ*KeZKb;?FXa
z8VdX-gR-7oK9Yu0C1mJzGG(asGDOo=HKgN4DP&8QQ-<-S%sHdu``>&<A6JA%ptBxd
zc>UR=8-XBMTH-RE16#WQUCxXp!MAkdUZI{{v<Z#AE$4J<_^n1P5q{3gx*Ov)k5><d
z7^t8gNpcWIu@FQ?s3DMhDe~TCv438Skd0OF;Vd>*01|T&uwuUi+NFx;C5(7X-4)S<
zxc%x$i`tb6!IW1r6*wHJlnA80OPI<%yyeBg$2<J!QF6VGqUi(rVII7_iQ={=d0m5Y
z_q6LB#Suf0q7>e+BoPI8h{b_mg(SkFNg(F7Vu@>M2#cDwNX|@%vwg)*wsdiavhEuw
zH!>!Vho4=)=aXmhe~Q2R#v{}0(LLAD`>aAlJ$8qpy85i(32rvovbX@A|1&gkCV5rU
z&4tROwOf~|tDQ0$gZn`}cN>AuAzUX=h)j}b3~CpdgqBc}6nloPJ0X?zJsuDW^TNH4
z{O%BYLhKTQiM`!q*bM!ns<Z2*=Q7^JMC+<UH~UwcY!mR5q2Jg_EC1KZs|$c@gzb<M
zoqUFk({HTa^kQE?aZsNPqwmwopGpfiXy2C+reAOL@du{;*0%46bML&7#9i}Hg^H=W
z@iCpiT}WC~?zt*tU2?a^{EE+e_XLQM?`#8jq<v>0Hgw{4BT$*8gA>T?eZPx(P1Mlk
zEnAWBF;(F89<R#zNPATr`~YkP_MMF53p=hHO!|b*ySmcLM~p65=#M6I=y!(HLAsHH
z_2TkQR^X1TGXVWW8?2eI0dS|9r|1DvTw!S!^`EKcAd58XSaa75*K3J@%j5(Mjrq)4
z67w)n^JX!x+v(rrcF2Ogu#haB@Jk8D>HuWIEI94(ax5`Ddp%D9oqgT;KH7J*Ew+0$
zFSTj*2e_vg5y`7G?vyO)e)^@F!ikT%5?q>mp}8&5MbJfkwEccsoP*F>#s-an{Nr~d
zF$Hp`<S@__60_{6fL&K9jiz(?$oHV*$mIkt>ykvgI=2@GWo@AFr~<=ZMyF%|^P5FF
z1#|(quZH7V<CX=+W<A0b^gwrRV2B~tdj@n>xUJ4TGgTirFFyfj_lT)=7Tx`&+EdT5
zpWzF8A1ywA*&(G3ymlo_n4~k4ADS8yMi>a3rj&1}o%yn*gf`SnUbO6zGTe66t<hLa
zzZn&Rr{Eot{~FP*d|ib>rdIVPK_Kxa&SJoL_PFBxmUxs9kEWZ|nOU@kZkPObJ1F*D
zC2=kbZ;kPZP%}cb`QM4vy}HL&ZJtb1<oS_ma=(XiYn$sQMB#0>U{?V8pnOPbl3jpX
z`5yy~MtSpgD1vkq@Vti&5;gokRfm4?goPbJvHrF2<v)!u)-y=Xj+tO_z)T>NJQ^}D
z_d=jFWF-jx?kvdep8p2{fBBRj$ys8GBQ!eV6kF%dc-~tFEz@a38EByK=jY2Sb~hh+
z6ChFJy4h9vca-TTdUoK2u8rkKx5p}-ntfK`gKX$pJ7iRO1Y>ZEgEoE(h9nb6Z{4-I
zVjwo&b~8;!stw9Vq&EF?x<OXyL-N+`mOrO!mN(YsBZ1mjn}j_p@W-hIl@WeffaRxw
zIMkp*Mi&Qppi4s`{L1DYP?poe5st%_rLZmm-*iJQr*UtqO{SvT$`HXt!(8f$W0Aaw
ztdw6}G{ju03o|qCs}qs#)GwE}N*9*=`KiTCW(#B;Ri0|C>*vf4{%{|%nnhg>xLZlL
z?X`&4Cx7=(0I2w`1GV779W{l?uQ2;rWMDj;o*EU!bb*)|z@3^rjWWhJz^k?H$||+^
z&Ks=;K2(-@0h7BlzU(l#RTB<{jqCHkW|VWm?Dj!@@%kieIlQ0lywSs};IaI)pYFVY
zM;G(_bjKThXh+cd66FcO)re!>gJ=S-`6>1ToE?FfhI2V5jCb-8%&LK~%-R(&edB8c
zA@`a4SG<goeLT-4qoTvQ4kmos+0XD~F`p2KlL8_MyW#1Qeo%Nw-^X(#0r68^0{c4{
z>dx-Q(IMCAcj%k$=hOOLN{*ygF4`q9mdeevNrTpV%PxZL(K;wGxR+iBc&P^#?pl|D
zPo@V`qBrAJ0S4dI3HBVY8{aCC5!c)7u^i3r?B95e3L6&Q&ort9dITif6t@*PLp9n~
zmVOp89SLwyuvXlF?VckU?>U|bt7g>o%z837UvsZ&#(F3<s%^2Qg#P5eWq|o^rmp>e
zhcfE3++0|x8LUjB%~uPoT^ZuQMZ&vvtq=r1HP6izF}=<^qTnVmr5Oabc$Y#*JW>mG
zH-O?68MBkEBMAxaah#>PeXfHpZq>ZLhrWR^fl$%@TcGSe@vna!h3qWs|36ap-z|j<
z^#40gq4q>PNsIl~v+Cn?3r;%WHry4te6p2zvv8E4lbB8{(_ttqD@DDzV)*UIZ+`(G
zu+ovop{zO_*k5R!APuo#eEUYEI-gfvYKL87--*6G9-Z&I2X^1bXEK{TZSQ|&j=$Y?
zzn;$TzR2jr@wX)#%nlYmC+M!1;f{XN0w5H&-b<59Pkp(!Kfmrfz>HJKpN@F{FX61`
z!~C=AkHcBZeFOxj7LV-Ex+mV%QAY@xMw_sZvwK{4Ql!fF#)L2aQM^(ZNjQ0e3s%Z*
z009V{ZbG;0+3zZ}f~}*R22?6)<<AAMe~JbxKR?6K9TFzqvr<U)&s|K265}V4WTohu
zqK~^5(BhFoG!W17PS6`b_i1;_^h7E52TfqTDg47XOQBQT8VAASjLR40^nE2<F$f|$
zv&B_X6Dq&yP=;wbxOc_(qx5a+XJxR1nxBz%z%qQ~ah;yg+5SA1p&{7(<~w+P_<b&M
z{x9B)xi`jD#iNV->!#+~$I}#5U%Xv{GfRXhOaoKa)<PW7r&uXABI$ZtQB*ENtUQ_B
z+FY!DG0s}t6EEd7k;zQU|56&t{f1_b&4HyF&ha7&|7_x7WvA#no<Ia6f<nA3v6HK4
z$G>;=Z3E)Q!uMaiS#K4|f}L?ae}QM~8vZ|cGpnBv8AI_Lazw!xvbH~sK_o!T7v4{Z
z%zliE3k?m+3+@Il!C^1cNo9_U(2EoOf-VLlvDq9^ug53$eFGDRTHTrwHrZr8rHxx@
zvI=5*VnVg)@k!7+-9><A^-ha^i~ed;AZwlNlB^<4>;fkDou`Rj!W>#Nhzz)5H4@$?
z47@s7s(?v}|F&H8`JNzrNHYBgZ$?~1BK<NLo-QFy^w$8m1XwwSRbKMA4?`05%)<_^
ziZ!o`83*yQexl-W1IfL8(x^#OQg=eHlz(=sX!CW_e=XE#F`2x9HP&p1dX<OP?^u~J
zKds9x!bnMCyA63EwK=RHZgtdO9xc<gWAno0=*R$DS{Mg4-NOng>?O*9`RnDq#66uO
z-6!fQ>>3qs{+S@IpC~Cc72a_Sg!KGEjHhWJk*g3<V`Lw4Oh%AAwDAEZE_v}cKM<h-
zs6Tp*s1OTv{|K)9MO1YHk4#TN;h0KR@$yi`LL{N!d&$xWB~kyl$GBYTF6**tNL!jA
z&XEPfI!HL`tiex-T?H#)358SQx$03mYLDWP@&TnknO^GG3~?C2!xOq+nZXO9SCb@-
z^Mt$R!`EM%oKui($x?#VGLB#lB^jT(3sWQy?Q2B&*9OXmV6P>y%hzk2kpf0<MWfb7
za0bkjbxlS<3Wc%qskrkErg`xiH0~c5artEd=wxpEocp)4MC~T1HYRE~eVj+@0Q0%=
z?TbKZ@6D50xt0*qIA}j?OVAUUGOiWn$OiE!P%UN?CZMvu#d@Vi$|<c_N)mEs;~B_%
zpa6^5KiZn1np%nd``|TzhG8Qrj_`BL_X>&V#AxEmuZu~{x6CtW6&7P4ToQBn3EZ8)
zsK%>8*$7bu7=!5FP0@!hw(WS9A0d3ohKwsdU+C@f%?2GuSg;?M)0mJlz*TvAz!Giu
z6TUYGzh_79wmL<kZ?bI-_!S7>=h8F6S-Og*!vgldkpYSggk=sWz=DJ0Dbp`^YTBv`
z=z3<F&}H+k*vgmzW7OV8u-Ps6+x|A&NDHKVTj`uHuq-VFD7@FG=u^4r=P3d4&7aCk
znwxC*d@OBE^n89Eh45ZW7AtYL%#Ia&y|gkX*XPON%{%<^pNy-%qxih^F%(pJ8D`(2
z@LC6Hx6TDKxg?*4sMB-e(`^$z&E8W3C$E8E=u^%cc9RZ$t%79fuMlv2JSNYC8@9E+
z(Ouq#2=I7manJ37;n)6Z8UyG<fG*$p6;9b;xWJqinkCT(!sW0;s|s)%yA6*k0>n{3
z)?}kg%Fz$HL6x+ufoUbXmHyipm31u=(-Xz>=15R(kg;EvN2+JkLi(h@)k9kmsD00r
z_0RB1f=ln?CIRR@3)$fWzF!oXJpHo^dAMi3lXHSG+fr1rsWKYkwURG5(%up$adIe7
z8lt!je*a)%pH4O(CJ8L1et476l=ISFMAC63FX0)Ek24`-pAA_fTCxdfs!IB7nx%9~
zW|G`877B-afz(V1N9txU8lb+xZ-CRBTsK{#3#9DWsvTw&UA$+u-`Oxh4L{V?8W9Bu
z&B4~$9z0Q*8}v>{n9OYkH>7ipF2h^WMaMT7=xhZ8Re^75PzU5w3X)Ot^T#HF;wc7m
z4Z7-IOax^z=1w6etDa<h@$Cf!jD<T`LPpq0qnh~g*ZV7&n52gXJKP{$p<)dvC0y)r
zFb~Usmt`-Y$HG><oo|2KsY3lDZ1uK-4d~9TAw5C6{cT%JDo_w+<Re#1xET8`1az4F
zY~he?bCZ*@Alew-?~Xa(ct2y=lK)(ti+V_9*bvaHt<%yT{pI-G9*aFM>b;K^|0m{}
zHGA4fc5C&WSVp+{(Hk^`FvqOjtV(x4z2!@3J$6+VY8J&e!Ii=sF+=dKJ|x9!36Hid
z6Hza(<4)u??;ru1<4>z<cDHnttn`=3PJB0z;k%?c76a_#cKenYdDMHgwM77&h{Rj<
z9~A3X_Y&ZWx$nI^7S{um3$5!dVh>@gNQuV#)pv2@-z}?!oT6h2Lr?+89umovHt>bB
z%H?I`ncRjJDG@j1EeHZ+rXXX|6m0|@{C+ny9p=3pU1?y`KT*4prJDNlLz<~s-Co<o
zz9n+%9ZMnm`YFy?7+DNa=Z;knyN>hKD^}zzqU-?qPn*EH16O_`kA04)OSif`Q7fg-
z^$HqZleeQG(t_+gf4ggE3fUv!@5xPIwqQ^^&w>m|CILL^SZ<~$3C85~cY`V+C_ID*
zkJ!RSD4!UREl?Z`%_ppEX7J>6IOwSyXK3vrk?Cvnc5#@@Ee59qOm@%gysDB-mG>)D
z%d=*5>lq@k_|^9g5VO&2abAi$<|9V|{e`=14aNjHwfnw2Fjy=q+krtZm3IgHR(GBY
zc)*-7B%TU#k>HFICcnB7Q|W_Vy9cjjmJfX59bI_1fdIFo7~S5&p#74Y2@7artW=3x
z3ujT*TO@WTEc%`fwG^!Gy@d5M;65j>{4AZ@4-oGog_qem2q?fFW`g5r%Ei+n2nJ`R
zykS~pvv^4b0gR($NtZVNe2RJ7g>ivm4U)j3uL=?-%{u)$OBk3Deg#O-W&E?$0;XOg
z<TQ!SUq~>GT9#(1dQss0O)$cD;4I_m*tz3!;6UgWCtUnTM4*6)EMfVGN`iHwa?1LK
zmFhv0va42lK?OLJYN>uX=$`h?sfKifpr)PUqD$l5TI!Ouq|NwJ(eIWA;b7k9bU8SO
zH93wx<+ON8QYTt}Nocco*N38`sUO`Z{CARxc!_|A(XF<e2$nrbM+VlNMhdL3qEsu)
z=`GV!qeq<e)xRiRxYi3jOrmh&0+d9n>I@ZP&s&`-+x01xqbcbt#(aQ~LHRkQc71Hq
zWe4~`r4_>vVau0le8kK#kW6UP#&XAQJFnvYLUj=3HASDJ+Z5+e7UcbH^^M&l&an#h
z=+5w0I;-Me&tsciMc7UhIcB^3QxFJNB9cg^!$4ToSpkNf*}2!+cO*)p@tbSc&VzN&
zV`x(5o#W7yio#O=+`ud}<nu{=-}%UI$-U`rJ$o}#gwQn3)u$4l>ta2i#rz}$id3p^
zqtQ_ig}SqLmetl>12iCUmD4#P591A%?JZs1RSCz_;x8+nyZ7bT`ZIw|!uja>GXt#{
zf%-eo=r0Q5i?%?YpmZ2%O@bW;CdDFTG7G(*j+vT(cz6eFw!^M@mY<|nBFu^_Y%;qt
za~GwHx0P)l92Uc?d&ubT8Cg+DRRsph3DRns?qF^6&UuAVo!?Fiu0r67{kE4G`MmU&
zJX)cJ{Gem6fGJQe;0wp1<whra@_=?dY>l2PUmUf^DPSq{Kj0LxQ~(~?>NT5I5I%As
z+=l4As`-Lep12}N+2U2qoevr)9H@Q<RT#{X*>)J)M9i%PzFylRF=<(^0sh|Na|OR4
z9<nJH1?}{|Nx$aqx5Na4?DW5_ZF+ZX*1|@S=nkRwV(T7kCm7n)B_4Fbay-e7jXUJ*
zF7@Vw2iI{Y$m=9pt?sn@nY~Ohn{1(a3$Y@aw|RdVKpVd@*K9qZ8BU`mP+lpI@<k=Y
zz8Xy89(F}A<o>v{Dz6<FiWj^;htS_PE7^iy4WLsdbtBL4wY?dN3HBox9ztIXq(aR0
zht;uKSr^V*@4V3w86zrO#{#NJwi5GlQye%m8Ot1YY}Z)Irfs}?jKLJl^t*TJfhlMK
z%fwx)J`sgiX&VMuacCPtgyWd<k%^s^bWGtO5K|_r8bY*y0Vp=gNZ&$x^zF6ff<xKO
zvf~ZAe~D_SPO$*MvOBpuWdp~EWg7E2U$*LboG!=WKZ?21tvXSul0DmCwrVg&*}z~L
z#atg=vYj5syKixL)fUK?9PRJsbdZeVc2+fE<2-*k!C>59eV{#&0|euIjz44S$uVU2
zv$LQ0*#nhs>R6e7h9IB3U-1!{D=7(8Q|35pDHn<SJ#Q@+hq+v`S5ClOy#TFNI6M7F
zQW8EYBjHmnIs`2T!k#fM2MtWKzy)Pm0)#dq3(az+4P!)Es>2*T4|e)ho3+Vdo-Rt+
zPM`_6WZJo)1SjPrxIT|@`pvQ4_69mJT}M6I>jPauT8ePd+k~idR@eS#IYT4%@eR77
z@`G0q#&s~5>LL~HFifi~FpuExXwnhW;W#NvJ(&ZE7|dmu7K8$@=XP(BfK~NcPZo7h
zrRo}#dU8D0D55`C3Jn&l$%H%hWO67lBDxgeEf;OEws9F5jy+CWL(~>uG25VX=;PtK
zm?HQ58H_yEIgh^VEcfltrlxKEH}9z;wE#FFqVbLHE#u#d4nf=@l(G+MWL}s*A=)d7
zgeqem2z}D><wc+sHV|E<&@vi51@j&DP)KV{YlD0(I32}FH2!g=u&ig7YfTa?-M=VI
zR!Eg!Q43SGGn9@tNLfaz*H4y?D{>GO7>ljh-!==CFGikdAk?8uY|hde@wN#1mn=6K
zH+hw*v^A)K#D?X<+J7Z56n5VfU5$5}+on22d`ML~uTm~dM`MB&g^FI0jge7Zz{nBp
zstaLP5au&ATbEOP%T&<TQI`93$s&%Ll#FyfA{zN3LZ@^>@g6s59>IqoHSdsb>Z0yg
z<lo8<F-cz(=kRpshoW@{pZ>*XxG}r4w+=}DdpdYss~8L0Nnv_7xG`;iaJtmwdKQg?
zjb=;Uj;U~TX(HNb$S06U?y<_S8&6f3y1Aepe+_^$b<FOL+&4CaF>iH5_`D3bJ>1Pd
z<`n*?d!|G@^c+apSequT7uk%JW5Y0gn>%qn*7ld5M!FNl38)`uRqF)cZpc`MWl@Lb
zk=;7tX#1bRwR<=h3s+bYgoE=u5@ep8RYbJ0Uge$m9A2wz8>5NpWL4`P3>_AOgQs?C
z#i-UqEdpiejL`n#1-2No4~IJ@>m!=R#7}hd>Ky^#XP5e*W&9O-OH4-FyeobgdLIxS
zmy=FdQ9FPz!*+KuW7DcYr_X6j@9USi5NFdU$z#`LPO$}7bwz8VeVAN{7*=*~V7_ww
zITwJJ?w9=45JgWBjs9*Ecx*744Z$ejI_p|Zhg+95ajDhbrBpji?I;L@Epa*Ub`J8)
zl_`FL^I>w!oAi>~`rml|d*C}{O&07FRDW%9e6+J~{(5izeRM+B<8N$u=oFZ|JKql5
zu*73?d)M!nVls7s7#zO^8g9R)Etu1042FCAbjaE~t3R6;P-A!WbeV*yW-AnF3a$w}
zJUj|1P-K_|95GcoFMui;dB6|#d3TOBnPC2sG%zFhO!r27k`F5*L2AAf@HpXKs#SA*
z(du1G+6kuXdbGsekDwr*ZP|_R3HzB3;KiODA3HS@a&fl^LXr%dXU^v5N<d&w$Hrdg
z=pFyuboNt%VM2|N+>pY<!|vw7@_O7l5cy?5a~?6#!o#WCgfsVrUnueyNE{+g#Kg-f
z36=-{tS~oso#tfswIxwTK}ukW95zcjW!2Xn`gvC0VrHP;DffQ{w$y=coWT_#Zy1D>
zNg_&lJi>OK(}Ei1@IsB*5t0Y~pM92N%NO?JjFAxrynRnwf}H(WTT|0O!EOr}EqZHc
z?0fwMn}`?6W(`J|Xd)gESJYdUB`wC9b`Y=9x0<_c-Gi?0JU2Rddk!X@eQw&cnCH@9
z;o&Tr*f2M^+a$LeP%o10i`%XWw;Bb3C?8CsMS2m@IvubIeJP4Ifp9@A;{`}o(BH4J
zGMn|IMM_c|nJc2dS4B<tWQI0@a@8kUHrM((u~wP4SQgWkr~F~gvqP<r*CGdwsA<~>
z>iNZn!bzeeUE6{BGox@;Y|4YTJi=m(6JjOWq<$w)kz@rG4^r<+2Wz>X=J;7FWF`Ii
zf>Dd@zk~iHs>L57!Dngdt1OhR5$z5f@P@%blM1ZpLk{@e2EU`U5^ui6q9U+m+NbdE
zLYzZQU~6Wz0+{n=+Tk)&YvTG<kJomKpPDZ59@ap0Z?7!wNs&exP1@R!`1W{)XRM_o
zK^<>x)BQK`#4aoqk8(YXbp6YhVJ6mFX<(EW;+rUakz@QkHgWJh2BKgBW*JZzU?FHZ
zp8-IbKjm>4|FLRN|KA|!(dOu}TmsBh{cLDXJ~FhrjPp3$<1qlI8!ai2C}4_7#a^A~
zlN5M*F+3TX#rwT8cc1&`tgE+^mmHvtl0YVGGC2PGA-gt+N6j}Utb@Cbk?$d2k3oga
z#%vsp-!Fdplf6o{rMJx~%j9pVZst`Ov%O3>RiRICl-xF<#RW-}EQr#gRMHms>Cr0b
zi+s#%vyex|>mv<lL-d>5w-Q0j@KZ3I0iiOeM(O8q<~F-Y#hx*$>BIg%n<!Q^#37<e
z@pv>PhckLowciRbBItb3r(W(jB;|s>(IKs^4*JE}`|hAI*xBM)kXgZOcHbsZcK3H`
zZeU+s!b-NfE}R~7`<n10$p`yWb1lwNA-s6bN+-2EsuQj{(8njK(K%7P_mLk!-icU-
zQ$7G#Oq;<IUk8_0B`v&SG2O&@UU(|Qj(6UVufx2`gqYZ<)fcg`1a`I610<?qC^M+P
zie52e*y9rO@tCs7iVIwid5W?2SarP9W7Md3zzLpnt|1Nw9L}OZlqF4E@UI9RVEoL#
zw>_V$ecu*j$0xR6G8mbwF4lLKvJd1#`{@F9?q>>mNyN)P*6dM9ZzB|M*+$xSE4L0+
z7lfJ+Gp-hZj6Em`KOxjQ-}zzUh9rs{6bh4W(KvN^X3-MJ{2+M}Kh=IpD<eQ0A@3FS
z7)j%_(i$Z0Z^j%-0^RXlixqX!`XbK=5tUW&iigh|*L{dKn=Ny=K>ZmU>$K+s=35}a
zn@J)qgc1<uSgPD4Y2I;W_HlgPK@)4``yce?|CgD;!pi*r^=5|uAv1&Ff0dbWrM*`F
zgQ@fG`763Pf*YdN-~AHBSVm(u7caukf$Rg%acuAqj#yJ#_xU7~>o>@cQeJ{Jgm4x+
zuqTHUSk9%!IKvMV^j;!bH!2$URr7H}CJV>=q3rf?`O44b^Y^v{EbaTQ?qh~bHip+j
z9A&?NIf7M&yZhr&-&>An{4^PVsUXH8Ed1;2Y|k|%Mu)sZsElr>(@m6G#jNJzDCWYJ
zBvG;_Nmpui@BXGt&GV+9%j<I=r)rZhzr8cz|0>Qo3HIW}gNVY3W9?Crc75;xDK(?p
zH)kCGD$cs~C{)y4Z#g=lL+G|=&b*#?(OQ2Lwn+oa93J29ag}4o<EJKg|06ly6l0o*
z=kCn>D>;9~`e6NV>QZJ-#r5;&Rdl+=Zd6ELW|b*i3LjErA2ciNl-?`QemHeqHy2CL
zKbo^)sUIn?ntlel#gFE^bIdw?>V2L0tjqIp&rd_Z)m?n|J_hVe;%x2qNZ^LKTLMPa
zrK9wDLx<<{sfVinQ}xD)GfJe~!knvXFJ9?&Sc9KdrCn4Ohu=D1Lu<dWh+wQK`Z&2U
z#y(7jBQSw#)@wn4$3yZZN{eizqwPsV?rNe!Rk!3{(Ro5CUV*~hU8V=vzwYBlbZ+e_
zytlZ++;tdZ)=E3ho#Wo*BKSvi<{~hsHjpZyKoy<-FVQ(FJ?PfrzeH!WvDW`!>cIcO
z)J-UY{)4HzT){j3Y8C;LZ1@kRPS^$xWn~%U=IBV0*83?Sqc}?r%EgN&)h5-&CQs%H
z>u-T``oE&Hqs70JIw~${`eBnlc9KGNT8vTCvrqx5-0dlJ|Dn{~AQv_ghsesyEB=$0
zVYTa&yK<1Z8}Dv6JRj-A-TJ)D@&8n3va^<w-7^Q`0~;FeA50x6zv~Zn%Mp4qK}^J&
z!pH%bqB8Z@hALF9J9gw~1DY)ZdQ!Y?mkNv_r9A2|%Rb$?|3w#V%`giN>V0R^if}f#
zhK27d-CLR0;<T0kRnmp5EKUYlON7FzTu#Bhw_Qan!Me1-@hYp|W?Hp=xO9sct7ZEz
z4f7{sLt&novM;2$b#(2b`gBDwIb>XxP)MvFe4&^PDou(MU%1d^|6^=?x}3m;ni24;
z6S&l#29o2iCUoKG#~SMo%s?u^xM2X9a{<aYGP`1aJARy~bu$1<=IVo${-?uRdnXa-
zcKsJoy>via*j^O)!Bg>{3Ts$|@s)JnhDauBdJ!EBpq7b4v}TG)`vi`BDzV6V;$evD
zMcEA5YJ{R{@%6->wJxM;NLBb%pP7fmYk9E%a6Yg%6XA(}44AWK9={sG4U59I%07`V
zwc}UBZ7uS&L*V?pnEqse%1bz~XrOuB-rnQ+h;rrz3eF@@5_Jy*fAC<i9zG35QDK(Q
z`I-|T?Cm=4V0XT|&(J%YVIAC#GE$Ih44{V;%1K<8DyIoRc7a4~<|&1jFkKU)Op(+R
zEnp5;I-2L<AC!t0I=|&CHMns1hH9kH<J)8+$D2U0Rwr)CS1_llaB$kQI{{MYr0bfV
zCIEHJ-;2C4(;RksVtKPpPUbA6rtyNMihe*eQ~6JCRD*;!lYuLRHhzf$(U6dVBNKRQ
zf{e4L@s-#!`V-2eihsrOZ2+i%X5sRh$bs1N2C@oC<t@af3Sboi1_ca_=RKfOg&2^1
z@P_!9Vy_Q3GlD}~AAyz=qm}q!S&ZQ{Q3k?C3ybT)qd7@I4Y`BbZx@C-@&<0U0$8SE
zl}s8`Lo@bs8O54pa2T(<=*Ld~{e%{XIhiyxjMZSA!N(!SPTr|pU<1FP>zQ8I+JIk7
z<(%Kh1oopmBPfvtC}g8vPuI_(5aoGd0^hKFOEbu5ZsHQdTYk*U&n5XdBBOJmATSQw
z<?1g+qnpn0zbbXzG$~zSa8@X*{?#MVQ$WlJki7j1GCKsDW1YFM><l#xTRzo!E<=!S
zR%<>8z}jH`OtvF8c1Kw|($adXR!!?Cjeeb7s#^HYWe(DE39;1KbS9pXHDH^M8#^=T
zu@*{Zo;v6n<TKC_Z5WV+TCDKzu1u_*qD7yRWI6tshJmJ2qM<cVys&m0MP!0xvA;?h
z5T7Vxk@^qq1T{j61KUW6AV{Ca{_^M*=_Lk`8;U~tySLrDu(G{e*-RfxJFl9WN$6cY
zKbo;m$EW?R31wOPi!4KRxU}o-<&e+bE2-ttEIGY;+_##%;6~1;sl2q&JTNKd4YUJz
zTVWmT4_CY@N;gv7{x?v2!(yX2S<<t`p65m=M9_vwC?mY5`|#YjruXpp#04Rhbml3K
zl_Z*~`?3uXjffOhm(1@#S#M|<??J1kc67|QP>3e_;9wpD0h-9XJ*7dVWXxk32QLli
z`S&y9Ad5s9BZ@X7pxmDiPd_|@x*AIjgs2Old0DI)+XJTyKIl!w&k=jfy)(99b8?pv
z`}Vy{!e?Z;=mnTle3?Mu$W2F7%go{GKDU=&>|}4;!!cx=<O4+u3z$!h^;-=Ylvisp
zWAB3DLhYK)-rD=&ea8DG(zw?J4_o#;cux2?X)%&gLc@3nbEG~cvpHgCsm<kCi@l4L
zF>suBZIw1bDO<ftV(l%TADw5~(U;s6b|`8Vwga{qk?1i4q#u~F(0*Y%Z)#{hZOg3q
zu6r#@>mY};AI%yWeq4Ay*6T4#M^<oVQ++_BvJBKAM?gXb8onnh!SN*v@tB8gMx^ja
zi%&2x=QI&jhFzN;4oImd2X-N_`ITM2Q&xJd2kyLXe>wd`%&Beqe>Sh)4$$CJw~@)K
z!q|R|BZF9RdWbMc!8{$MZ}rcr00qY&b452klhS4?j~2*jOg9R5;j&U$_v5z|KSbJd
zn}6hy+mvjhvnEjT9@d_C!y+0v8B^=ClVI+j>2&}-XS6s*$?_M}?61r5T8T8_ZVgnw
zJ*?}XJqDBhEpTNPwQ~nefF3>;BWYY(Y~on7hk9QRwxYL`NZJetQIY7Ia;78_KTG({
zOJIp{9)RSoT;UzR^L(OAkmpNMwT=%QBqLC5zJzcnuYqF4W{};aJzt)6^}bO4=q83d
zJ73;p#8b7-&zYfO4PdF^jH@SI;Q~NF$2u9bWR=!@xI{8VN|AoWo&(kr<~LSnJT00@
z!lQxY1%oy|@dUqnj^<fxD%Sg%keYf1_CO<Dza=k7zirf8c_;B^_7O_Oa9{1IF1~MQ
z)lKyo#1;JkD@zzZ^Bm{Z0{c-}Sew`;(!gHHJ>%u22mBy6V+qyBF|M)nG<T<RNNYy?
zSA4@s<-8Sl1K+e5aexF#@IrudID5O+&EuJW_O>5#+EfwA9c!n5%oNES!}w=e8#eWb
z;T30c_ZA#{v&CH1NVx-nJnx@l`IrmYKCxr)ERe)9V;H!W!0|3;=<4#5*aRth0Sn9I
zOwm@Ll4pw)C>B;tR_K=CqAml^N%JeK?WvFwo-^~TRp1ncQSO7-i1ZQg5Fsz{O60Dg
znJTZ~3PJ#lu~JuqJ})I6=}&a*_+Zju=9UHeD2~`>c_+K6+z%6nfsAhsE57CNXL89q
z`6-mH{-~K7I5i@4p#6D}HOe|a#p0fOAwxVA4iJJ6a2!89GCF5248fDEd+nUEYTncy
zrenDTv1+lGSlJ|j31;y#ma(L)=B38FBtG9^x)Ab8>CeAUMH3Y^!Tlwc$BK^U6V_`o
zSqeB)Q65@qvS>Y7HCE4cvrDfCuMYlqB*OsZ1A{=T{edLXpk6t%?}C{Y*m1{<L`VOn
z%$X3_NWe4r420n#-+rZR!Od!6&i1Co33x*2s^waCCs<j3Gd>BbS{|nRO=s1_>f_!I
z<-LwK)SH<?y{kHf<9a>d#`@*d?+pO^GMto^3u<sdL*^AIAE{M<vB^FGv9cN^u<UGS
zVv}Gr(BNU|P^K${oq742J+pPqVR}u<oy0Kgdyed!lWsqHy;b={IGJl=x3B$U)g&Q*
z>HNc@K^(AYwuUtWSq|#+e33NBzWyklq0zsvr~ckHcD6yr`2DxguK3?@GKTQ!7MY?a
zz~RxPbYZ7zMf1;rJobCw!i1R>=dRElUeo<*fg8wA1QtQbn4to?q7thci6)s7&1P5P
z>cDJcPqux|Q&q)~uW}@e`J=f@mp8o{NapS75K7#~G7R=hesD0ZQLVe&@I1#d4ERf4
zn88usRb0-+#IAF0FhjqDN4<-ZY{C4bOln?F`RM52>ma(<gV_?7X}OK4={ZxX((uA0
zU?Zx3Ub^LIVY%l1AwI@VQcWk0n50E;#;v?1{uL*d;xNOT*uJQa(iV~Qa0!@GtYC@e
z8zg0OYu&tM{4p60xLw377Gqut1%b>7i)OhN3Wm5!(iTp+HgNe88y`t@eB(Qx*=J-P
z1Binrr}P;R7cgoM2l^0FRgFg5w2u#7hP0_!HWV+mB7dhum#eXp%w{n~Ck-??@*D>c
z$e1H05f@OIs9xBl%BdsAn^*+Kyn3efzOjG7U;o(bAr7f))O@U)vCk>Cfz7)bh2?&`
zv9hzx;;^xE9z@hvU;E41on_-J&YZZ(<6cjnVq$`PA76WRar~xV)n2zfmAdRhKOYiy
zTMaBDdGMrv3>Jp!&>Y<dtlDYx3GqhTTL40*7tU&yp4JOD?-}}=syAO*H#8^~2OjgS
z_ncIlXe06lU*3zJJd^qG%orlr0o9`1Qd?7BYhFE$DI9w$hsh%G&jUS2!wh24w}2`#
z`(R<BSpkWGBiOET>O=5w!1Cr<aBeS;)U^eH5xki=MSWOqC=x5mS)68~&t%;`nmYaX
z$ry~ga$(G%JiHk1j<LX>9>)Nql*I6mTONtw*G@oJ);P0*2S6yeXNYsJE)<;Ky6v>E
zA;-YAp|&(B)7&0#g`X485LtDWu5RaS47CeNS&U(%&SYInZ7ur1Wv`f%uNmX~aw9Tm
z6|)@Zgka?7ra6zCF3)hLZaHa=BB<Tam@pCeH4z-}T2Y3c)y?9JsU$^{rpmzS?R{P>
z49!PLcnnfVXaGO2xkP^hOm&m6@)%zgtS@UUy@1^mj#N(c#w<zma}AQ{*UNk!GDsa$
zBd#j-Pm>h3(j)zWA69G|3?K$#GfLBfCNWI_+)MS-tyNeSnkz#2H;@R2DKr%Fg4Lm9
z#Lz*QN%Sd+Rp=XH)q3#@f4lriBn99EA|xv7&EhjM!^mYV_^Sc}Ez6?9+`trt0&T!k
zqKB@vz}#@E*3axj1hGI}Uz*me#xiN$aQ;qh;FNpRnU2KJ$j*A-8JxE=NY$k}`LTo?
z344^Fr$S$J_$MGwr+Af>P9L+FQvaAi86q%syF9oJ*G3dGSUX8r`avm~40&muhio)K
z!9lc&QoS%fqOU{9Nuu{cF)Y=|-MuT}1{Z<I7Ks76Z7f}Vl-l5@o-SeWn#s?V?+8b4
z<R&mcmd3b|A4-fzVgx0S9zzEm;xxfThQa{(1<S@xlHu*pMLv4AZ2SoK>Iaa^^e1{5
zoNTtp+hQp&a1(yhZq}Orz+UHryYsGw1s^kuM9q@#jacS_2_`gKr`F#8dEPXL(VMGH
zhm~Bp{gkF~2CJ&g<t2{;+_FynTkkBWcUqhdbY*arnlo7kW=qV2C4zdmm`3Hyc^3>!
z^?QlVi8W;snwE5Q2WYS0#nY*`e;q5Pr8?)<qO&ni8|uONUG1s40$wGrV5idQ1xNry
zS7@VspU<B4h0|gKc~C3pBD&vwG;Lucl!-$ULlGm^@t>!F!0Kp$M6xv{f1!o-Z8D|e
z@f)gbdkebS#^5%%)&7mzz~q*DAHhG+y!g?4A*r|T5yTfNoo>stqUjLC7dW5d%|uFS
zXCXDU8*pKXYWtqdUe4>NA&fO$ink%KExUy;7D=~^l`G1@1aL_Z^{1TThZu?#)2q}5
z?Fh{*YdIQmu*Wkr&7lt5^23I(Wm|ghkewaUIv`l&brVB}CLH_t4PAtqZ8J9yZp0mz
zDO91)f-yK4naN(@5}R*=C$MK<{p)Rdc*dBeqw*k+z1>PFpJ4R$!O#XJ{2IdB+ts8=
zV>Dflp=3rPT)cxLtjXCiR+3E;S$_13w)sE*f0Cm_;-;4;ilzIARL*XbMFA>Ij}q;C
z3ipVed)JQEFA7nN`Ii2dj$dw~W~FQ69O~T$kIWzIc0ZmWI&+tF-0djW9}H9WT&uxb
zxp?Sjn@a}eP0##zlRaV|%(AF`1u3exYy{Zx^BlDx1<z44-qFo5o-9~)tTpTHq{CpH
z%>E=LiR&U|LzGwVqpu}DN7~^yguIwzWUAIyDda#`h9V5(#i049GD<W)89Hxi3L%G@
z$n_v0e)+vUt~^2nPX7L2vm`fakFx|iS2{>PLs(<#_TY*bS=@1}kqCRR*SBs6tiNA`
zp9Zmm+KF(NYnm3jWt=(wBdCSusej}HMXjRnx~vO3qIH-eyBQD#LNHEz0hNwy*S0O5
z_wx|zri`_LgRGyaceXIuuKZ)D3osln)JP|i5224;0i#w3_!wyo8dxn$6c4u@5Nnq{
zLEhHDwSxN@mF3CPQNfn(xaG9k(03zUby|`1&DM7cF(%>i_d={n)6UQ;KPLpW$`Y?F
zgQeH+`^KA7I&o|*%*?kep&Ix>qj8>Y#UqQigc2}1Zsbd~GXRG!Uz*Y_8jmy7k_#C1
zqT7~K(~4S9&s5(WGq&K<ebO40?#F}z9L2qkgX-F+V4oNMwpM4)_0G$QVx{d0`-PDP
zlb&%m@5bg0FOV=>u^DR@b;pG9oB$fk8qruP_KlmoeLLT_X*ts;&GyL{&DTI5_xc|3
z+bA@GzR-hxluuBYewJ`BytwVFRSx-zrJ-9_=hiq)bdp)#Js>@*U`=F0=gk1Mq&6k-
zCtul$<e&As*pAtJ)b5Kp+Y+Hf=Br$Hxc=EaPL-?W-o{^cECacbgwP69T>NfrNZ?%3
zXj4EGiby{h3Vn~bs+P}ciPq#vDt!>w3V0j#a`@~K`5^lJR(UYs$oxRrUUE*iS)2q$
z^jQ=PFCgu>zR>Hd(%s<p@?G~u<zGY=Lg8TB>$)9nF6urH)1=8{Sq&D3Ag(>kHhz56
zvV`UHtpu3lhV-kA_mzG5k<Zo7I1mQ~5$G$#EsC0Xjmi@oNuO*KDH*#Tyrs$fIMbs?
z-Jh-yCp}adaExAXK@U5r8a8oNW2UfH%3vdp=3dW30yN@H^KM+-Hoj(ZZ9`SQ;Zlfg
z6tu0Vl;XxkuSO&y5;-zP#kM>P@*3K7<l@pw{z5*SCR8x5%lRt2ltXDg=CJ~a6?ha$
z?PsPJqv#mk>8XXF<}O8+5A#`=)wl<-<|Txm<|Bu`KMqk29PxaQqB`Wp^jPh!p2Cz@
zF6AlC6d^g|p3&a|M)Sf15ZOe$vZa<dxRF1)BOL)F)bf8<kpI<%|8Xp{u+lUBmt&dX
ze{d`_{I49#N7@_l#7zj^c<)4Sbt8K;PCYMC9rk)wdf2-FyZ}&^*q5}I0G40dWXkP@
z<rSVC%1jYmu(+j5M&hF7O4$X8<!>QbsfRMNzOUc9KLo3*f?7`}PZF1yYM<8lvzU&L
zo*LYn?N)#OO>rjkgT?FPjEYy0wuCup{_?CCi*QI^53i@SuLMiu67r|7k_#|{dI8U)
z=bf1q33Abuaaq#S+VAx6re~h@&xQ*yjW%(iCSSfG36Y9#`v)>++PTEk{Lpxf7JEoq
z^dB=)=-=*MZw*<Ij!#`hDit-Q&*P@l$UD@fF4s>!83790GJeKrotvEie<f7w>+eiG
z@{_3b9vM^<!dY7~bcW#Fzp|(6Y9v~#y!~1ILPb@ZzLL&VNM5H>4!`GLKiSGqsjw?^
zbSmf}%5T;-q2KC!LojYhcXEC-V+pv^`-R03^e)-Jhrd%xA*vRT`p?)iH(ONDB*Cng
zUf{TJFLy~vI<n;-?;RB}HIu~Dl@&z`kIbWs`|ci!lA|wD75HRSThoU4vt&OU(h?e6
z@p{f<&H3wn<Sd8a->QB+<^-<WKs|8{j$PRvwjh<yaCkfgzGLtOk!wkXN)p$$XPf|$
zRVy-Xsw0w=HKa8dn;MMJs_}4`TLaRt7>O{Pq#=V1p>f&8so127P8iLh42*6sSnWf5
zN%8h>v@)zOxZ7Zh|H{SmF@CaOhM!;dKt(jtKs?noMRz}PF;q>_z8*tDxAZ5!{h9Qw
z&UH^k5nPSsN+XiRKCmui@bBWR4Ej><k?qtiAq`vfcD%9>c?rXCRX29Ep&zwa@o?8-
zjGi+P@*lN0<AR)&jv>uA55I_nluKARN}+L7w9bD4aLF;Jl;mJHGDx%?cT=-L){IU%
z<PY517ghUT@N8P)dd^DsN@My{c&ux!Yp-zsu`r`WRDuQ<c>N)%PTwLw=NeF=$;&ZK
z+(?J9EX^ePU;qY+DPSycXhA!V)uXZA;(<S9Y;YZ7*||l3-+d1hUQ4sqLR8Y}xJ6W&
zB<1Pg0yTps`?v59F*jB4ks^0ytW3H#CQyV(JXnNi`lARb?;?rb9x-9ejGukQnJo;2
z=p`R1lN9?CEpNF5(W(M+6r}y>0kxsY?VF4Mw4rC|szpcqC&Pgov^R$L6)MdCMnHoo
zk1`L1_~(K?(me8o*Un-B2O&Jb@_!_-q9noE)TaY8l#bZ+=^q|W_Mg}umlR?fY~!6+
z+6L|T3ax-+%M7Z+6YvGsezX5_wiT2c!d9r6D~62MiWz_fCDGI~tcGkr?`A`K;Gj3)
z4=2yjo~9(CREV10&NIm(cq;p|Jcnmzm_-sr4MsLL83px1?Q!bz3MTSO#b3mz|7&E`
z0e<V}aLy<ZBrHc^_p%Goz+5xZ)7lb*#GL-JS?nz&!4*zAF@c9LT-ttSU&99tcQ1N=
zM8iCTJRfnAC6$qiAm}*K^_^XJ0_7{>MUJ0aBz-T9PhJxsrmNm)IplmgP_bIZB4us<
zD?=U=F5-U9WPq}00T?bCkXO;=wZ9O4orMmAeW$sw0pG;T$vVTYn5FDcTqfl64q%^&
zD0CMdWVkeOLa2yzu2G;Awci3gzGKwg#;#xNHHBKU=6kJ-cF<@~=^o*ubsg^C=f|!&
zQwk)S4!OFM7v#5<HzKcNZ*KS7*k;M+snUZ=J(ZlmG5YgbCX>HRH;lG^Byh!+{&>jy
zDO&_WaHi#niJ(v($xx+1sWfQ9YEGkHcdwj<fEN8m$Z~N6*t4t{grRXPDFkgsfzW%W
z)5!!VVo3x8eC5!4eC$U(Bc~@@7wyM-q%b)M9*ADKVq<z^^}llF%;x{TT&ratuF7TA
z{E9jEycLa1AjX1^rt`-?)tDCs3bC;q6X37@t*lI{%c3DQvboJgkS@<F9FM?Jtg=~}
z$XoywqB%MSuH^Tgv<y}s4xz-_OYwYAYc<%~VA^X$HP=|Afv}3r0g7z_S)L4y|4aV0
zmT!Ew%O{G%qL4AGrM9}#-EP_0noqLt)GZlXEQAp$b10xaHvQgVnqyM_lW&VfsL2Rf
zLEOtJ_8uL={K~)G&^jf4uh~(j!15gla-2bJ;VjiQ4cVYR1PY!O9QWw3mWwjpEvTiz
zY3{hx$zyR5(e^%BIeUu6CN*K$#lf!Sq?4e!F}1bALhPJ{#Yw8%ho8t8r2nQN8ER&`
zFvx|@BHHM9bDayW@ge-|EgHpf78;$b+rnadkH3~8Qaz)oCx*Q27x4C8nVbR)@}LfT
z!I-AIteVx#ocO>PxvhvHN$!rUn7T)~#tZlkJAN=HphxkkK(_!NQ+9|~`@|zb52iD*
z-dpTWBs)YZ&mkL;43LTBXbWb*n3a}zLu$>QeO&oeWEpc9imIfD0Z$mpiXcwp>j};4
z2D_sLI>zxh2zG}#&{|E+i@HoNw(bX8Dvo~SZslXIeYV<rLotUgG>i7CKig+H%_myK
zE=sTjz3G>IIJ6mojIS?7g>U6aSGMXmV!(>a!Kmsn^rQiS?rt`M6<pYv8>xTouLs6@
z(5WI0z*O4p4RR2U_XA+iUBDi78VWyAFO#NS0Nneur2r7t!H<+g4(nAkduKuHu7pZ+
z;AZF|EW#5E48`SZ!e|@tj2eq(Cvu}{bX}3r!pdas-};ajdURr`&9g6vgu0Fs!sLf-
za#*<!zjjh+NYK=h=q(Zp6IGxJYVQ-xF=W#<G(^-q-RBd_>X5`2K--d`1!>h6SVm7w
zr7`jq7^BHcq<;%#%onrzSyh^vFDNi(vtG?+Ww2WFNvu0zi-~8r@UhcWM<(_mA3-4{
zvlX3;h(boFiMubr0E8jauPtX6z~VGGx?R5c4OFBg1?ZDeb>!f*T65|2Eye3Q89yiF
zZTLEPKh*pRY(bSAbBl1Fah%Ff016JVH9)YyJe*eK<y!w{%<zkmafl<-xw<!yEmcC$
z&(07Q#F4=zTbx)h%luB>C=>^o<)P^KG(XVh!ER|0b!)1vMf$79<FtU%Kr|KQ^aq9M
zEn^KS@G<Xds-A1}Rijw`ZSS_w|C56uiJ{u}Ubep4f3OXVMs5uB{&3&u&|<xLW+pki
z`jBTvav~}5stm+ZqF=*1jOug@%6kxnC$(n9C1U-9?w8e@DuNL)am)!Jd5V@^QcxU3
zXnQ~nyZ%u`E@q-cVgb{gLz&S`yabE`l59b2g_4H9SGSTuXt^7vh*BY<XrnZxJiD-y
zo&rURRjdTI_H?);dEovA1zI}WtsM3-Qv0iibB8Sj^m?jF5j|(2GP>Pt#vgv*mBN1V
zc1mc>b`<?yjY<Xm)*(V@6<k7VYc3AxPm04kzj4*4*CQ~zqqfZuK7`5FJ*PV96gbAJ
zBEaPs{<E|l-kp;7s!;GLw!|ekRQl}WAF4_j^o=KgC?af#LBTA=jQHZkOwESQz5@0f
zPyEek9MkpHin?ZQQQjix%;rbb86hj&&P+H6af%KoIaq&Av8(HC<Qw!a!Nr?Id6L8y
zb}DtHkAuPh_0YxncY)36ZNuTyYDCT!MJ%9mYUj9_7_y1HAU27%t5B`@nm7C?AH3Hr
z)Qs|Q^SrWA1oS>4=mesoL!b&mjm*FYbyAJfxxO9NP}GCHCzoBP?%%SFN~29i=ne=p
zma%m3G%)fM7@~>7k|Cue*X}?h6#?*dAjS$oW&`t|kTM)MBJgpG@d#xGXW&vXVH2^~
zkb!0=hzjvXH&ewVipYhI%+R_0n?rAQz<i4UxViv`d?w-d;^I}-{54Lwzoq1hO}%G}
z{J2jXKwv~}CB~w2lj3dm&HYo?rMb#CpTQ8*l}|pqdE<I3TSec##DE$oNhU3<e8<&<
zP#axaMrP$K|FD{j%u0;CFf_uR;ot~b5@|pNgEd~JJJW+r=dp<WbVPBD?t(sxGjte}
zj^rTfM7p8cz8hNOc;OV^viAMNG*ezv)t_44`5J<S^RecdqQzyO{>vC6Ct$JsS_@TG
z8cqZEM2`PnQIiU6c0Tx_T-8nJ2zj6}wSf%8?7m6w5CF65!w(XEGKhOvP-k`Mr#Lae
z#5VHq?o_4PoVxx;;XbHassFb2>F(CpE4zVjWz7d5-V($J#P~C!tf=&qLvh}Q(+u-e
z`2p@06HLSYW`Sq1zDlO&BWZD-RrZC-YMA0Rwd6eC!zs_7Hc-dt*TJ*eWhb=Zko9W*
zj;5}7V11hVRqkbju*DErGfUXR7fkPFJ9nE<v+)vgc&7lGoP0Ib2c(WK=>SB4y8xOm
zpU;eRK1;e_cV?0YM@=LgY}Mk^vbRN<vAT`>D_D(`ZAPWekWL&KJWGn`<O|az?*!Em
zT5O!54HTMqL-_{=+^R=HcJojZJas$D7U#Y)4VnREw9ND+Lam=2b(;PqH@kWN=G@D@
z3A#+W*R^Fsu$Jgc9xx5nTm;ddx{@6;k2HxIjLq-Z$C#M6dnj3|w_wq5-kF&@0dPWe
zKgYT~xy3d&4f??T4`b&P9r^QU``ET^PHbBf+qUhAZQHihv6G2y+nywo<mUG~_niB1
z{}1P-x>i5*`mWWhs;hQ?_TC43y`XjS!C&i-iAW=Z{wvQB&UV#T;B3*TLMv_&LlaM0
zT@V?h(0v<;nL$q^`s;~d`uGzk#UJgPpSfF`#QFF}<htH$WVNPGPMf-lhdYEU*MWS4
z+o#148$x$hyuL~>GZW$h{sDs?##C3z(&Ei6{T`f^!1Pr?OL}EL*LbKs-Aewl3ZOJJ
z+DNY0U~t^qZ3a*?YYuvu5yuF@;d+^k51-&@&9tlOc&1S3KGZf4Fk<i^lHdbt0jPR<
z81IY<92P59YcBErKx<9wUnsrL<h7s{f14a!n~pu-T2t#8pI<kg_<}*t>l953z&W3c
zb;$R@%RYT@%RbFo&_J`_GM0ql9L~+vV43Oz-q6K0&#sHLxhq^9WWCjZdHs2+p{ij~
zL!<cN6V?1DMg;#^1%mFU3l0?!%Q=QZNZG|#P7pr~5+&QfiB_c|!TY>b!KSn^Pz-}e
zc6XN?#bz`0tb*Yqf3^7vBiFZL;{5ZzEsI!RoDKdO<@K|IycKv97KwhST6$bkw+5{X
z<CG2q`n5126sMhZvAS=(4Erf)zzOj|T@9kuOeH_owdN8e!2G2c@f><wU5e<3kaww|
zg^*bR7I#3rS_Q9ThMjUPCe%`865MblRIu4Fxkv*INP(u~cz$S)e>^xyWdBQVD8Jw&
zyZ<riMUWD6Eux3L_>s`w3ioQZL)b{?Ev-abqrU!GOY0``wZcM(p65c@Y75)ct6TNi
z?^?jwm!lJ318}Qhhp$AHt=J5Ajs}&Dmh{M)%AEOg^;gPT^HX*Gna2!FmK$FeE<3r=
zL0GSv`Zl8x?>d1m(?QOpu`9Q;(PY!NnH>({U<kAGtko}PBbwXjBCX?h_=8sOgsFJ;
zax0w^I)$LZOZJZ1{JuSJudejS!v_9sjL*_Y3hHX=kwMt?5cb)!)2M;AT9EDn<)28l
zDQufbqk3zcfPi8#m;3w29{`KS^-Td<MG*w3FIXzc*|b{Efwp9ud?F!<1B70dr|;Un
z=W3-{hZ!31yWH+dv_&(jlj7AJ@KAUV8Ua`xX@+_lo8y*3$mjHjtRjw@5qUULI@x|W
z0P-~dg!BH8L(uP4HzX%6CIH0iU(`W3#iD0L(bpk|FpHxc>%UcdP|ZleYPWj7d*z6O
z+R_QWFH;hI&2nr%@CK<(DnNbbtAIu65Xc}#faiJkgcyJb#*rQ@`s1+ftW(A8m};N;
zDkOn3pZWsMn%Cz+!!mNL4EWl^oTsPwwBswbHK(}-p+tsexY80CbSw-~bldYMa;}%`
zTh5Pd8fcu0HSauCsv|#b)k=ajW1tP1Irb8E?zqgQdO=A^cusVrM`pO(C2vl%opI-s
ze(>gty}5nw;#?g6?&5Pp_@&Ax*_sC>CV;!@$gYvExEZdzhx<Q$Wt>uqIo#!Kyh!fm
zk-F!nXGM{64QYvv!?8F&bf^CS_9x$f%(IZP1$Dk&U8zkj_1S2@sY`{L)XiBYp(ZT{
zkx{TItA2RgbfS>!`X_v<KEV&A5ZMB0QmDPk<Y**8t>R~(8`mHJ{7oPw`z#2gzdGTL
z4QJYALjW!3tnzEp@^c_By8^iV`xtJ=B@&yz<)2mBC7;SV0B??3sl<RMd3aG*T9kCS
zj|JsJAZGo>+2N$X7|9Qd>FoF8*4f7(bMxK~dZbGuKpjVrHwm}jt^q%qT^tJQOwgUv
z=OQ9vp?e;x%egSg;SHMWSw3o>jb)N)KP{{JJtJGH2{^^*A=-P!h?0Yq9&z7nyw-SG
z3MB3fqpbYE!voW4#c$BimL{}AGepVGBnYMoZ<;>_&u2L<H#WZ_OpZW$N|Ht0&0i*m
zsoUobJ!Gd3{qjL;jy<gk6Xq7=RjtH~DCy6B0DhiDf-MB#0olRoOJ;e@&mwXv^2#df
zf*mo(yXcO-4pw~usb*(Q{RcJezj;hnPFD8+QPY_J1CPo4zvMADQt3HdeQy%tPDi(}
zxk9cnx9dBCgNN8%AWQ@a!tIIg`d4iovg>BFXy`2~8Qxv2-+_qX1lqOCQ&`FAr`TMn
zuNbeF3UAQA)W5#=F1l|1vTpgmxs+WCbieQaO!EiSeZAj4eelGY#NV`NSHm8E-X(QC
ziTTz!Yb3J2FlCYBzLl-G_X7U@?f*k=?fv>ONZaCJS`?ACQ!^u;(KkcEz_8}>nx14E
z&GbH*hFDh(9W`-$ADI+0z5TgyAfT|98L1?rrs;gf&qz%s0YxBCc<(27quIrY%W#vX
z1h`Lr>O!<~Wbm4}9!0EsER2rGg*7?AyE=L|$&V>xX8ckyNJq-)@QI_}9LV=qFgVOs
zYX6&>m%~9*JX!Ww>a?a&$Jo0Eh>>A}r}(z^?0*NFM5zZ<3;6%ARLtH<vu09>n?&pf
ziuyYavvEYmwmYhy%zcb!Y?)2SyY1^>o|=5!Tl)DvhtEdNX4@G@Eq1=U;;tvrh4uR0
z)Rni@A?Dd=m)h@SUtdx78C_daf5%djs0ToJNx%s_7geJt*O1p$s-E_dd4C5S8U%`*
zNFUm(oxPtz_bya9`_?UPQ#bbbJkF*4P5a4pp`$BhZTxr?k%t;^cg0nXrR8mjI)y^t
zXG^`{!1kWsM7p^jKmNzW*-`R5D!YSY3B}^ak%D1WHcMZTe~}e2uT(}D=Ka1h-rffb
zX07mSKQ4|$@C?Pt!I3MlDIX$;&B{&k^Yb)?yx!#a_=4n{Tm>SzjIC1i+r6gD$7zA;
z6oe^;jfYfvZ92SRfjB<x^Yl5zx&-8D&pwV>O%7^Z_@M!OmNE?;c7IE<rAsWc<XrSA
z0b!$7VQK$g_@v||*4+$r`Vysx)kyqoxn#aKQJD<L6JAdftbSkrCurOj+<1c|UH-!p
z$wO?Iq!zOp+nS^z7$N7CM<iHC49fX6ufpGILWSsU1|MX=l`CW6;?F32iHdTb0Vzny
zN-Ft66NR&)DYR-an3?Df-|=dDYc+33*J^7?Rk+QGX!YDz!oG_k;%CFu6aA$jp-dkB
zV_L5+uW2aB!b+^w{%Yc4kJK5Hy>3}syEcE`EOLTZASee|hR<xt2pc}`y85^($!{Rd
zK_LfSQFL*$!>`MtNl{4&qPz9n2xFQ|Cszj>1#VmvhQMOjP{>IrjFzoW^95w#g2p9o
zbs(}P^%5Z79j^S)Bh>u5X&|d4seM)N9hubOk1ZT3$BeU8BOH<GKS7yyOoSz&)H$0z
z+c9TqDxQQ1{J;Jrb&d9Zm?kK;w4yf_{&0Q;CC@t5ssF>hl3L)n%NQ6jQoy45w<{mB
z--KSEvE|7R-b~mc5A#au&(*96BxleB2paBPKD|+^<7xB`!O3srgm)%ns_J@H?xR5R
z4+1A@JQ<_Z;F;TK->?#4cOM9HU*#ox_rye<9kT1mcfIu_DHT0(VpJKvF_Qr{g~dL3
zg-6%0q!EJE-k5p<gmoZmKy9);vC+{}<~>N&#1paDeXCGCjVZY}z(z9403K~iB&IZF
zob~C-AG3Fwy<O(KqJo8pmjEe$C?^)nXo1XVt{O5Ndw8d2>pa5x5jY=bA4mZ)sOeXw
zw3y&boEbIc2BjF94yq^TNs8oZszVkPd2=$f4;Q`VRKcD$Wt@(A?@xJ}W$7iUg~fU(
zTO-vvqr7HpOpHuccOO;}_~LZCJ_)WL48bqk++D0cW2Ro(g_SZ_Pd{2-l{j0OfW<2d
z&J&u&SLbeLJHXkw8VR&poB*V5_*(a2h$_R$Mg5Vk4r^wiK)cKxi*l>sy!Wfcvvg^_
zH+;17LdvHal=o;GN;r5enche4n=7M=l!NTi78VKCNYQ90bLI6~jr67lErOsMRt9Y2
zcx<+9>rSv%TJ`I29*fNe<kSXiWtJk*qUp!%a@r$kNAejRuFM8><NO3<Y^ESYa*G3*
zB9Kt{2t~dIVAY@&DWAt`5ie>f!Dr&=<opH@<k!>W?h<oKrH0{$5Ljt>0=%CmRLupV
zQW=a5Fjqm-jiFkErl>Hf{$VbQ3PdAwU`y*sr*uZtNFBIrT`A#WLj~<T3G(HeBhVsq
zqmGMidDV<s=!nf*5mo{U>EMs3I6`xI{lTEBEl}Ds^pi3@!RATsbAuy@1DJC8$z_y7
zAV-(s&7L!%nvjuXDk@M)WWC4Yp*G^6=A8*dUC7^w$*&E##KxW4r*qo#(sr&E`AIMB
z6~^JI5X-R&IB+KE*l02GNW)p?&$<$Uce#!@zXO8I9M}iWIOCwoFvHPURr7DBq+XKg
zft)PeUpvpNBe3XTLy;jb9r-h8j3x7?`|a_jLR97j+45ZY+<s{Lq0&~Ce~ne2j)Ouh
znxh5DfP1&%VW1#EFtkI#p8!L&g8us4l2RTYfEkYK-P1JwS!@&r^9XRiT+zWkb65;3
zPJKk7OC;<)8tn7ivf34Cs5F68lMFXfT|=mjN3L2T1D<Hawz?$*UHf}lK(7}xo{GxD
zN;Xby5`zYgJ)6T%F+?kqgTLArr96fMUpt0Cg(NMaluawg>98OYvg1$;f=Gl5%~a|r
zzJ=Boi`G-gX!50w@s}2s;dDweYAM4sVpchb4p|M#dZ5WR_-7GmN>;$n@o=_P_^5FH
z(vZ%(qpI*%&8l#GC-ZmBvBpRbu-Dhg@L%O(sg|Oy*p7lO7u+PKW*Aon_=-x$E69+)
z+8D^(el^B(BGxmIA^h21mL}P)rH$a&)l)}-+-##K2kCUBzJqYMP}&DV=)SoNa4PMf
zsl%B=l}p>IU-sB-0emzb^sp!5U_S?t!A6*fYEkwH7Z$_)7WW%5x_XMefGAk4Zhd5i
z8n$b}z7JBkR7(U=a~7V1Y@7A*u)TGk>`2Lv;nE9ADlq306VsTxiZxub<vqzX5lb+V
zVWu}V6PsgXvW*7;82kUK%m)kYyv61ys-E&*+E~{_Db3PUxdG~-0liV$$Y52hqtsje
z)3VY9uOyQ(LgwY(2PWFGu@N>vZrXE8;~zGVFsHZ|K|ogX&IZGr?6mR9Zz>ZP|Mp6g
z@&|7-;*)RP!L944y(k27(N&)E1cE55+vqROq`2<K8jVa4;S6;?w2{^dk|wAB8YV)X
z`>jF#=<)(?4_>&uCf{{_@=7*AM4cwQ3YD6$^A=2nLUr(QC%TehOC}k=#HE;u>m=P8
z){P9F%VHHZx@!XOXn*DpQU;}n`|xY+ZDmrzd<|P9R~65K*ERThW3C|HeF%hFg$OT*
zieN}`q-R_Ut#?)9Rv3+`%7twO;E4d0GJM)ww<1|*D>xoy{;fi|z~08t%_xHXNtHGF
zOoKIbgh-(^EWP82RD(d%m5M+|G`8BQ{uuq_D30lV_z>L0=Q8J8BO&6C$L!SwE8)n@
zG%<_Yq!`@tk3+nL{!SQ-7(sVOQ@#OzrELxXl)VubQfL*KM{aGl#l+w|dT!6gLMhTL
z&PO%T$QiQP@`uET64$I6b)Z?k+Yf)lT*KeB#`30>(%NiYQj)uo6VJCBO(ZNZ0mRp>
zLIDBovCt8X45Fh-Z%+&;#+z4a8<NqEnAPkFSO*Lmwj_5xo33l~r+?k{8IFdXg9zNo
z4Y{uoPPdgd!57)Cw}HAwwt!!>qq?lK&-EH2Oqv2{V{Q>K2FPTe3fl^7*d1&;|4Q!$
zHgfzke{HxtfnYe^-rc$1zr!K_4nRyIoeX;HXb{&sT2_ftiY%Y}^RCVeyC1js>Ed`A
zhacM7{GHT?3JI}pZfWHxqNImw(W_|-+AsLNWrYd_v3{eLCFlTp^*%OQp65<7h$Ul#
z_gVs2P1zCIe^QXj_%_&FTO;6)wN2ml--^TRB4j9C(zlCHEVq(gw@uwn0kbCy2(V%A
z>aVR{iP>}c`&lt3`^M~fui;MT<z>Q|ewDEN^~-w^H`+l3SM?%~6qu7WlGvLvXU~qs
zEioA?0&<fkKLS@1{^V8+aS%yCl)ZBr!)x;>buUVbf4r_|Xa=fu<{f;G`-|5YChu~l
z+GH`)ai0m(6h2={wUfy#M2aRJy-k$!nL2ZOW)=oCE`hlm_y9zY>A#)amgkM}W%aSc
zSMTcYOfH8ABXE<PjH#je(nx$i1$yehJt8*c^Mi=zPi$I-vfnELKl@e+{omM*iUP~u
zjUoQQuNF-N6BsdU`fgj*gcG%p&1`Ie?a?;_m=YER4ME72p24X)uk0|X)VzWs@)--B
z6gKhOw}ycyx{yE?=q170K=VLPZ}rsnxcMI02YvLFf#-U}iB{{>nZ*N{e-KCje^_-P
zS43A>J1IK3JA+VN6$&M5UQxb-?Y#jrP60*_?XHwr#&+*lmddP<JAN2)_xktH0UdwO
zm23bL69~-Lf`hk|kTdUOZTvlZ3Tv+%ofl!4hJOf*i)b5|uOb8W`4)4;uYSs}?~MZN
z|2!MJBh=^a`)%tTI?F@y=invtz8i?SDccfYx@<T;IK4#QkM0;<_G}ROKb(Q@xCT*d
zD@)!*akGT8i!JAi0jgX!;PNwVz(onY<P;whDChecBUL?G<(2dd$Uz3YGeHkJ*)%gc
z7!l}Ur5Hx*He5}s85c_f5Z$__iX3)op>YbgDc}{VvR^I*G&;TyOtcmCPFYc`)$W$p
zKn)gD7ZwJuC%J(`@uhVT)ujbE$EnOQnyib+$0G1clc~wCja8vI6Q9ehXh%4nhLzS-
zQW+}>p!3>nXh79C=McYCMetdVVsOrHFUoU5zpu8?3qOf*tjfo2aVi6>sTWQ~MSwd=
z;g@8RlV4H3KWY8ot1~pP{{2fz)K+cebKr3?FCyH^xK1jP|1pzY!OnRqvKY`;c@CbG
zfeJzcb##eRn)>14POdSr(Bh5j@N)3*(=TYyht7JW{nFh<t%TROD3@uV%$l(z+ffE|
zVn=`Tpkf_T{{+n%PkL9wd{o9XhwE+cJuqqi#as*~dFf0SYua7mOgruje^s~qDen&m
zHWvc^7AD#EO4w&g2tl;^*~koV;jH75BY~;E&OH9j_vB!Bn0a^^uRXzPl8`MCA4f)+
zOi%q_sy@z@9J2u@;l<2#UNUr}FiWpLSXTb(CLop3*Z-2)`D0%L5@*K4WI=Qzcq81H
zNOzd*36k;5-9h|)Oy=!jmkM&*52wEX2vTm9=_XY5qLqJIt8N?;-$e9|py#9<de8wH
zk0EqVscFRa*{<bDbJGm6D#m?}okv6Jd+)YwLRF;a4%=d2vV$*@7WnfCiC};%_@|ka
zVj@7MzM5?uoQmbMRa%Kcfk&*nKw`0-GVcBMkEfErYC{g`qxkoUjy;8I;MeuUUa_8w
zOa_Ct8IZzrKOB(sKVQ@ZoIyGL2=9XFVwi5gi&}mMRbKwZrx17F1Nqp)rx4eyC|gYW
z?d;Jw1oNe*JJUu2#e|xvXeRRvf}N1`R9!P+Lf`-|kBb+OyhruZRp=SSywi|5jKDC}
zH$@?7(S~C|7b79u4`cb?FI!Gzi?^;sX8z6KCVuegXrz!6++1Z3Lgro5`j@iA&W4WN
z($S5(U6ooBaQ>z}Bwp2h<Ca-L<uq_hnP^-#m14N2#QC&Q7~Q7<L!}dT$xD;`h1Gf_
ziK{vh!kQWz*b`O^b5z#r<T$3prA^NJ?ZFOiuRsJ}Uxm(i^_tSG=>6Z>x`{@16{5?q
z@GT7}@|2T&Jo{FB(Xwhh?Q(`X+n0Ef6R_36_Z}>L2Ye+ariWOAhoYk>Ans4U2JS>p
zJgqPAnH?U<4%z3pe)(|Dote5H=h16ZaBDm}Q*x(vy5u;Fi<Xsc{+JWO<B)T~X=k6y
zfLNj6hC(R9V&K=wIBWL10IhM=&N|c3uXPEo_$h}i9?kC6|Gp)?O&nV(6b(^^PU@Ir
z7Q9U^UWk;LK=x~{*yM-KR**M{0}ANHtHY62?-VkeL8b_?2Yugi6}(0CL$H#P+49Dv
zkqe#>q6F^y;bo+3yjpT^8E!)b*br)6IT&>Zxh&?EC<un{k)$1?7RqrHMvKEd?4WDp
zhug@NFmK&j3`f|?RdD(M(y3wFfdREkTMm(adCZC5oDrZ#hau2^Fz1reGiR$PxGvXc
zhM}sIC!f6K@0*l<V%YNsc=f=@XCc4Wrej_^u#+K{=`VIPuw)T6TKkw6N0+LElaY7M
zJ}aWmJAQ<Eob>C|ch2bjndmKTGWOw5!uVCI3HYJV{F$FHy&igtS?&gX+O>OFzj80{
z2%2+l^(0u84(Qt)fQp08P?9-+?9;2;<8^3oLHW1lMV<YK6Ap~OfvDBxCeEs&6sU9f
zFou|1na=)MOaoqa*op@D(CN&p^5$N{92&<obE`+Jcv~Vl6x!_h>kD$P-~B)xA3jt!
zcaB(b$JhhUv-hMr4`TU8<8aH%vQg?F2ds`gte`D=;(b2xRVaVfe#jq4Sd%_a>TnaW
z5DgIpwP|h79e*B%6^koRl>+dKKv;xezu!z7H(@XOz#j(hAUk1QIj^E<M)`N1{Ebjr
z$;0+w_-ce9RKh_+({F)uLkCX<y}|g^npPnc5fTmCK(bKL`gO4J*Z6W*twtGpMl!3Z
zv>GANYUl@G$6s*x-SCdx9qdf@{6p|!T?a_+4nxu)S`y9hUJt|wMEJp+RF0^R$0C~v
zlW2hhs|W9rO&`eR6Dto06A1d~gO!ERHhiD?hy)J(Crz&1>^o3<E*V!;F!m;I{3F1_
zzz+sNfNv77Sb_mmJd}-5@`IsyY<xy+5By!^9na@ydv{=wY#2!1iNI9%7uGUxhp|MP
zGXZ4_45Qi3brUYPSz1jmCrwoPVUbbS=Vm#Xj<Y?%=wgCik^AV_&zx)B!cL8jqp+-K
zY05K^nRRlnhEF&RIR>LFZer7JuK=bwwP%;LGdxebk(a$&d0l?~TR)#4OM9%Z#S9XU
zW8USk6X@+|2ZtbnH6RH`2Jev5bg5O7o9ARSisf{7{1C#28Xi=yikeHdS}gt!FTH>L
zF+To(;qzZP^IwdRnS<s337`J~BV_(xVT4!TW$I)c-(~8%|6qju$*#SefniVRL7G0;
z2BbCweHXfL1b}T|IDdI*a_YEmkg!v=D>mlWZICtF+Jv&w@!WXz`KKWzMF;9x@BLTZ
zP3`t4?`7_ee#F*86=AK(=Rxh?n~Sckt~L`S_j%cM&Xz7;H#UETYZDjcc&n45%)&x}
zEnVM^ufdi^<z%m~nG0Md&4TXxcg%+tl!+4SBT7`4RsW>We@Vj03*4GbvcmP9Vngzx
zC4ayNI#=4Ew5+0N24I5|921VX-B<eaQ#5bEi+TM@U`p>>zIpOqF=8Ik&b&Ujz~zE2
z0mvaFIX-%Q@ncJxj-PyTV23(wmwyzQ7?y&)1;LSyAZ>o$oc$L{SSAmHn$qg@Wt*v;
zu`6P(^jGraW#*nvjkEFdQ!7NO_*HM(?v($TFc7%&uSuw_QMr3OL*Aix^xQjulW<ed
z*R7-!$xUGX)7M2zMoI?PjUP-N*T>zoWF@Kc>+||Nik1ahW_fD<tQmKFao*2sK|Jv;
zLrv(XT4zpKNWM2o75lgQoPJzw)JSGsH@i@I+Q0!kl7s$mT6@6$5KkxWehXJ<`MpeD
zzw}?2kUaSxnDA!7mFP#SF3+B>He&@K69Ca}Yl2lP%Vgn#$N_1hz<!zzn)pmI(wt@F
zlq@@kzDhCzb$e>>q779S8$Iak*x3JaOF(%tz;#w#_+NpiFYe!gr_|66x;xVswlN5)
zh3xQ<G8vDyJ%l*5?x=vY_nY<8g$p<9b!fJ|ft7$g+=Xem=JJo2Zl17+=53%(|6-nK
zB^>3V0p(w8HsVkmVqh!cp>x=oL>@~;UEQ%p_lb$G0ujZ{#liB`<(A33`!vj)_!eH#
z5$wze(;AVID?#4=vCvl~XYsp<SG_-1a>o`-DXb^8mbD;6IKU=#M{;KH(u2OO=Ml^s
z@F+Fu&^L7meOGMEg}-wFV$3w8-zWIM!oE^8v5CT>2s;LCHa!cqK71S1g`^tJkTn`D
z7}pRrSFq|>y8@jJVe&&0u&Mk(QA=L?Jxs2*?;Of09c87pV~d4cc8Q0QJsI}hA~9vZ
zX$0ZU0v?<>CuwMPaR@<FRhl#J+1?y^Ayd(Gd7+6&B!uvy8hn=V-FdcsL9}xRa2#mX
z6+yJ19$fl*`+2!Gf89fF@54*NCk<y`as?2n6fQA2w4q0G1ZH~69#$XAC`lCV@w#G(
zW}=T2%YKDiSb38ykQomnuIx8`)`)vW9u{k|L_w1~d<~NrlW;1(?62DBvW8>sDrpj8
zCF|1zaJagxV)zuaj#QU(O7%8-U#_Ms;`+kVuKNrpnc(@GFSvv7MkwBi`nu<)Yt#fa
zksq_}$G;aQy25ymhG}!d1NP^Y-!IiyE-!v@X*d5!X*H<W6i*CV)g{>|i4$9Ez}I&D
zt6l@s7Jz=Q-$Jtqp*H3}Y!!c1T&UVwmvBX<O44cE#oQQhh4gp=ZIL_#ir!mVPiz`5
zIZGvR5cdxhsozLcM?Jqko5+qK>T=Hs+jtR+8Ro-*VX{L@2St#8Im)Ysp#z=&MUUY^
z^7+V`UP2<qx@4cT;{6wLbDFyIpkDBkR&nk$R?VYUiw8ufUYF(kkG+taP4HveE;qaI
z^M<f11LyktilpmdzCo>uy2MDuHI)U1TTNHN6L43Hs%YniupK^uW8h}yN$hp218%$C
z0lQncO<TzN^0FUlOLx1PpOWuA2rA!+OtYXcU@)=qFDWO@G>@z#AMaU#)rHwR{|m~v
z{5kXONr3!3*qJf&RzjvyRX7!OO==ddbxaQ0&bW7p9MxdQbz(<cZCO8Q{Bdgjve4Ts
zJ(`l6p(brHzgPq;17?+bgkik4lIg2Ju47legj$R70mhi*Ok>sPc<4`E$R`i{j=pbj
zp5)g6Ndu~;tXnZ_WsEpZo{9^;j3oE_=Tw;Mp<*dA70$|72|f)xGaheHymgZ!ywgcn
zuIgphOq1eHNz&@;=MkM+O}*XM1)kxYkpv6YdP#850NF7pvq#1{x9j(xv{n|lD+lR3
zuX<3nG7|mYhPKc2yE|wqF-e)e{N&>E)gkzNi%{xxf`zb$0&__z*FW2n_$pTb?y=HV
z-E2MEHVeotGUav}BOg^%@>BMCau{TAMMw(iOr1<U5Vk4?tt!<R?AC$yN;TE{dR2Vj
zDj{@HFTVK^06#jJ)VoFqO?kA9f)G^Z(a)7L?t;K|=H-ArRU2j~;GD|4%nNqr%dRt`
z=kC&=RbzbY7mbiv>EAXe!u28f{?|7$0pfH)(GS(RH3eS={F=7asz%G8{#j*6J+!+$
z;NU>}r@!dd*NMH8ORA*oMKTGyHlK_oL2X*dAc}$OwU9vPfi&pD4YMI^qFqqJRwO_V
ziE4<N1hKIw0}z-8f}ek`Jnv1<CL()?VWKfjL*aL#h9Gz8ISp}={<=XI2a1D@#WD|W
zxm_EA(%>_A1@Zd8wSX}64PK#o*MD3%Yz&-^sMDiB#u0JxwS4kvLBgf3ijVtr3J%dj
z%Y}I^=VFgp)-`Sc<9)Nq%}ReDNJYFs4|N>AM$9F4x}>A}zS1xkCdVn5FDAfN({w4d
zEc8ALs+|#^gjx-iuCQNb42SDg3I0SUjmB#CM{=YWS3{~>BH7JEOjcYe!4O)ZYFIgP
z!%u~Y1Wq7x&mBi2rlN~UXJ>Y@DY@Su5PPv;NG`6gK~B&HvCjFzS+~98T;5n;n)ns{
z`w&kB!nGJy%Xa?36K<W^lM*^}iP?o)s!uIS_nHh?dkMK7CmAgDlWHkoEZ04YHGg1E
zDN~7U0$0AwPER9Kfdaim#fxXKEdkhurW8Rv?rNW%s!k0bORw5(la$DJzumreW32wh
zZL{g7<sg@DIl(dRseQC7WeYW;gQ*k|lwx7furFC}M5xKucADfkxVg29U+?;1im?%I
zVTqIGx+_-w1FMu)n;sQw0lgXnI{FMoEedQqzBZDEysXWUc!|S_1YaTvJ(u)@VIhjo
z)kL(etnI-D@itwLk#BKVGULMzLpb0k?B=y8`-2g~ytfEDsmiHP4`{i(%4@Vm#xrgr
z9&ySy-!a&>+V>I&#9sU^Z9y%fgwA$YSUPRByf%$j=RFnAeG%};kox-ary0|g<N4+;
zf*Qs|q50uKPXs~%8?W-w7=3g$NT2O@#OszBOiP}lD#!pDp=;km&|sG)<<S8cw?MMu
zn^W)TS*Q1NbXMqr#uc6#B_bbj-Ce_>?{wg>(CnR`*VIn~TSbB8JHIBaTrm8brlozd
zQ;)nF49b4a8{EvX6*D~kz`%B`1Yv3M&Xe?Svl@-O{A-OhDe1Ya;^iB`e8Mwb`B+LB
zPRja*7k#^5_BxLZ<s0***ZBDX-u5g1@ZP0&|G!he8azK<h=(+(O;6no5vzrijr!i^
zmV$nnZi8>jO?*NYZTfm;`9Hs>kp*B<sz><q@f9<vBRDvr2%LrelRP;0)v*vF5I^yP
zb$D>B<5#qBcC#|v7Tql^U3z5bv@W>kbU4R7Y_U*Nc#|epMGB{f_Cv+j`+&$IxyX+c
zB@vk^FaT%P>e3eYh}%|&swPJGIzuBxh&BesQ^z>zuCwk3ijTgL5^EeR7v(n-MYKFA
z;c%V}6P)M?B!pHU+!1mc!o5s?UTHZ>eg&0Xoqm`d^U(WjdgqGP>Jmf_e_oBrj`6pH
zla{Hc_&k;s8%R{!US(Kr%yUd6H&;rNn5KTOF_;({nZVG-2ZRbWd8^`?xo}l1CDAu0
zBfgt%^iVhz9;goF3z$PTBk&#BmZBcohQgbByHMo;m&Dzoi|6jL4i;o1AYJJJ&R!y6
z=hqHUVp3a)W?PeB(}ga|)=@~8NIKZMxH=zoacWvhM&KMJG+PE6K?xRFr`&+KJ!hGG
z58grp#WbIptVzhe-EH<B2^S|-VFUNWp@_cS-DoV)m7idu?)#!ZJ3ivkZ?%*lZ+;*;
zF)?kgHW+j5S~jrs>bYiST3339vO8x8XB)J>TY!7<)5g1iiI=hWJ;WZ+$dkGAGuK%Q
z#YP|Sjh{b<uS0%6AAiVvQgHeZAWC{9YK9NtW?qpc9H!LLLvwmGR17sJF3?PM&G&mk
zaTEpyVZ9I{7+J)N_WXSX$d2f9=jdd;Ixx<_)m8If?t^P<^xhlM`D&GaFwVOo^@D4t
zb-wGoj@tOVE>EqUOUcvrE{qfdWY%!V{@H}$YeW}Zri-zuD&ca0F~P>G9YpKTxY!1p
zl;*=Xfa1*Zqwo26ZRUXEe_H#&Am6KD!g54(C_6eX2=jcD2kFL0dk`B3ic^8n1{2B#
zFv{KR==Ch^Ehdb)OPC4bSE>0D0@JL_);uEU*=i9ktq3SS({o<5kQYYsPZInb;%3eb
zk1Y0a*;6GW8LW!nM5v=Mg1k|sFe*Gb@GK|zJ@^z1L#dp2Kg-KHh)wP3F`$!NWXR^Y
z-|&0bD$x};ElsX<iCa-K(FJE>h}of4u7-@d7m7tC(ImNY2R?-?WK5LF)04d8?Q_nM
ze2vK2mJ6msEzvq&omT33%WBearzG*x$G(~@LdHZ3xt8o=%!7PwZ$m|jxl>Cjwx}w_
zB<%X7!nr8r8YjH3%^bkxt)SP6Z~t_B8oF}d7zC&R*zC6N;)$tGP9kho+$Z|B1v;;~
zQ#11Hq@|?Y>ROZ^#`=6*jdj)04wF#D)@lu5Iytt!v%t(9u+VRRPT4okJ9fcc;7x`Z
z#@KfrmY!YlhCs{7S`z-=8(+4p7!XWeH4F)Gxs_N%RRv8Qi{|I(sLq{dGLgf@4u`pc
zHq8xCIS_v`(V5r^jcwdEZQ+QHHOnvDc{ydjMANmds?JbNmNwE=MK3YOep(J{1U;NS
zvpN!TJVe-LJP5WI4teOdL`YBpvWoTGwaF?JE-s0>hBY@SZgp*c2*7}FiQtk+`(dI$
z6BJ*?pcw=xmFeT4O;OwtOLOVrI7i8xEU{;8WT+rL=qw&=lS=#1St&Czr>Z+&;4PWP
zJK>Kx?Ro>uuerBIBJ9ztSqf+8u1b!-D_0rZAMg`fR9#gn>^hhRc&A!f+!s@I{`Iq$
zW=>izpz<<ox)Wtld?|lAiBAgkRB9woHc`^v$MO_SN=agb9D3@<S`B;-ofMXC3faMF
zCE3|5yge$QtaXvpJ`itD*4(!+)fOcZrL%AJ%=I7mS+LOc^(5j(uvmdJoxUdVabFPb
z+)2u4CXoy-Lu`WTkU~n0s7?yyc1v`k{)R?s_C-x9F{>$ujG7de40?ZqLJFsoLMn8Q
zO)Bt|Ad&1334-7VDI&ov;}f*KA1Ymu_s%19;ZCtU79MS?qKjM}Q77sfm=DMB_d)3#
z_3=aeG>J5Bb*H>^yz45s>YZFltp>cEGDMNkr6xSp7_o%C5~E@su{Ove1CreWqk@9^
zqiU88T|0@cXf@MF$fnNkWLxxOKz0@k9#u${;;b45+25x#maOVN=;Ml`F1ctpSpT99
zwCp(~oQ*yrrJbJI=zb&I5QSiewQxA)s6~?7vqZ92NXjdhea=cNve}77!R~j}X%4&z
ziJ^|pPVvsKvR_#bMQ|quEG||OTmq456BqLgEOTQTFpxYYJL$dM2t)Wk&q9aB{~lyG
zp@@PqIf`*JK=<oSZAh)p&M~xIwBqLJeqb&c&0YNmb>x4rFlNsGhlR2H2P}-`e}#n|
z>1x+~$MpFLjKy)<XP|m$1M~xl`lMS;xgzn`aO(oe5F6DJ@vkE2@Fg07zW$)C$=%bk
zd%J};G2?-GDKN^rs^2N;Z%IzCyci|CkbJa#d@YQ2x%;7C_j+`qzUXiI`cgg+-n4!B
zzIl9I!;*x*%+sxNx_<3He4}BWj78dkDlhz%<TP&!2V1>)di?+7^vQoc+{*r&(|3k=
z(e$m6m>*%Hx^ViYX8xm*EN~#sG&@CwpFh&E(PGzp&R_~q9w%!2Qw)RZ{%<i1v>t%O
zFK^aci&4C5q1S{#P2=s+8_|eqMEh+M5^?6=Vi+dbp}C8NxClWS8D9CX>|upzTvxAL
zs^5vhpHdkAs3d2-3r!8u?GA5&+h`Otwnt|#XB*$mFj(Uw-_0;@y#B*55{vqL<@Mo@
z-S%qii*XC`0YI95?UA-dRD6<ggeUypDSZUld4Tr!(|?*_{3RY<KJnqn;ceSrh&?iQ
zM|u89>3e;-=+fWG5Ys5EP5xQ7CRv<%ypXk?7|vJ><J2&}<&6V%j;gqXQARH(Rm!cU
zJ-<Cl&V2;OP%C)xZ!^re{b6T&?#VW;&|;Z-U60hiA$@HnWF<=XkIA{9(8d={sM||-
zi4#@B)<|uwvQe}PIINjbS(yI{C75JWV$qiD!~2pMg&RW{OyWp!pW<7>chZvp4+aKy
z4~A_<6z6}cVG;-u{;7tMkJF^GO+wji?@1c{ry8baeG!k&a{k8Izr5p-!h=!1&ibM%
zY@O{YSj%BoX3%A{*%=m|=4Q3)f;nLsH~u>AU*F|K<!y6c<+oDOCW=<uDl21t3ffQ0
zuoV?Xg~2G5(JTLLyrlLK7L%(PLY8QNE(7%?SP`|&z?|05N{EGhIdGM;V1!Qs3%h)@
z^m+!%WgykWU$*^rUM>=2UDs^AMhAEzkC)R6nOza}M{3slmjHLF_lL83-(mDySbJWm
zfR7|Q(xMCIloE3aT;9{wpVh~o*9<b;OV293FXWvne)}%DhL<Z>B;-ji){DyHydA1Y
zKsV(gb^2|Im=AOvD(~zx*eAP)Fz=_?m1)X?HHDVJCD#4Cz6xqrPOP2bI<cjlsAZS2
z@r4s352IM|=ay5UUyU?=zlBifJ252Ba=)RQpnH-G*fWb!+pG#1;WvgRB$28SBa;V;
zfy$iS0DmVrX#&^7KoW6L>xPIXU#m;SO1fG6@`!OC?9<!^zl(qAHS3L;ji8`<cgV%#
z!Bn4RR*b*m@$GI+dOuh1b@Sf-3lJ=iIjq}d$*al3)N8+g{d-RMFpDd12BiOy)$C*x
zel<n6$}vU%QHdQhv!XWH^UTAbZ<q_ACaraGSmy8XvVT*FlCXk1LyN3m51xJjU_Gr}
zP?}T4eoGQ|+0v@brwOM8PmmchFm1f>28B>oF;3}03X)UCbH#>GPXJD#6syJJMe+>q
zk8u|{`hMh~gcrzP_&@M&Oh*-X>M|vXnb^-<<r99QL?HbV9$jZ9MtOy%af;Bh`(YHk
zQM1gAWBa<?pAYO5B|8r#Kc=~CINJigQt-fvx_rPbOQ_GIc-(>9&|(1F%S$X6oYab(
zP^zR5DXI;Nq|0F@JvI#W2K14q^I~15C?!#ZyKun`zeU;-Pl>B*n}H-X5;7YSrE;9`
zXPAwQq8S!g&eEQLg9`nDi$`+Ft57trTCt7%rukR<y_4&%diLttZwkehj3yG*qzIC3
z4M-75Yj<{356mpCsjhU{LwK`*wdNajXDTZGzYL?`tm<ghETE97=S}p`!f~^2s#dBp
zFz1NXIDXfb9}t!g&|HN}ABEVzujFJdo*swDg_O1Vo7ysNrxhUs@OcRwG>+s&swYVn
zgw5rNU1fp`^P0CeOY>g6Z)`K@uo2$7edAKg<O#;#__`<xPHD{+l__1%&Qn<a1Xs`e
zk?F2W*$@bE=g9+C1k_juyAo7#Q4R&_342ELI}@MZW%fIhpWpu1=tYp5vk-;qgpqsp
zR1XDutD|+iml4tY8WXCf=9n8q)Z0Kt*AhC4uaI_k{>z)7Jj3RP=rd<-h47;RU)+>z
zB+H(x@s{BeFKgWLBzC)C8)1e2TmD~^LiIBJS}of^t)>+%$TLvo!xf<7I^6FwQ|n`^
zvO#Hm=;4eWruyC(TdR^IeyoluF1qsmm}{#d6MbwORSmiI<zrvKD^REd%lZWJG}~xt
zg+nH(Q`ng?SS3S6J%wUQB|R!gqP@%>EGE+8p>F-r7sQOQqI~bP`0#h;;m?+fdS=}`
z?4`;LNZ~A&PTi8-b$(KD)oY58v!lVNuXB}7M-yrO;f7OI?VnOC#`VXuC#zW|jETx(
zH(Vk(AG!>@&|Tc>hgDSz_6vk5pqS4tyGVRjjm<TqvNK(r)F}@dOjNzCDbbY}>NKTi
z6b{{XD&=P(l@^Xg!g3tS0aA0;N`$yBQWtYSCRBX9K9SIdlP2PGgV7}C52F*wr9HdH
z7Ud*%JFS}YQ`dhK&5l1h_d`fm)7KzFp(sQw>G?)ZEE9{C<l^*K4pNnS8RIC$qHKB7
z*EunF=b<!Pd7`=$AH|TKf8dQ49{D(yWH*G5G)Mv^JJGsIpl?@qYfh5C0r8O6;tSVY
z({%n+(g#)EzhWyLN~u)0E**-gRQ|8=0ykNs#6xMTdPrIs$wn}uE!2}-yuVh($g_IL
z6^f^(M<_y3fy=2e10{?lQ#<6s^%Y{uc0Pu+&GFLZdwN8B9yY7vS>4ehl0(BXg`V06
zj?U4I-o@FD#3UE1i>DKzkYJ1nsZO$Z;Gv8s+u&Ox6SNZ%aQpyCz3`)A!Cufiw6;a|
zrYug$q^JoYt63_)^*2>RLH)Xu5EBOkEGSJN<eJ>&9v5R594pQHBB%P6_}xcV^}Sv}
zl%VWj8Cm7qCK=9|vuQXC|7d;rgFywjl4!JThMj*_%S!z45FVBiAkYFk4|1(@X_BCQ
zt)!^@B|&0_Ju<%1E-q*P;j}~1aq47_MS*GQ-*Ky#+^cmC1xPEEkBRqo^FmdLqJGY#
z-}Jnq<wBfo-ovT_p5>e#lf1)5W9sYZ4X@}4i=HE)I?^&<kStU3e2|`bF3Ryk7}bh>
ztDaagO96tZZRkoCz=8{lV;xJ;26oZs4MfnF&_7P!|7P*Dyp^q8deuh<SWt5LiWed|
zm;8gs_UW&4C#(T;sy{Hw<jQf2#IkUeL<|;_?WR8iwOAyNOAR5Bka8U{Mo&QVqCGgw
z3O)iztH^y1?=!;?KqX4s?}4{WT7PQsg_50Fe9jsIYD_{&TmKZ?SF|M5;5f`R?M``4
zczR0l^~!=P51sI{%&5IA65ME17s^6@P6O8tE2-J#rYRY*=X2HIDZfj@XvK?>CG^;=
zkvCI;RqQ28m#Y!oI%G=HijW3HlG9Q-!Mv*5u2hVaw5&Vs>?%V`ke>8Gj)(vgC(t>V
zjQntIpXe=<s9L8*vn^cJC$EHGAW>xrCCQ<E=GZPV%ynn77<>P+ZxF~)ftErDqIf_g
z+Fam<$h^G1LjZ{G72yt}bg<`{OtO-lWKFtb$>5peN;{vcoWm^NIh^L}$6f4Nxk~9X
z(2!u<ct9?i4!iYDA!)qULmeX>wsAw%s^BH_z}cz5rSKqqkE!;;hA_l{TnR&Z4r5hC
z59<!#5Jj@SjVGjJOyP}2TvkrxbbDycaeRuEIb$MURH~w4bLPkxwy=Y&SxnD7>Jw0V
zh*6G$8-qDOjn^mlo|a{I?maanAJXD-V}Y|sl)6gmbJm$l+2DNqSBSUcy>%icA`be3
z)p!)7V90mt+8t22LRA_2vpRn2qB2k2Aal9PFL0Od#}EorBy-49``uDp6L9q#+mtdg
za~WAgEElJ~vW;p>i2qLKXTJ0hnvZmG<uuhim#ZB>vH!#a)0Ln)kLBD)@za1$SF5Oi
zBbi_p+dx{!J4%cGbzGiAsYSLu^hSFUT}A98B!4w_SQQQUw-`FxL>X3G^nQq;AJ*`*
z`QTp~=G~>@F}B}?;k?lgxHqAJO#qqfH~{igtH&ga_JfoXWcVXB#qFzaR^xYtQ5?Vg
z<1#bq+30YX9WTy}Q8gL;TVnD`@edJBTH1C5P3;5MAu9yI@Z|bIyuRhYs1)8q69LBt
zJ3@(zrSdoQpk>(d&JM8)aFt;%;HB&*=J8{&@e%fupg5-yhc`%qenmXI##LE~q~6s;
z%eyFg!+m+MW4U50*-}%Q5fj$p!7;paOl#&DpC*cEu1-HJO80)I<g&(;Im7xTT8SBJ
zD(T79G;L%6bAMwZR%X3G$~&4zcI@aW`%kSu2XeQ6qPt`})V0WJy_d$nHc4DtUwvT9
zauB-}JYfOmd_d0cbB;snW{rc`5Lol4V)<QJ`ce1>Dl`zp4WQBDAxJ1ZeHJQE#CH^Z
zL{q3{@z9~sTM|<<n}&ku&_agNg^|Eib5CLFS)y=grJ|Kcv!XbQ>Ay%x`oA~m7fz`1
z*sc_LYnln#Cd+r_vRCi+NpBt@lp^l29pFx%4Ijow={x5jWnvF$^yvZ}r&_QD%eppt
z)^)u!i{Q&;^4S+h=dqWJ!CoLNvv3~S($Cfs2J2q3pAU+kXbVx3gH6qU=gHa9!MUCL
zJoYzd5uFPoQ(M%`&Ai&SGwEMpr|}!t=b0{$ZBnziG6{a$6N33uQI_WQ`a@ZQwzXNt
zP9|<+cf%N4fRiHrmA$Vch43pmf~hoONW!#Z;w}C`RC*D4JSZa45W<SOR{)^mwIShn
z=cjdoMwB3l8xYym?GClv*7g|lXsgE9xCl(xCwfi4a)ia#5|(Xu!3gI(9WJ#6myTzo
z^|8&k(=6}u-21iY!5edhW&@43Y4y_7!cje4K6WLU6(Oj0P6Q=DTuE$jy+UfYxn`-K
zvsD>u>m)-aBN|MGHJ*yGNP9IeP8Q^q!hiioZ&rL5qwOF|C;%p{;Gjz=ppvsxknJSh
zN{e2ooOwK8WZ_6|^S~4TdQ1trZ@#QpQsgzgHO6$br&%^U?b7BGB;5TVwwm2%I3kyz
zdta30&S0fgz%4rqZOJhgqlj~wXr#{(B6ip@_oSU`+DDH<UTN>uM30%Ter-$BZWB7Q
z+oER_mYRWiVRJ*&f&X(+tHwQ%i$XrfIU(fX@8*e@K{kj<doaXTtgr9B;hUD2J_mp>
zIj6r!1m-Ult|t32s6M?rEWg)CzvU!6)5=|rr3uh@ieMR8F9s{*jP&qsvjY09jS5s*
zNaZi{B4JK=W$i^+k5ZoP@SP1H`7D5S#BN8+CQvTb_TuMGV7BWel5|;UEeYiW#F*!?
zi&j#g;aM^kI#(7J>7flCvdjr5#^F$`F&1wvgCR>$IvWk!gpQZ;@DJ_&r7pd$r!8O)
zV*sQ@P~a6yB)pP8R9`RIkCF6itl4PCC}qrj{^Jqlm2I%EfnNH@8<2}$BN2rbNczE2
zUhwCX7hS$`Kxk;b;!`OwJg|c6{~h-rMB)d6@+Ur;&wz@FzCh+&6?PTT0Q4lqZ6fP8
zS@n4&NKWZ8lW@;v*0gkK7Vum-Dpo4h(iD4ttP<FDa+unI-xb;WK3UwOSgF0N9YCKs
zm>^aW@p%53-cXXC0CQHCMggSQ*edT9%;71$%CWBqd^$=ls!upjV|p5FlTM@MjC)4!
z%8FdrTS-^bE_G(ruLF6xsh=OaQaMLicPXLarC!KHx`MQc5$T46pqQXAfI>|tQ3!tz
z=C$e8jO2=v9-}I%4`FNA!Zr<RLR5((GXqzqwqaFb6cU(Wm#Y;bm|~ZHk0vL?svUwd
zO7g-q-8HRzxwyrtso&i;DDX+L;LMX2E=b&Q>CtRN6xntCo%jl;Ea_T9mqKm?N<!1f
z#cHAWBh#cbxapRnAH6}GuWHlij($m4)+K|6Z1r8d?o+~l7Th6Kv$Q7N?*RjQ1Ol|m
z)5&Jr+%0cEg4xd?DXk`-l4SHXbiDFdHLpuCqRi@Nb_Z>Xy5n@Y*dyM4FANkFbo#3q
zzN>aS?aBGs=(gemu>jCxAu!#kZS0u<HKO<+EC5?kya4QNc9PgWj$L|eGP#AP=f;mS
z=CJf0YiQj2Im0XzvKG_h%X;0#?^`P^3`j-{3xO>@Z|n+3AIk*jE>Amhxsfe;VbB3?
zUz-#Y$j(Uo;2r#K)D{HfDN)kh5l)3x4@c!v3SuKD<cc@pP*)v?$_gDioHnKSi@%Kj
zTb#WXdT-dr#HR$QAcIGCHn*vgnva7HjWKfX_Ahwg7+K-VydZ~-D=yD8C!x0&bppkn
z?tW?aY)SVugNRSPh2t+hW<MT-8ks~=dXOqOlH!B8IG%Db6I&I&Ws+tPRmj%x8o{N4
zE8h0f9hdv{Tpq1o5_mS9+40*E)^B7V7RsHFwavfr9qTVJGx36aCte{!gC?{Pi)^#G
zb#g`(DF}8?GrW~D(fAstL8-%;fRuJug)Vp#8)FGSTm~|>N{XJ<k5(GtCg}>L_2bR?
zr5V1;|4Ig$b{v6Hy=_2bo1+d%RK!e&F?0mqC|ikyv~Sd@do?!4zU(fPHi(dh@}GIM
z3b!%zF6%BXmtzsQVzvOI?<=}1;wlgmY`G|Ak=>}@TeoaS^Xr{oskH|3Yfr+T207^{
z$DLPNj5BDBGh|vz$?+C|kK($Ws*YmsU6yWaYP<k-PhS?=e*1pA&eqwHjDz)JNcY#|
zR$60@*+NW$j25p^%AA%re&BUA1EnHF=zGF=k0@FOh3?Mb4@yT<{S~d2th<YJBQ@IX
zhg}y8UhThF6AYepl;P6W>h*9hNi*`*i7QvlUe&VegH8su!bFO=CMMNC562CDPTzBa
z@`kloWRgJZD1n>qgjoZ4K(JII!HL;b1O}mzj#<DVi`r2KBARiYxW!sQbB&i8t6wrS
z6sbP!-aSX2ygNzBEp___SAMG3TdKd^UPsFJFT6LFJR?~1N-15=YjH{g^Gx_?<S{0+
zaS_U<;nDQIlU`{krj|iKJe~96Lv}1Re3$gyccvq6c*=2?H?#gY{%wyvnh#K9a^Q$H
z<WeB+#H@tx41n3&l1-t7$*g*0slDfBrOd2B(Vg}AJ{V3Z#_mOoD(W#2N`ard10VGx
z?3%&%CHQMq*lvo|*cKx%ltFYFak?S>`1|_^M-C?RT-itk$=(P~5Ra3jdd88CswZ#F
z2Xdse{nd%jjT~_#*H-^`7VSX#B{$5^I6I&#W+UrUEG&z{rL{;geur9pC(%7c>#vP~
zq5crqPFMQbFSgC2<hQ8b8JkO$dd@yDVXxI6JLqfTT{c^%99ZJ!+ONf`4*<P|MCO5~
z6@0bhchJ5NcYCAE%7UpiR@-;gJE!2$lE<pRJTyl5ljcMs%9?M7JaxCe5kH!!@cUaA
zP=)cga~Uc<_gnfGYf*D=J+5y3Ka9O&aAX0yu063Pwr$(CZQFJxwrxyo+n(6AZ6}jV
z@b%1o&puz(*+2H5C#x%|uI^O#>eY8$&wYtSAsj_ko@u!?AAgf&N&G%MLMd&N_UOEP
zD869B-8>bmGF?4V1Q}<^X>#Mw^Ryo!ea~KC(zH`EW@ql2NCDdk$)e7wcz`QuqC_f~
zj30|0Qv&xO?*0X{Ki&@h|EAXevgm(IA3Nj!mCG>y4@@8P|BC4YR0F2cHvjiBb9TdZ
zT66*g1Do8wPMy3AlMEgM1Ot?h|H5jpw@+#XNj0VI(sj2g#KUes2zGr1i>szH9j)Zj
z&UTdOcGRQ%=SyjvJO3Zqwy%AF&D_uN<tj(#>%`}Cr8Ms4rkf<lgMO+YM)`%Fzjp&K
z`Gu*2RKoS9P^+Ne>&fHg&w@DoIGy78LhgTT=KMa5A8JDFkJ_(8pty3m#YR`%anFy(
zdm(Ceh>AISMMeOy@9XIvzuZYu^>1jce8ooB4<-z$*HiGumw(cv7wuN+r)^z7Fkk8S
zNq}bDRlM>sI0(}Y2|)W$$qr7R&U~2S$CYwQ{qzh0ErDF!Su~T00$)ZUh{llDk6a&Y
zYEbBM`m;%YYXW(EGPbK_Zu1$(o+M7>b8GGE2NIrE;QzU0rqlpF^G9ihJ}STNem2Hr
zw4&Uv`W8m9o0aL;p@~lTn?<C7j~5nS{7pr5rIpYh_<^NBzFm#;7osU&pXb-D?q-Oo
zf13g=SrW^O?;cB1Ne-y119hrgUVd@~u8XKO|FV=8ZqT}#qP4s^K+16fCs)sVEeYv*
zNxj8xm#uMMSq9*JfY^Gw=wEF8@XsydCH2=W^VAB6tv~<8*8bYkC41v65HWUpHONd9
z*e*KcBr$;4nq_EzG^1dmPBa>EckZjujIc|I34Ug12z+PYPnt^m|4%NnZ~!f}aR|Cv
z%dh><Xg;;Bf<xmupJx4|tgY#9Y^}dEuMXdAxenEAFp?H{7HWBdcD~qRcw@T&s0;kI
z<z5~Fs0$pgx@GC7Vj~XLCJL-1T{!*T4FLQKe!L<K?UQmozykn3fLx}ktUTH3m!63O
z*X)I(9H74XpInBv?WOgvTm}}PG+!t^YbC#Tp-x(|oBEGj=EUhl9ZrWlA$lFjbQv5#
z_yOcH(0QII|Ku_WfWAOjwTwI*$EyM!B{WH7R=Z}ZuD-v7AAlyQTK!A-Wu8M<J3(n(
z!R6z?<|8>V25#pK3fbv|#<Pf6;C`Ixv)d|)fvUkcy@*!N1P9;U(Mi(6ssb#5gb{iz
z><qDf`e1Wlg2@d^F+{v*J<wUpn7I)NGQK@bk#2f9pO!iFbHJ2T<Vt1!Ww@NtY~l*s
zvK%&1Z{5{)lr|jV9nU6W=i$iW63oSS49sV;u}()Ezbw_RXMgHUt>l`U3{&`TlNqWo
z8DpSab-&-F=I4nWSFK^e2*Z}7kV@_t(a8s-M`i7%Q%F=IjVVN1;+|cXX0_k`s`_dK
zC_qY9U#RWpf{P4{4ocro-KkG7@tr*~t-aRqRM!iKR?3qD^`Qmw9^<g7pxt~t#Vhz=
zW0PSxKRzb(ce>v<cGy=xLE#D6-apnn7u5gUv^Xq;IJ|+NoZ!_57Tj$T{{)_>5wCdV
z)<&*w{1Mad>*Ymp<w%qY4%=6=<lQgyLhA3ees;-D3aKvplxtI8i-vN+Z!5d}Y{S7K
zs#A_lu~>34i569g(4GUc$_e?D6xPIrSmf~|#&alsI_wet%u!4Ey-pA@Hu=HY?&Cz;
z0+oaoqer@A8gLEdtBVeqMP0hRRo<B<?Z&n|b8fA=FQb(!8Q=EAc%>ClsTOmJT1n(+
z6H=gF75S?kHBzr7Gl@goglIq(T0CyHjas2iiK*5B@_-!x{zag-hG54qc<y!*5PW}>
z!Lvfo*OPftNPq|iKi{P*69$_*9YTpLfQvRFfzu%zAJPfY!~rKxOr5WmXLj^|QA1)x
zU**R1&?=X7Gg8;sVR4C?Ox-CBiBsi@w%Wwk#lhG|1il3t{De%bU*WEG)7u%{S5}PC
z1;dE~XFk@iG~+1uBbqCd3#Ur-sgn?qVw`)Rz<Omwfo(ZA1r-IU;=&Z1`&KC@1{WSm
zw!HkzwnPvldeBjpjH3Yi>rT;(Qqr!QH0XY{XH!kMX;Dz{EG6hqc~T<Cc)+ay*JY0j
zL!_PzoCL%mh6TjpYWWz@mBldWtK#?NY_b(_G1*f{#W<Ih3yE4a8DzhNqapbM)V^fD
zYNI=4T87m#t~-mS0m^m`Fs*vN)J8W|>I&6DyrBvUFztLVl*$5Bn~?K69jwO!wN()+
zk<eC)keFY6!0H&qCVJFZIIT2{x8xXKcFfDEOJ-A-!acB6b4Gqo10Qh(EK47vL78Sj
z;2AJjDvB%>EMSEe2z?lq2rJ<gEb7L~dHr3;b8SpWTApe1r4hB!<Y=M;z$4;;BPy$v
zi4Ryv5990t4nLUg-m(+@Q@{p)?<{`9Z)Vnj<_GzTes@*{&xAB|r(Bj2M&0Z!BFIj<
z<3L@t7Dn=YeQqKIl5N_d6m)qLR}JKPQpB?9%rZi~BbtgDVYanM&eM8YpQQlOcKy$E
z5P&)r729sH$}`vL%u<qqqX62+pzgO{fjXxYO4j&J9l?BFgS+hb3Un@(EwJ?l?5d8!
zn}MHa7s^;*S5r^?0J0bZ3&AV8?vaDLd@~EobiFXTpnZO@qT9RoO(v$upH-g_NFwd#
z5H(=M-Z?=LA<z3m;ps5j$8l~h{=HNIf`Lfw#cR`4*4eG8;JXYCtjxOhTUIb<=;?Z@
zvDwWx_!ZAhMCSXtyJ-?QFCJsFL&KKy3`|dqDlRiIJn+ic4EWnRmne6**iRP58!S>F
zUSqAJY$Dq{RqXd`&jjpQ#3LX*k@vo+NWV|q;Enr=Y~F**_Q!9|OtV1FLNT~$cm~tm
z`Q5zb3d?6Lgso3yIrUCamo%KC@u3bs{53*zxL1D;iPwQcy-~BLt}OTgH0-<>nDg~m
z8+n5-gi0RxYK?>Lu3uU?e0<f%O?W6=Geb+8$A_V9Ot?>Q^a|7Mn8mwU&+b+v?e06H
ztX|6j2!gRkp+&2#BJ1WuZd@=OZsuv=ARft;0dhr+kwFT$+>k<Harhn%UgzfGmrZ&3
zzS63en^(H{%K62gR!iZ6^;C;9Y82o@6$<>?`50y8v+T;fgwVy;9H|WI<+UlY^e`Ke
z48=Keb6%7g-_q5}7gxb||3uiBV4b?-3qeDr5ZVwPfmRE8aU<ufyOs$l^Qw!K0)ZzQ
zjT8{mQOJU=Sj?bw6tMW)6|v|LTg~XiXj*1|{?@%0u6D^96*CuWeXl|&;Ay@%XN}l8
zNA7_?CC-(L)4Dl?w&Mw7LC8MtmV9htnF&ra|FOHMTGWl-yVut|=H2(UADwg_PbgXb
z{K$d1W-DEdGekqmu7S!X%}l(D>D(ao#?L4|j_GWS5@RmcZyFa*QXfAVse=`ou-TVD
z)vPuu(3=!L!TErASaL12s>7c2X0!E*;Hk`Rf&TM&5`qta_IB<G$g)>V3HaSQxJ_$9
zrO}lr!1Cp85)u|I+0kYsB)5gL$RfCgnouMUDF4KW*zed=*S4O&Q&mXANcs(|W<}ti
z>o0|1%#Tla$1E|5URyah>8mN8A+}AcLX7DdITslOrYnsFA+0>A=~9;x?Sn0#QfZco
zUdgnw3VmW+PqhcEoq|-C`JKRmVi!+L$#l<_xo@+cr-bEe-jK*^Jzl(+Q8tj?hBiY$
z1Y{5Jsah}*q!k#jPG4-$p>5^jPe8yGK0_xt7$T6rUq!kgV4cTsN^3qtFCws2Y|?X$
z#AL9Q0S-L&yL`)Q3}*6X!Yr8Ir?=HG0IHn-KJ+^haSah&gnj8}TMO0=-enc?Ow<FX
zp$;NyUf~q_))Do~GfT}x*^(H|RYe0~JJ<54muDG_<{V8a=Hjfn@AtE)YA+$-H{i<H
z+4!Em3gRY^M^YrqF4=k|5?aRYG&QP94a)&6fHznZS~C(&H_Ek3_Kr{-{QKCt%N8=>
zngD4S!CL%0F>TQuoSZYM=~ojfyq)+s1P4Yx(4b!1a6g6DG;d#BkRdiB&El5hHBcz-
z%GT|0s{r&?MRcRzkwv0Ca~QX04veL%ovAn*Y-=z|4%Tk!Q@w?jUAY?U21?}stxbrH
zausxI9cqJYOXfkUD~hYf#b$wVg#d7Ca-yf5W!?S~O_xsSw=ZY@wE>@q9^R#tp%iS7
zm7^O>(SuE8hDd652D)~pm6&N>b$7R;IU6swJk5j1GT+Nf_EP;3mHWkOIyP^GPG~5i
z7nLVp@%rvx6NN|hq3{)|GYGKwb*dx(nGnDGxaWTnyK5yEJfYHtxQ>6c#Q>3{ECyl%
z$44d7ww$q0zi5erLGa)Q;7T<zwf!W5Ll`iS2n+6Wbw_dZN2t5bW!m#PaN9ZD!l^vp
zi_CbZj1v&&Nyl8WjMBFpjRsh>tDuF8rEh`aEG)?nn3C2g&K8QES-S1ybI&X1OQX{<
zU6CBee#RoiHIyp27N4zEINvvwV-cfIMz0&<3$}Q2%L*uFnNBjsUsR!<ULiw^+^QH^
zjR8fhf^^T-mMY*-X1E`L8)|V?x*dZx=T>Sj+R?2^=hU=>Lat3H&CA??F74j?`MBH2
z6EvtYj~V>{Myzt+`}GV;r1AF!erd1u4dSTvbNdw<2t9X9S5yVM1W2`ASYT#iE5w8_
z=<lU(#U^2gw9|-dTH<R*)aKQ9)X`yO$qY@u66?csx~7?G^rx%a39te7SBYqE+Fp$Z
zd5&$v%q*dpmnAzv_votxrs^WwR<Lp77-QAS?Io-cqsr<3OgN6zhN6_a0^=Bu3J#@^
z#rAce86lCq=hJ$kbKFaRZo(qz>tC+!aBh7$Zs4b6?&}YTZ78>1<jL<;`k-~^;&)B!
z#yJ<Pj@d+O&qxPtI!-~V&x)v3y0>O%n37tgxDn4L<7cNEg}lzHP3njs#WF-SbKBqU
zDAo@uGD^)V>E`g%a#kv)73-SlqG+yvenq-Z0(MgwO$W|cQ9x|n3l~n{jA%3b(S+Yp
zjbLE;EQ3AoU|t}t%o$nbo>fhSKp)??55bhGR{crI`&H#c9MCxuD$NqJt2-3-+s-R{
z9O|6~zuP^=Vm`k9nCz8N=J2?Ao*vWdq79jG$tonioSJ*hskAm<X>Td4lHXxjp*v41
zME=;0eSllhZI+Pzg~Ey#07Uwr6D0E5*SQPEkT(X=-oXvFrD?L5$%U-><6MM>NQkY&
zm)`<4K?(5O6R_wlg?7=2N2L;5@n*4(t_pP9bgeI4wUh~i-eiR6jF<y?P_S#Vj?7!G
ztJq|>GALDM&Hh+jGINt#z&m|oc7N35BlVWLRhn{(5=)+M@`h?Qx$gV^q_KguS=!+n
z*WM;{W&IqpzC_w9AjW1Y&C#nMm8n^iBeO&3&6D-g_T8w`w_=Y8vZ_NeV1l5IiqwF$
z^}CWBtxy_@t>mUbDt;)gyv_~2no)YO0hTEgBm!wsKOG4=h6rtjm|`-%q7IU8O-J&i
zdQJ*{<?zKWcdKG%5KlVq>R1YVuVF)_+m`e^&@l?cr1y&h{Zg#|&$UnejgSxjE83mm
zJOWD;&Z--Gq{o>}PaXY^KP8Rw#R`)Mmk;>T@61uF+sBYS2Z@U-?JJ0SgE~U#&$}+3
zRfaWIOU{~uIyOs=|25Zuj+M@+f$nXVfFY2v@CKJAfB%{Z5uswwBW?%D4*eal`0kOw
zJo%Zspf|LJya%*yoEMaZrC>bb2eAs*37$mHp3S6#+fZd!&|`#~gnopJe6{uQLnu=a
zNkATK<Qk3i=p(IniN#>cweddl&xHPwYcTJZ-JILrAp>D5f1JbM$HUzT3`A}zZ2zTC
z-OKRRno}&xTJD@_P8Y5pk?wr-<CVJdgt0`L%@Q)jJgJ5&Xrv328g9V!La|J4=E`}8
zL~SWQ_~P9j&j+iA|K{NoCm#4p<1Wt$>nYtYF9r9Ju!qZ)#i_BBZi~arg@l=4@v+L`
z+*E&+!?Uk+1-L^ot%e^_C&R4^*!D?$FzG*8J!ZMF`c<GX*I4j5RF$Oc>Zraa^Euc%
zmQyY`QZc$NM^!j-nL?lLkkyvIelFRmd`v3xSPNwUsbT2S5rn1|<W7H1g0RPMx3*M0
zCl;o026ZP(1MY;^ykL8J*x^R0jS)2>vyQ_2#+2)T>eAE82t$jl@^o&9UA`7Z#9D2_
zW#=kEO36S8hRpvhp3aDkgFJQ?ig=;P+(64C<M@Mz$qOXH00P#G_>th(<#$O>t#Ywo
z5eiF%Sb+j4OBMEtx}McePJa+T-_|+H_vvur9Sem{jQJ5%_&m3S^tGn45%<m82MY6R
zjqbuXbJy5&bFvz8rv90~-@%7onwnv1M2ZP9RhfAen1+<Z6BRuvm9zhdVno6XS?Ib_
zs_GD~1X_-gD)R*LjH5U&6&v)NXH(c!Oe?Qa8aA9*N+y-Yr!`-<Yj=uJT#!n7Rm=G#
z5out3p!gjpX4sCmwOzq}e{y?M3g*X^HM5WcqvG_B))Po-0dwQ^Eapc`!>9MJ()mhU
z2NF?BtPDY$*CwS$9aZ)T;wrf+5-y9tD1!On%it6tBtJw<<ySA9?83KJHA2Xlqc4R;
zmdI3;Bo~LvKIE37UaiFyenk~mjWrYV_lFdehHT9}O1D791Ak}mA8rQvyB}0*#etnq
z3cTz^C^D^uHE{K~-eKVAk!@VTAf)Kepcv+~8Q@yzk>6e7ae}a<$Gl@qJ;QYy({O@J
zl|JYaZF@XB8j)wWRO!NQN7ABR=Vj6c0=m!9J+SgOiE8jk_imCeeNu`#!8UQ5zAWnI
zX{fgw65`xHJCnwQ=8e$&%vUsOY5Rd-R{PAs6SwE3B8OMQ-&c;3^Afcj{va&Cpk0L5
z&s$#I7h~ZV1w&9r_R0?lf}+#>Bx!R9$RsxtF1ms`-(95YiZdsPNFG(=l_Vks)cGe$
zL>Me390Ez9oQWlNw#nDMK%9&H*<3H?OxBZ@LtVj^Q!KV$NKVY4mLt*Hz{a48zTt@P
zQvi`)kFzr~GdljfD*N+InfQ1ww;*eE*dUwN9pAtToXfzftp4B{L?%Wz2%X4%6NBB2
ze-t}SYujT5O0I=3Y5c5}=vlAFu_@8cZU@*o@wfV03qMEe$Js2pw(W)c`!iob7_Glp
zi{{8guJKXajq29=254`cWuI~}@dAtTy}kim<!+Og8}#S96J;Aq<!HImj8;U__#e`m
zonfNAM-RvVBBqRy<WxJB6FEOQKY<PUX)CAE#qX%z3|TlH<{%jo6zD7<DT#}u8zfUh
z7bL}mP>Oa*DwMSkM<mEG(R{dMQl(7`_^>4cjFxHhs1bz{yGgE23-Q(3OeopPw0t=Z
z(mvF{upvh~&AI$tfBbYEXo~-beE0uQ$*imlEdRZ;&GJ7`$t?dXD)~xRGfB4@(KlD$
z5r3xWR`8FID&A@%0+N9e8JH)e8v|(8P$<HuPls!h%f(M;^O|Xlabt9Noe7^}`Re7D
z=A!3GcnHRcpuVsBFMOVMkB<WfkH<?P96a532f_t?y!$Ua9?mXTFz`Eqsj3*|uu6LR
zRQmCtXgfG1TyGU;m0NXrI=-xHeJXD8^3q(on}{{&tCZXxeLm`Z9A7nGHo>s7oz<%D
zmpM6k`Wwq5GsGnq_^gy@wXcVBd4{&&{9ibt$s8Rq&JO+G0xQE9wtIJfXw8Uqp4nTX
zP}8`4JQt_O+@W>+IXL3wgemSC0-EFS;_U_jSJK3Aev=N&&t|vsLZ+RJ&fAgwYYdm&
z**7;%aWM1(-T8+iKe9dpLfwFjL&u_rg|u>XY8(we_woUny57<W28OsZUL%39cY^=`
ziOk#=ms`RKAd#J>JJr$gb;~$|2WRShZ}k1C`<wT6g>UQn<msv&z0{6o;^_I>85-*h
zbZK*jmjskyF3w~D&NXZ;Fi!0=I6NF6XW+{9{iYBAY_DBUdPO)rM`>>102U6|*Y4Nu
zvp+=Au`gM}23lSi43oc;XZl593`a_tlDNS9jsuXt<mTMgyaD7dX0=e8>j3$S(RZw=
zy%PWL<KVc;0s~sV!Ye7wAhIYszH9%&fa3YhosJfwt~I>Z&att}*#+<D@RvgF=L7yr
zA(xUvCAYLemFert|8<4KC`L{756Hc7O+jQ%*aW^fdFg25>n)dCk}t~O>SKkH1LQCL
z<=#g2w1!00?4Vn59D@|ajHFaNp#S7A&PBUc6AWA+xS+Kh9hDOlX7q;4Ux6gWq&6NV
zqOjErL{CN_r64Zy#sw|WLCk1G^NtQTG>m{S_dAs9+T%C=9|ZDg=~W%9f3(0skcqCR
zucpc2WCTeHTLdy(0|ltfN*<_TO}tW~4a{e@m6*n9%{5E|od|<Pl7k0XCTTHIwaUbT
zIWrZvv!}|gcFa&{f3*{dwFh9?(&g%C^N}9ffIj98e%JQU?1`J}R215z)dH?Z9e9*n
zhu0IXnmev6ViV)sd&AXoYym_Ijdlvq$|Zd(c>5$RarOw?glH|kJnNOHWNTeP92aV~
z9E54@Z}2;QwtX#x;t(_&aa_mUrmh6Y5vIlSCyC!Jx<?^Q(8oK^K1gQ}>T2K@elErv
zdQL_#NX`N$7T_nf-wCjDsz%O^6%O-QCSfB;BrIfaO4_yFvQLnO*+3_|S3V?fV36Xn
z4ud5*g=+BY_&7K|b<SwWyBwN7!WV74-v4Bbj{{@<aD`gI5G>%z<ESP$dIYnSD>5!X
zMJngdXv<T6!<-$iyURV6`|b)0_D9$HGXk(C_4=-*ox$WtZj{k(f!igR8b57vm!A+B
z^B%0k^z>=`%f{Rsqk~7#)Rw>B7s_Yx=Ns1#8UOVKxL#h~p_UdWpHP-~IWF|Xj!P|q
zeNiMsul@&#v1>@U!yMWnV_-jG2}_w<Od~ct!qFbCZ6M3Vk;5#L%6w#p^F`P8R=bT&
zhSfQ1z+N+qG3igT<P`UcR7;NVfN9>dMOr7uN;RErBK1bIp+{OLPHQ!p-F-M-=e(VP
z%n<lSr?qd=&b_8E&<~ndvugeNgTEbgg3)xf!H3fj%M;>qnIzGR>kQxiG*}1<Y%1z}
zS+I#SX?+a3xc=f5702W$NIcfUMJ0D>+CLoU0&aq&1nM9wvK1k#7A7_$HLbx^pRcyv
zxw?}=-Z6Q;jXZ-dE-{zB(ONshL_cIrE%?SIwHy5B9aT|~CU_(1S7%j_$hkd1uu9cw
z2M!n-qybBo<htWxvbQbNw^rQ5-ox;b#;uxk6DHMG)`jX(S1GJjnACHXPppM<t0ijm
zg>thc%Kw@xa5+kdbzx36Y8<j*=;4A>2p!<D>Ol6#Rhl)`Tp<eM7n)X=!!yt+x&bqS
z`_hBom}1<Sa8`e$jUUu+nKsmohbzQJAQ`dk_<9lU3iSA+Bea%Ihc0F0j9($JLVF&F
zc${NsAU*}Q2=`zWvA$XnyZt@<-LZ8Sw3`+qa<5+1g}L=oD$G9EvZ0VNn@Nd?6o__#
zR!h5S9kOoZd~QCzt1bBz^x*u7WrEwO#MHcvJu4+u@|$&VtVxs6i#1y&0<~Cua4cCv
z(TlZnR0Tt#)VQ5Zp5~C2cwt=plDU1lpy+weXutY4#xVxOT?_|?LXq$wvuuHpsP+3U
zyT`c$4nHprg17C(bM!io6s6l}eu^SxUQA<u1c;#UFAg_ICDTQ4%Mit}@MPl=F`8(p
zoLg(g^Os4iTrS3;fl6Pnh!W;Y8Nqq!^@l;HqQ39&9S-1d=tWW48(PRWtA;?FD;~X~
zC;EBJ25Z2s!(MP*iK)uq+y2gtn;mlJ&89h3joMW8I#?ctL+h+vY6uJ&t+yZS#R*dD
z4%uRFb(vyjrWT!HR^r<{N1~w7b@KN_N?Ox(R1F6-d=Q9hK-7wO!83<6<p!Mt9CVY@
zM_17GG`Kc+_8oB{J+V3ph^)EcpST-b$njI7hfWiiYNi8fRyAD)U^<+M9ce3e)`lxV
zhveuczNrpeANnvaIAFj?xiRj^sCu=Y0z*35p^RzdH>{I3mx;Pf_0ki+S6_LvVsbFI
zDG5rudP!_j2X2=;25u!kw|jZK%tDZ}2k#+aG|Y2;ZWDukBcUiZ{bm*2D9wvdMtmY_
zeb=>`M~Rwe3c+;xZ6FoIS}wnQ!ix*_{r(AhbX*0g0R+)|t`rZls8zW<AW5p8nWkSw
zZCeCiJ$2P`NdaQD@@IZ%GGcDFdtR(lYK?I9y6@u1j{*giEu@rR>#_d2`(_aeKn6Y|
za2+fRbima>m<2k)y4q9nKueK#fE>27L31ugyK0;=PcsG0h}8|cPHf+GbHA_p;yEUo
z|E6r$uB%Y$UYA@6EA&J$(Vh*y6%CRGp8U*{BOy^eyV(@OLUIghwmG>gMnh{@e3FvW
zSypfPTl;*|9f_LV^i+)9^x4!^Q1%M6=LY5LOnEeXuxWei?0j8OJRbUS(#x+Gbv<n5
zSj}97tMb9R_~nL~M-AbI@yTShRkt(<6<hN#MN|;H$N=4B|I~GdPb6Uo1lr$z2s~G@
zX&wiQPd{wnh}!xZPN!X?*{lte@S1hK$WW#;m5e^I3V&HGC6N^VGFwXcuXzY31u7XM
z&h;Cjz$cl!ob1yxpGTSsCdG|1p%saT$fd(M7Fx8nDO!hXM6(!=N}-|Uf)jL#>C_Z1
zV;NCeeaEe-JE$1jXrRwGrx41u5*bHV@wuuys1Rn7HPr6FHM=_~KTG@ios^{;F;Az<
zi8NkHsYfz5ZD8cbgoT#Cw50w67hdg0yd+YdzG+FEH7UtN5|f^1+XX#Oi8*;qqIRAO
ziWKGohA9KP?*OOD14)A>Bis^C1c_R*FsnRK1nQAOH+ck0pT&5{Ms=8t03|-`9?j#R
z!?v2B2|&bT328w{0PGSHI2xXuJS;W|u(dj$NS=~XMR==zIi|r7dTQ{$B#sXAs2sBJ
zg9-S;yi#^!Q0f<EE?0S_6lRK5as6j*<Xd71Nd73yl&FgduU-;KO})#MVEdfT96ipJ
z({`7j3~|?HO@KA0ui-9g0@6)TVlpBJ942=h)2)b{qo3zIEcGILP)-nUz}>J!OQJ0^
zHkK~kvyy2pRiHDHX--uj|JST{9Ge#e0lKP=4c23su2vII4c$AJh`iuL5oc3(b7HTt
zL%DlxPJmUQw>e;1MyM{=z^+?pc0@ghavPZms-+^XmHp6;cKtQ(p>iM}lLuJN(7N<!
z(qc4=!Hr|19<QoDm@^a2V7=Z~#J=6XUZIE%&i`ITP8m%@dk~U<^10@kFqNCE8@8!A
zmb}Z^OVa>k^vD%lmS`#3Bvt|tE7cl<pfQosd2mJ%<#^Z2!L;h5wts>;jYJY{9OpMA
zyvUV5Eol8ZqHS7yOG#y}itO2i-*AkiibeB96aK<Mb1U!vs4;*GwO>&=X&TQnZ7n%8
zEbfy9t0Dr?fiCFFvsQsDuc>PKP5^7zBIQ^_K1Z6_eO!&@U0#P$OBnKrnz|oDEE<d?
znMKsSbkAffXrAuJIy^!5B?OP4lH(`2x*$$R^d#Py4{pANN6TiNEgkp7$ujK>IFpe+
zgDuyJc3|H|&d2=DTO1)WA%z$>F7HjHAFx_4d|M4|Z~1Oa_f$`vzA|n+Hd}1!eX4^e
z9oEx*u5r3#AklihI?tqsE1htC3|FjxOQ8_LdTa=<aB8R)0MflRu5!ZrQgh!X=pOL=
zo4I&V46;VlcrO$yI)zt@CUroCfr-IfDML$(0=CZ+lxIYQ(OoXiaH?V_@)1L<szX;e
z&hS?S&S3b`Dx*w)00oB+N}fygoj5dAzU!k*DCJWXUayOTMpsDz;;9jjucSpeEO9A4
zCwHE4Xy&%*oP%xMp9ghk6HY(aKlRzY7(_}c&5re=G;*7ctl3W*Y~P!3CLm{1oG~%$
zvnK%Oq7$a$%$>vX<1Xecsj#DVuP3SQCns;{wGP9L4yl6E@dKw-t_hoLeYR=CMe{4P
zt3yu3J$Q0nS2>yxqhj#=^+=d?E?*ZN?l-+ZvrVYXznl+=n@jR6T{qQ4H^=w!mT0^?
zvwaI^7fzHL>Vdx;7A~aK@d=b|e0?+CzQqf{@+#TVGHDj2l*bJ}so`>_oQsL(xG5v1
zB3^u*%A3*Z7ZPn&d1;mq$y9OuXKoV?)Gaj4#H~@k5~#J&^SdmC?1IwE6NKE0c$ub$
z^v7OZDo+Ko%@SEpNEARu5pXX5LAfkwCBhnse33`tXV-Td=n@X2<SA&tb}x7{nb%Nh
z-MdWj41;6OqvnzkyG#ZgDz9{*aLI`5nXhjQF4@=rJrUO_o2*hMoQ*TABG0#d7`a(n
zWt0#Nz-~`cjOAvhh_)Q9Hy8g{>53!$AepEuF%><Rr&xW1+0lGRXssP02d%lfle<gr
zYtJ)(i}RUpZ8~{Vi%#D~EhMsE3P0Cnq&ioNDcd~D&*e@Zk_88AoD6gE;@d!|zX*<1
zCGW#0-sT%1*cD8gPG{R+(6hwkG+A$g!o2O4Qh%39u^+7#J;msVQ9h=Wr1noAh9#tm
z6ho+{)WVII+FbS5oK1((`zE-tYi0CqExDogA*f3trRW(mPRhA@Nbc_>srUDiz{KpX
zRnJ1o{&zC#Gjia){6_q2@srtYsV$4h5-F@gPIj{|++$8&Q?{WhnN4!wP$6C2a%^{S
z+6pKXHpwYAKY2XKZx<-Xl(fiy@0?mF>-3eM$fK)(ch2Q|cHeMM_X{Xg5%8b5F7o8m
zyBARKr)4}8toi#qhS(+^3WlPYBhi4oX?kdGg%fnJ&^Iyuivlo{!g#E>BT1lW(EPzX
zYnY?JZ;f@2qWO=qN!?b9H)5HWtc7+PvnI8eDJF3kuw*~5xIJoSppg7>lt^ZviVfd6
z1M>O4IP>4?N!$nRs>X1^T(oPUP|ajtLmiisYxi^{U)TLfkFfFUio6#Y=>^7L&S?!#
zY4ax>{5s7;jz83Eq2I|4LcFBQWEL&ikQ6^@ok^`BjsrBvdW~vWvWaL7Y`&vWQ<@7V
zBGrp`dAxL(poA-e!3$pam&o|jSA>i?IU6zE%7i-^GQG1CTNyGT$AGTAqxhBP<=m}g
z>FR>NpRrJlARkuSIU+I;c#xtl2``i0!%rs+j8SA<`PDPS=bVkv;GqZ}-7DREO9*h4
zyPc;;LYXS@dM%9l0$KGv<o<u7mw$oEU$l;enVs|hMlb&ZTF3IgLhG({*HTH@Q+#G>
zM@O={%j%8;S_eujI|9rkQnw~<zo{C0SA}(3!}#*aEu5`GRYy!Im)KPBc<pCbJ(1F$
zpX1X$__$P~xmUXdXm{!LcDi039R7@DVLSdAn=)r-`Z%!t+=*Ig-RUF`@}T~U)wRC#
z_G<Q0*qgdY$Dc2YH;agVJ-!_uOoX}r#p))~+-H6ng*=bze>V8432}Z_y&DC>rR_L2
zJa3EtczwUl_>0x){&Z|eoIBUw`65T9E2pRzK_pGHJ3!K52;Ti9O?kn7`?(x7cYVL0
z5{a7H;QJ*<GwdO~_Hlcip%bjM?G#{x)7|4O0El0x9_|l7g*ojPk2tl{Lj?W!`@<PQ
z-Cy-TZK{yybolb^{}$%m-&3Cah3c5cjwDX#d*$0T_D0`k+^J{+AO3jLhMqgVx-;|<
z`85pypgOGEkvJt><3~Q$>6aUOU$??k1iRjX&p-XJe>EX(PlO%-O$fW1S9jU{OJ$b+
zX1b_GLrtojGe8qk{P|c?Qg;XPkEv_@`A-wVI%FxWn^^ViExo?cCQ_O$cmjQBuQjS|
z57nDc>C7wN%pO=pPp|Jg{eShldfT@r0tPl8m%;AM-9`6ScUZg2$(C)2KC`EIc21*t
zHnWVJBu}Ezn^{JVEb0G;sOwd1M$iLjLQeLLf^POcf??37CCyP|hzCl8>Ob$f0_Ia8
zfLbnoly_Ip^(U@4In}Ww^2t8sA1Y<-c6W7El+)i>Edl(y{h{<w3#bdzw2NgvLp`k>
z{~Gh?+d}`#zw1;NIR&|w8op~a&cY>(30mvr^5U*Yqc?BxnJFnHjdeR2nW<DRVlxCT
z2QiN4Q&2J)#GJ}A|Fp}e;Uo0Jl8a>3Bn|z24cW8fmr1jxv-X5Rnb7Pe=ho}5fBxN6
zibl0~iy<0~-o$T9l_ny@fGzMbic+2dD&*v-05M;2O_a<sr?HA#p+?|q3pG!VKvnF4
zDJm@|Kw};!ShZWHLTrZuR6B+s=V{$6gI?!t7=!l$f_kWt5nNoXP!wdEeu=?RVBVf@
z?>eNVEes_~3+1TeZ~*mvrqcJ~(M%?w)OC_kyo)f%RN)3BqQn!Rk_o@6+-_7~X_9JM
z>_!<jQ&Obh(uHqJKi}7y;&#9$4G7OisO2m<0!+yQ)Z{D$Bwe<?>dB!g>J>+9B0?zY
zEE8?==L}SIOr<|{Orn;D5S#C>?}Jnkp=j0B7*P@;E|iiP=AbhU!Xp9$r>IK8ttFCm
zKbx$IPbrUu@9ot{i@+#*>6IjhkxK!X%M*hz)~=&!A!i!>p7TTqx59YH@X6IjoZa=;
z)xm^%`r5=^8l9j2;n6iFdsf=s{Z8fAu!J$}MT$s)k?xOIS`t5K+4~_aS81R$Q)dJ0
z1y+LseJt#v2xtp(Bf*%|+$IAMeImY^u~jrb%*7B7VU~*<p_|aucN<%H+IQ^=(+pes
z-j1pUO_BUgx1ndTE{$UJ3v+2dL;m-3y5gh+g|wS0hM>nFy_AD<frj*|j7w{9*kJu`
zs&JPp`+nulOd8ldHk+2Y?R^W}OV&lt^Irsniz&6P`G6hdAbs@n%q6nlfE${&fji!1
zh2L6Mnbvf|Qi}!ZvFEqZo|BQQInU&g;A3I#lIg>Eg&$<+pGZxCIhuhwbs*ktHY;H}
zp@JVAYlF~7`mZSlR7Ez@+41+j%~K3CBe4UTT?braZsig7+(xmZd-FBIP&N>iuZm!m
z^OeYnr=&4t%hQs@HBhAr4dwEIC8x0~axyU6Q;&%NXo^{W>`m8zh<-OwD1!sE9h_5S
zWqCIAFk_NJO)O;s2bqVIB0%{;Ig`1JgEcSg!oh72+WxLyFlJ#$D1_YlV5BHqVY%KC
z&MZM0Cd$ZtNgygQqb{MeS|@k*U|uHs$4uC^`)VVNWB#YL{&;}5Wf0chM>fB><fJN}
z6#4#=McMRPsQA;=`3XwA1Y2J2$=`>$qt0Wg%z>3nz)MNKo<}pvne}dB8-#;c^qkh~
zi1MJwt*j*$@6ZpG$dyg4+OEjBl59C?s%jV9PTd)vuI2`?jBwMpyPBFmJ4BkipLc2{
zf}p0gu*i-8apl2dQW`wuH62Pj>WUFS><VTmljRLdh?IJjm7WPx7QFdOpoUbCW(!Jj
z(okIz^UC8#Hs}@!F6t>p@&skRui9;><HUv*TvQ0B23z`73Be2Yoo3H^qw2_Jh^e#c
z3$xFASN}?6(l?s(4!V1tvf_KE$~5^9&pz+eJfI%EcV_^besD?D5VwXaF6(#d->Xtv
zAd$kS5v~(uV7{u;wb<3I&j|_bhZY?Fm@?jgW`2|7ulJor0y<_rZ7f>Dk2<gj)W_|W
zj5vQ$MW)E?2qf6$$A7#L#g4DiWd%EhXoO@hen5$#qY`nlxxY9qfR;Lu1j?8i8DLXi
zstMQPRuO2{QbO#es?wD63|6hFds2y6StZ)EHeW=lXS>*fs32uNJOF7<22w9)fo+p2
z2HH(fp#nqCVbOP(lhn9HJ|{7<r9yF4lH<%zLO5SID~fD7FS0CBCBGu@5z1Lq0Q_ZK
z$0>;jY$JGgWl{q>S^~>ev?>W<pfV99aV4}RmX@jn?79G9%6=qICVkClVSnAbdZM^2
zly_w;c;X$tFrI0xeMLT(57d`QKH453z~u{gIV1=eo7T4tOQ?C1T?Wh5iSQKNk+}fR
zzr2YecXy*fqq0bZNzRH=M+?9=3sHBLhpja<>YR@Ia<v<HM$80ijY2&b<%6tCiB12q
zrHSraZJxq`vy6AB>oHUdbqQj9NT&qhqn$VQ<;Q(8YTg7Ag#!9v+4i}%22Z6RX73uu
zmR;!{Kf<AgYGRVgG){?ho;eRIi$xLT&cVXzeA2-?ZMGB>YozMkq?wP8UfER$rA7)?
zb^OdhYl>p(XnStrB)8-#oRlDJTasP!*_x`7w|I$x((k#~UL+%+oZ#-uWwG1uG%8+K
zD^<8mND$dQ=6V8zDMf-VDo_Xg1<<frpmXow*rJ;NX?E6=$h;WM#fMMEh+J+961nG*
zQBP(v#WX^WhdLLLYX<<NBYiZK^@hF)paJkGE8LEt+A;QOPen0X8~&5zmO?C@=Dzpr
z3wy9A7#>X~@?~A)<^$DQ^3EsSyezK^IrlI(m(?2KX$3ua_%uo2SkP7>4s}!x9uRzX
zREYwapKX)Vc=rnE7ZW2;_3-1zmg-c)Epe+N_S@EH3aXci-_3e!JoWGVo*2JF*63$5
zvEZQLC#*fJ#II#7rCubg^;-=-jb0iw@4X>U%Ar0$%Y}9BlE?}<%wX(aiC?Nct{IRF
z31>2a31vpnOVx(4khbc}rE?cj3ST-HA&hL<zh`sjfT#a54c)`UrvD%!E_e=A+QeM_
z`DQw0xC+?tvFN_q3z>Dp2GxqQFBpg*_!jQJf5ibQfWi7lFt2|zGz3{NZyyH~h{6FG
zO%4>uA|0OHBvx6RjCIeJ-E`)sI3H#QDO3@j&QFXShnB?9BG=u}R5IA8CGyR9Cj$O!
zanGNz6oHRPT?zuo$cNAD)}$%d(;#*wTQk|EZmrMHnwrVG6Y|`5w^Z)2KRYWyICUVY
z-`Z}CaAF<T_Ak6qC>1!x=d!Fz;R;?p3nt2u<)WvMWun)E8jH$Xa<5DpTi=4KAD(pc
z&`LCMU$oARsLHrST{jiWX$c>UW9kaM1<(z~{A6JuhdH{dq48B<QM43@^5Whdr%1&t
zJJCErH0D(a6Exjw%VVcAuPjmDKV$bfHQ=p+n-ef{3IOmsdTZzWijW^(i>50U@#BeM
zKQyb@>C9jts#UbZF%JzZIDCy$vjq>lU(Y$@xdZ~bCmiPYY}o^8JQ1d3O8pm^$bp9h
zz$FAR<vY?P_$h=pveGb1<xvFI7$oq_AA<hOJ(Pyv)AeAC#!{`i;8QpZ5rd~?jA}R|
zm!UP$E6O}lF_2lscso*~)t}Ui5N9=($LL>%OBcSd5W|(Rf(ug`gD<A+?>ck`&%=YS
zqth(VRg5mStH#2unj}=nHSKXwD}S1NVWU1Mmqk2LA*4CJx%f?^^P6~AbjMih4NWc&
zt4_OJC6@o_K4Z_k#)`8|Yh_Dr{_!HuHLIW-Eyk_uE^@R~ov^`P>T8Jsp-18!b7*&t
zWJGpt26kv?kk5$7uEFYLua?o)Uy;z6p*>%tB1dvHUO`=D>I2$n(bZqae1?@Ps!N&1
z(%AVv!`Izn#oCiorCO|Bg&JS#P2D~2<7LYc;6NUr=4C4Y@d!=IHjqPGKTFLv)%y5G
z+wJ@CxW3tOXZv(6RVzoCDe|qmr1r_ZC`)mq^#j$CL8#-(ULpvw;VJ`Wo=eGG<41Mk
z&OkNW?Ba8#HgZ1jOwA@$Am`z-7A?sJvwKRX7La3PtI|b(Kni#x@dmSc3eZWorgp$+
zv$-9WJM6<WvUOpxpOBtU>uOU6)4a9cFBR#YuMq9UGwqI@Q42NP-g94Kkg9kC*WLsm
zwb8n_NwLt9=;+#+``i1#`A&2j$0tB4M$9i;v|t4&%FJhh1$bN<mj#xzoGniMO9RI6
z>x~spJJ^Vk-c+d7fW@=9&0!9JRMkarJ>>-}bhZd$+B#CgFL~9C2(4{`p&C-%pxA82
zv^pNiRtHD5-iEXu+zG9XM{{*f6$ZT?S;P4KBiz;e<%6kp{LK+0>bWnj6^;<O@e!$e
zHtGl;tel&t1YEH8uayzPHsCG)7;omgIuW^^`G@KEZ{yjBI&`~78?7tiln;IfiMn2?
zRYmbmLj#fg!~#z=Ylfx8km$2Q)?$eFUm|PDh9n6*KgsnF*MXsyl9A-zCpGjH$8qFS
z6|uZ(hhiirg6{i%;E(hSA_dX}%Sa9^CCU;`uCPlskR47w3;I>C?q^SaHDPTf&GSKp
zub^H?n67pFv5eyh8S2u-%qp|$S708O2EQF`!B=lM82g@mVoOZW`^dUwzs*`13+LMF
z39xpBb?kJ3P!|dNm_5iLx?wIZtr;Xwb628z_)y$V;~WjCe_y^3PA0M*-+amkbi&;7
zVqPqTieP11jSP6<%JG-*`U(6%RTK|aj)%SiDnh(zMoNG>k(%sUVIAO>_vWq~dzfsk
zZq;Lhm-I=7C#HPWXz4U+TmK{B*|D=wz}FDfzfpE%jXS7XNqge@eWi*mdxcS_aou4X
zDzx!ks;;|3(x3dkk6gSJCt0?(RYA_q=PL|j<{oMwk;yre_x9)vZZm04+ja<cCnt~n
z*V*u+n}bCc;X2Ybl$Uk9*yFO)CIaAaP|V}x?5f;#i|di)Nr?RJEk6xUsdbRqX~C$*
zYasLwS4Gl$AP?U_R*#vhyz5d0aj%hTc(o?sD{7^X%@p+5jNB9g%#z{-We$GWfKPFM
zvnlDTTGG)?Ix7-|WRPw}CR2cL$IuuEP7v{sBu@n#M3lHIG+;FM-yPDkdg-A#LvC_Q
z&6)cvbIkdIc$*VDcyQu@Y$^tqO@|R0kH1(23ygAyO~60DV-?Vu$p}^;76@XAhN}?`
zN4FzM2Xo;0N4V`xOYyU)6(l!si^Ohb`C$qbu}@l)$^X9G&&sh$h+%w84kvMupTy7v
zuRY=m?Xo5(%sSztaxWQ^xSv{cGw&$k8K{`;A*f#I?kgbi49muv@(xo`93!bNKGUz}
zd+;he5j)g*dJDoR+G^jh8V6O{uGVw5kN`r`d;g`~TDTEC&-L@3-9zN$otl<>*HOTM
zCl7HOgbvJDTYsm=O{+gCM(q}pU%(cmxQw~Xb{9YCOp*U{Z1_0ARfZ+3YMPctpGDwg
znli3#98SJ4aNoYQPBeM2H-h1-?VBYIpAqj}+c&*kZV#QjfSP$5EEi8515mHWwr|P0
zpgZ1cuZ{sVm!&^+{2N@~&E$UQ<`qry)6WX#5X1IJG*{97+$$!Jn1piS=_kWoE&QQ0
zt?-^+$qKoi;M;ir-ReYB`PyT96pERW1>dGgQMazkKtRk+@d@Z4&svK8)6z-2IrJ`<
z>84a@sth~eLk2S1q3jWvkb#PF%EAth1f)0JXt&g(g?c$qC=t$PR6KG1Fbqp*Ba?~w
zHUAm#KMDyNjKNbr8Jxw@`4$F!2D^pKF?yF%-x)J|7IlaGxLijKM1j1#odsd)AxQj~
zx6M_*Ca0ZQnH;PsPuZ9XH?xr|;os)s@KDlUMcK$Pp#tszSHh%VAelkOFk{jY`uf#{
zyU|s*8JqJm;Kbchxq11tI+dvD$IFI${QKGWl7IJO)rBDPxN0u98~@L>Ze$2<b?;2|
zs+bCewhK9bf#U_)y;@w}dzqLvZ{U3)rtKr-c$`_&95MM}m{ID3I&zQoYksgka77&J
zUhwa}0(>eys>lM~5=E4=0E&_#@eq~d5tbq%9)$VA5OLSU7z9p29t8N&$Q*9V!7%Qc
zVDXT4RU-0W@j*@myz0IfB*3r1ab2I-7PQeYTKPv*i7xLRWW8bgc@JZzx($|c#gEb}
zmJ|VM%_l;B_RurP$68xSFj>9h6PX;Z%Vkqe*SsTQKkQS(E0vimxPn>rADK|t`|z^C
zb~lklG2%8Mz0Gx<je?O&>!+2AUw4Ejd0{tpt}sLpf(>vLva^SbDSj61-KE!PrMv2>
z(gSn9iSG@~8<E0tU*1!7+m6JyyF(u!gVoH;lg1_c=Z`Xutqav2O2C$c!0_*h&$rhE
z$dKm2nnRQl*L_^Ova@k!ED()+!<8s&m(D%Nuq5B2apl)S{IGw2(kaw(6jLK9t!}C>
zPA>i)ODKX;i7d^~w9-OM?)?4S&h{{4_X$6EjWPN^B$EFPgE6u*{C6VB`ai&6tp7_G
zY|V|d8P(@SeRJf3M#lor!+2T(7|^Ou0AG}Y01O9&9O~^8tAh1huI;*^wnkGj1k$=x
zT2xJJc~e;5%MmV#C-PSN{<U^B6m=6kIO2}Je|tBCj?VOTl>dIScD0vc%@ht{N%pq@
z;OoHXQvvU<x#N8IueqbQqx<*n@9koMx#R8k&II*8bH~WnWN(TB-G`bllVI4vj`F`K
z^8Nl^ikdy5ELNRTbIjsNzt&GWM4IZKx<jhf5t<G|$iYYW$VX(yk{9zT)^EI6!;tbq
zoqot9x;1&rp04(gB>A2VH9fj;Wn^U(&`N2F_Z9zdzqcowW-?iz_ov}6j6m*B?tlBe
zvG@yV|Mq)*6Ytf?e)q{pan8RU@H^4{b$7fg0o)z$J=szDUN}47Kxpn?m~D`Ss|DX)
zeQS)Px6Mzwe0}boLo$UpyoIk`j=|a!b+F+8?haV*ABKP39e$se`&%&oad#|YjV&tu
z@LEtxyyLA7%&u~QkdMvdN>G({TvtjmsjTh+uwZfk7Oc)0>>Q9p2DJw7jJ7*>XItBY
zYU115^~m@o5{h9;t0yW^dUi?wUGBXCEcdedNggd7vI2y~gAS(WL1NBr?ZV)uL9y+^
zO4`5DwUlLI!IfRWTEZEDdEU4y&;}|g4{mj`ZEkV4wafp(U~?Qqe_^n=R)D<25+P4t
zfATL3Cf&_O3L#B=mZXC(RnrW$J#k=8`*hr(D$AWu=He}jQIqawM^t(r+0EpRY}pOu
zqF;<9Mj2DyJ)jI>y&8>R7YSKY{JoGlS@1Tpu(Kx`;O+o_aR2M>0Ls-+RHjM^*Sp+x
z$-AtVZ%TG_7*>jN!P&HS&Yji63I)}5^G@~sK_s7+0q95j4RCj$0=uWVoG?Qi%K>OG
zk&Nm<5o)u6w<XXrJz`?4wWL-FG14O-wUED?y+!{vdsXSQRrO8*o4s2V-{tGhh1;}6
zrFC`lRV4*0r^u46Wy^xIqw{cF(k(D%WHmyBFFXm_C_-R$X^Zm3V9&DR8Mc)oO92vE
za0dx_Qm$BHm@JZ|`;dnwxWwT580q;hgl?`+$5cL3(7uI55N{EWP^T9=cphSMe44N{
z;BTZ&v7%>|7@_{tKVF&2#wgDJ_-Q&C8`f-R=4^mtH!5NlEM^cjl_HwH&7}F~_X{=U
zl2<J|0?)t#+g2?HD6P7G(95YR7?Ib`AyKR5TW|j{FCmo0tvyX9j78)VHKJ@oLb>%x
z!8PScxEkOZGXIJ4dul?=aiyIbi?Eva)2qe7c~XqT)@m}<yXS7ss7;b{4w7Hz>vR82
z9e*;=qJV%_mPEq1?=Ajb9M%Hz3%r*<)0mHzV%P`#>D>i9IWS+I;GvUK^XwRPPZY|~
zGnUUv56MU*ZrgtfW{6qP-ZL$z{HFtvnmP}NhJv36q^5aDzQWG|z9u@2>b!QbY9_GT
z8T&hO%kYY@lMUPtIDytosEOZpHU4$6udR<yze-M3UF6D*^V-2Py|u)lq-6;s?g8Hn
zGw=(#v&_imq4zI{wsO98w6)&tJgyXt6@q?D<ywwBwQ4eo)~Koab)r%^HCMcCR4XJz
zEv0{Q5pf`zX=$M+?R+!tWmOJsJ^ZsXm261(sjj6^WU5@k(x@y6WJ#9nyOa!+SAGEs
z_qer*$?N((1bSq*J9E%meD5pbmX*B#3C^<~8b9#i!suj%LI>m*i#?>GYFn&@?5<Y;
zg{LtXT7WS6rufda0|Rhj7em&n1ldD;?0N>P)0n=IB(_Ubt}n37ZSQ7OGGiq2Al8k&
zb7N%0AkhRcr@5f#)q?y&sa@hcZtqwW^?=imooYK}sur0PIm~#!0oWJJnh|1|4}S4%
z3V4^1CNYOiDJiFuZn}C-SAirH^`Gm?MK=+rZNhmngZrYnMjT~>5C3#Qj)>~JR~-{A
z2}EaK!MomCJF99c*J{X)Kv$rur7I)73}VXsjM9@(<>>~RwKR?D87aD9`X=sn8O@14
zgIH{;=&823yP0xbW02%tA#l|V8rdIYsESwP=4EbMR^@(WZU)V>{3_gaTBkd3%?pkV
zEc%z$I&>VCyje%CM;tx5Vz3`<*vNe6@`9y0KACA`?S-#e7Er`iY43=f3KUtgvUltj
z?uz2F-Fd^m$DtG~<r|eS5!s%8QL>(K*vW5sPUjzh%j8W;b6#I{&7R;oMN@2c;C0&a
zUWcbbcMHdH7oiG<BB3L73&+nv6<2x`YN?O)${%$7e~g_|c;wx)@1u!r+jb_lZQGvM
zw$-tnOfa!++nm_8Pu};x_c<45U+kM&-Tgd$(N9;cTJ`yTSJeO_t8|vI>!f+aRcgHM
ziHB1jY#JK&Wc0_6YXs&~r*G(C@u}kHzuR?*1d<XRK4xzyYH;gU9}u3F7@2KhMeWJS
z5a5(kb86b9a@IhsiBmuBHF3pGk`NbVC-t;YZ`XP`BZ{%E7w-Nlr*8K9h!{-?>;OFm
z&{H)cS-n}|Ggv$#86Gkx8{Kr5Pef0=#is#nMxI=F*FbC|D~&}6dNmrr39)<R@0kem
zXF%W-8B-D!2}%V@40A?}H#R<{4;-{-UWm)346la@;#32d!xPibn1}0uzAoB{Ym^>@
z0R2c|5qWR>cBC}iD9R}VEz0}1YK&7Gni${W?;*}jXp&qeEP997VqX-f#nzt?DT|hX
zaur&i>}EVb5o0KEa0YW-rQCxoCt<w)6QTcuAY#6UrMAePTwm}Zc{EOFhe|&f_*8Wm
z)T2z=3}S7MA*2!<3ecQZ+Klu-k74BiGC3nfbQo02bvTO&D)~^kdVRd*4oT8MOz}b$
zmSQ?<dIx{Ajv;TeV=LD(UovjxxEL^{E2n$Si}L^ivFCGnDD2Y>F|oG(nWpBkCX`!9
z;<oTU5^IdHpKHNZ7(aE!A%$k8#5j>m&zQMPPsXCsT$;a2MMx17@R=Hn<T{Z77h8L-
zCe&l)7+-Re5ihEuR0OWDWx`KB%k(<pVFfZ%prkrfWbD`z5AE-%+r)&?={rw7jKrdO
zxI?d~UVOGH=!3xc1(*6cl(yE>A@`RGS_2)mI5tz1w%>Q*xA3IaiN0HxFgWCQas$w_
z8LJyg3yC-`9%R%|=a{KQEeF#Xza35yBkIb7;JMb>#Pk6B*hd)9%;VauJxI&BVPC#t
zWNosji$M2~<=Y_FiHN#@u&0yAvw)D`iO;XLP$i()rm6^2a~e5U6{+Q~stzz?D<L4a
zBKC1p;~#S#fId^;ch-6>xf(B5H1d<0r8AY`zt0jLzW4qJ2Za8l+(^q>4+;VcF44vv
zR)&<YpQ)w{y5NM7_?!07=6`Gpd=f681Wq&wLX0#Q=`RqWt0X2p0b<mOf-fo{HwBmO
zy!3(eLqtXhk{H<(K|LmNe&>mxBE^~QT~k`}YD-sJ>&i~4fY?QchbW0Z$$Pp+o5>-;
zdm6D)L5Fmk1&jt2qt$$RMuUUXTHZq26&<80ShX=t4yJTkI3r<W$_b@hYb-pmOmgH0
zDGzK=g_U29HXG#YhukY3nIgueU5?@Pp?J|f5yui0P=DnTmQJ?eb!fQ5D`FAX4<HF^
z*ez)hIRctm8Q?UOA*}Zz3^OkGRtEJA8%7}7AIa<D3AZilKcxd<@FZ@I)D>2!x0g=Z
zT?Wg>&GkaV=yL_p?9A&_25fMsgNjM$f?lY$?QuUgxV)X(Ts`VoG~>89-)2m!AJ+J4
zgnQN2TWyp0;N#!Ow%PL;_6E}Ud<KtTCqtR|bD5lR_I>#DkJ(3F+s$AA`G5Ky4H_f5
z;*$4{7E3aiyVeF61p#{O?ZGqXR9(i*dUCOb1+yHjx@k6v%;QYFA(ss$qIhdgBmqPz
zXTM3BRZDjanH!D{fq}GUv-I#IXL7tCCV=-MgEn+y8z*rhCH!dG>7^HY!_#tAq)AiY
zJ-6lCtZu1f5yCZjXPcE<;{~Q=5HFXk>l#;G7Lz!pQS9)-H`mlFTvASFv0b<1>K&<m
zQCK^CP>zQl+FmF!Ik$MVuyLA9dd%D7@K`2KPo%H?6*D|wA*VBzQ1NL;Tp9^!&~&hj
z(UEFLLs=dfY|v)%z+20wbo>nkAcdmIO1hlzh<i$Yj^RU_$7l;zZJRCCk;dwt9ai9p
z&|q6;dceNXNu~+atQ=oVuW-ae!l*%#P^|%)%P@FAP7j7l)*!W>^${b35x+)9T4Ez<
z_bE59&W4FC{E{F$%R*0*5A=Lrw-e4}DTTO*Q9<}=VP76Jbaj#U5qbEKvK7z6ldq~l
z*;yU{b9FUUg}gBTbmrW{G=Q?b4@z+Oyu(B>4>;b37pcI}2bDu3Xu-Q%ar}6{{H$^C
ze!s5s+7&s&VPL+AJJ0Eso1Xdk<LTXc47AcJi;!m$=Y|caPHMU#x-5YdWa<EMF!U!1
z6P)e|PYgo+vu4Q2zz;aZCrQu+YKo4WqTaD&0E9*|bVUQpP!NTn(@y@6_SeuTDF~a>
z`*O-Zq;k(qx!ep<-F=8pJ-VP8yE=;J*exy-bO4+o9Rf$q{)sXA9YTOE=)g_gI1OFp
zdBLU}lyXC+C!j{Py~^d>@kGj70&lZe+>cV5dpL|)2_g@(z64ev#=+4E9*^3KXUFP6
z=tPPzEml^(e%My`OOk=%TtFO4Mv8$8Ke*5x19G;CM-y1irENQ~7(`dA0AyxLIdUT?
z<G6<t9vi%8Y|3UQcngBtT4Lo*5`#2JQ46KIpZ_?eFSJ{YnzzYe9IE#&$bK^tPH-Bb
zD*z21{hviu7Pz9eHHr8TX|CT|VBCicNr&rBJk)ATy|UQ(1P+kM$ZVLHl*w`|Dx4Z*
zyuNa$%M~)CNEvAQn5Q7gcu@kng4VfcZ9A0VyqqV@Y-rbJC=qHj$r%I>Wu91pahN(k
zuqAEP_lu%@UIcukDyBXr$>qp(g=;E>8MZ+VANY7|A4#f`YL6^sl*DP$=Q5xF(-aeX
zbm_s)Lh^yUVz`~ilu@!dFSMXE60uWNPCBX1j;91<K6ViHJTjacTwC6}Xt33pYfo>w
za9^p>qiCMkMoWR-s5sC2EZbkL(+Q!JwwX%(3UQYQq^|Fd0w&2jTi2H$m2gL;g^Beb
z?(-S0sqZCJYYIl)4lJvKwXg(H)|aZT1tRLLt-H3aCpYzq3VR3&*)`g4vUPw`ej8S!
z(tf(Wo$f0OUm*l7xT?|E*DYW5VnUMuy@)lZuWaznDT!0Xbh>tNn(_TuZQLKMAE8DI
zj1<$?f03AQ70FitT&*`LS$j52ssaqVKQ6J3<kP?I3dh$OlkF2TOc4~(p9$5^S%wV=
zYgmN@vFH9TP|;J8s7M_N5HJs-u8mENkcQ(Smu^^Vw1n#b0o;+)Gw=_3kVL$lVN2nI
zvK`>@hV+;eA#vtkxfDCUXkgj$^bFwFGa7l6jKUSB&|2xLwEz4z_+Z2f$k{qFZ+ElX
zkC%?UzZXUj-JF)UUG)%+?plS;o<aK3@wvIWdj!CF?yOgLJ$26y)7K=u+%@{$Ij`O}
z%w(uN3N|9jmn5U2O<e`iEhbr-)@%Kym>;uiRQUdM(<(n_Pm8MG8}Xe;K6UJG()iBF
z-1i<jJNoO~s}1#Ua;dai4~={(vwPf~v!(rH$UqDYw(yIFe5z}fbOKH)D^OdBW#>T1
zSd2Bc(<Fm@>gTQV3BvP<(nq~tdjm_4P=ccWyim7;yB>#Mllx?qHj|`Y$04{H<!IFM
zMh`TZ$Fj9uqS=n;L2a#1T&hxaQ`aFozn+%z5CMa!#8ao<8eW7^%ULUH=;h9Is;h|1
zlPhm3c)Xelyp+i1`hyO(Qy&9D+M|-M5JK81!52(PH;=ZKOH~pOwf>I(EdtCUC@JeP
zMd@FCwBJxi&w=6Jn<Gua_v9}tDsf@FuC9zCW(hPNOCQ1uCL-Q4Hu*s*M>PuJS!>$n
zX27l1<gH0T0xN+O4ceI8iGD+C7wkSmY2>N&0WRD?TwP^?wj)XcYrOfLC6gpCwUpVL
z%h-gnS%w+oY6z*Yooi|7rxonv1xv@fy?BJ({Kos^;1_U9?l{r^Plo>GJ^yOe*jWBw
z8#uQAL9530ztXDx(*}-=)4}J@pR!?PJ?DbAkY+4QgvUP2v`5<jf>2AAA!lF~Uhw{9
zZU7aP>;C9ObzvpDyVXq(mW#<yiW0XgWzodzsh;esUh>!C*VpdNWjnw0lYUpb@{|6S
zk4x#1U&o)Xr@_6C;Xk8<+twVK7!x07`kQnZr(W6pfQHt%RhiWpe%`H*_ge;pA$iU9
zaX<bJr6hIs4EL9h+jUBmF?$q>RGYSUamyyAp>qa({*F3w8S2dOia8tS$H#5`FJ8{&
zeJNQ@Q{9d?p5l01BKMEbcOSWBO>Rycdj9lI_k)wAZ9j!1r`h?7&&!?U901cSM%dub
zZ|NH_mc`!a(E))OCb@_?JpmdF2ii>T1f!!Im5#5rFSoslL@L9H)InXXp1!Z{rL9h+
zWSR;;*TDFn!*+MHkykeaa@pS-S7(E84|})G2LAMZ4Lz=$jrf4vFRmx1Bg~`Tm;HxL
z`Kt-Q+y}wQi;t(cu%vM2rLj~3{`Fq=@~m9R$J2WI^yNkokEYwW!T3C7NluQrb7wIo
z|19;%=-Dp<OTsdFlSS>ohkFULiq6*J51$-I#@?NMDc0@Exu;na-_q34huICU(vjEO
z!^!1?Zs#%0Bd+8Ib}{{}<n4%_=TGi3%vX0ylu1;&o(sDEUoPoEQ&KH=!7@V>nMY8P
z)5J%&tc$4OGMp+a)JL$_$J=G!V_qAh`(Zq8sifTb>8Dg7x!i@n$Goz05-`NEP@cg+
z{nF{?j%#*f_sD20=JYU{`*ZZE;B+P%si?p8xWs|bY0XZ_veVNXx)ZyKNRF+tS{s*Y
zS>WUBf0;SgU)ktnt0t0RfS0LEErZqjkYeZ@YZqUTaID8~!X_$f@~I4^q=vF1t<ta{
zh3|lhl_l%!m4(u2iko%EN)iP26l5y5QkE?cDD3)D@E(iG(~mp)TC-HUG^!Y8&|>Ws
z?gX1fkubHSJaUd#RI^Kdu5ays`CuS2ky9{iprUP8^Bb7D2yiF>#WbO`ZB~em-i9Os
z&;EPggyfI`$5j4q!(Y)>pm1}zv>88F(bF;V(qLW$H8dPWDX+YH0LwZlHVRh@FMOzo
zkhJ@LUH8*L5r0p`h>oaQel(@MWG+J7%At)Xe2o#v89$abAn-~Qm~B*hV)uz+++L}F
z+?=JbkgN&u8(U6+4KmG($iAi^xjHW(PyLMrK+w7wZ1|rH!^9q|>Oi!7rg8p-<2%6L
zMifcg3yl$}zY?rGJgmrD0u}_|h%6XmlN1zPJ@}0Z48n6d#&Fp<K!@c`p-8R1@}&DU
zSB|c+e^o{7+@2)HQ|0hUdFj`cCx$B0H}6{}(>ci$LP$ppA%o)mU_fKgpLCd3LdQwH
zZ~Cler~aKmwe-l4*E!%Bc-)uOj5JCKw9)-JyLs0A!Z|ol4eFORSx4qsU@oHKM<c(G
zPEs+hcT!Nl9$pfrzVBK9kgUzU>iz58Q$4->S^iY+!dtfS`Z;;NF-Ys<*R|iOrl=S9
z^a>{-f6-BJF7o{8=&>RhrtALj2u7{v3w2??ld|H2yM9I6j4-Nq|L9*`f+@ORnJmAI
z@YyflX1bOtf_c$hu1nb5P3vgTcS?lz3rCCj$!;l;jH*m4(J|(T-h8@uMv=52&qv==
zK8v<DFTCEZ)07Z%Kmt_bQUI@{1=5I_+dd$mh%ACo5sg%lLZrvvd~g(!tX0_&sAHd%
zHD3;>lzV0%vBe?y=BbV_rlI;iq|-LCGN~BfnA78wsOg9!1^o!{##7ClLyMASN2Z)Z
z%Yxg4%qgEj1+N`ZM3Fn<03wScD*r(_yrUz4GCJX%Ks6ud2rNql3v59LD!}^S)(Q&x
zLPP;%1RV&TOdX_1-To_?_}&nivwwuL5m(UvZk;obe*}q(IGrt8z)BKCU`+~nrBDJV
zlxK-n5Vp@f<=QK}?8}1=x1K&C{?%%hse;l$RCQ<uRx2#kXrE!RR>YY351_3jk2c@A
z7w%{cIi0&>vvJoz-xW7+X|gpa_e{kPZM46c&Ded6lDLk4+9KKsJd<OtBF07?>%%YJ
zJswqa3@O7mSx@goy|f##f%b<CRy%TyXF6hyU1JI>k%3KP;(u%d3Wyoy6_KBl$}0e+
zEH3qY#<MXy0@HXQODJ7NQ)||9%;x*$VhXU#&@_^gL16fE?SzK4CSl@t0Z-PK8?d7y
zDL@u|aqyt@q)SM|BQmM3M`i1mLvzBL-w?iPOH;+Iuoi1k)%h)aC$goY^U|@rf+`*q
z#8h9iW}k?Ad_b<$NeK*|i-a+R)xiwcpsj916n?ro)TPoQ00W3lfG{2tSWN23LmsX=
zo1pQl@A!xVp2W^KEz#~+|2oJIUXR7_P4~N0ER%d3CwY=lmJ#p)8nZ+{C{q<-P9e0R
zL@m`+>3X2iiZxXmfoN0!R^LT^4i~x%&q7=q?#&p)0>a#mLbZI7?tT!qjWwn+Li>h4
zQl~yIb0aYH7+VRVTpr;~UU7=Z4beal0r~IInR=Z863GcyZJzTkcSd3&3gLM4n;A$;
zVV)k6vC*_)O`Z<iU~?pd31`2S0mDBz$~+52fC56J_m2wv&~c>TuRmOUQqKjZ!KECh
zQrVljVlubN?1UtDrkZxBb;*b+v~JM7&>>{wRYEmFhNI?>(49{Q7UQZjOSmC~T0)|9
zsl4ls9@W%F(30By;gM;QCun(E1rJnMit=xz2kGRZ-F|Am=CT1WPpk;LZUmyR$HGA?
ziGBgAHpBK#h~3=8NV8#tjr#<IwuAPM;YdCc#<HJ-gwexS6`VgIg5p{fZp^~I6u^E{
z^e}Jk;SK1}Y8pg<g1(Dg1P2vRUUi0Chh3~Y&YqenmTI4w%>)gTDPcofb0Y_BF_8y(
zRAl6zOi|*cQvZ1*>Q)wIf7Y(hTKDO2K<^z|n<a;m_l14Zyi9Bnd9fHWCUn-!gCr~9
z))wqAufQJfjojnaUethB!@X)>G;m&yFrk6PNcV=iV;4>+Z;ZxB2P2o5IYUoXS~B)F
zm!UtUf|MEPq{`~skBan&I1vtq=hsoo?=@c+g<v|fot=Fv=noeN1t)TuHI%?90L9k~
z72{=}?G?ntz>D6#(-c>ALt(<SvuUjiflxMrwCvUu(vrH2I9<%(AU$^F&6H=L@lxw#
z%)+lk+_HT#u`fMC<5&*RhsU<73^McDs9IZBvG!RyT*W`HOFewhx`c;z;D&B#79^Y5
zS=!k;Rp6Q8aPh$8|CNe>E-PdIJwo=9z9J({-rJ`GS&OJ*(~7P^NNv@M?7!?@ofcq3
zW4i$UK(FXGFc|uhWHs(KgL=#8R4JlMQOb<Am>j-Hq?usBGhxAEm|d~aUI7_nh3%{o
zM8P6bm8p$R1JGQGMeT5MbWGHe)oXMlx}!S-YSVWck#<GrKMSf1sypS<)!kgPTuR2+
zC`Myb$QI_S_4NjVD%xHwYh9&fp_eITXU7Qz&AOJ}?I!5G2dWOWxL8bES2@(HoGgLE
zM6Tc_!Vk}qSK;{hGrtwfIyQnEpQckv6DPuQmk->H$JC8ka-V*s>gybuX<<{fd$M-g
z*TL#=b#cf(O{KmczWjLmnEznM*Y~~YA#o^v<fX6PC(v&pER<mU8xpnj-JNM11+~1n
z&yhy+#nInUKrkp%i10>XAdyr)v}&8BCAQz`#z^|iQYjTB-+5UFUMPOaT>L|_(-ep*
z##ZC9N5Y{v+Fo{nj=px&+=aRxTR^jrt`8T{sc>9V1qynr7qOdj-ay7quaSuSXwKOz
z>lnPDV+?stjM%WV09bUo3$=0=Yk3P<yudkzJ38KVF_){%TeOU?JKfO7)9p8<{0<R`
zs?|80S~6b>;ix)Gc0p<0_TBEvA!dU*i!D7HKGSOk*rDGuS|}IeSVDZSG)>J(>&?O%
zwLj65OE2U8qvs*G$xa(RU5|^lO>}$pAH9MzY@a~IYB7Qe%g2W6Js*o5^Qi=mzPKrX
z@%)92=HatxYIqKxZ21ziKkE?{t=qALeFWbSK!**O+&EAaK;kkWK=YfBaTC!SmM)YW
z4JmPaVRuTv5taMi>j;^5kP|(~I`>e+yRT8cA#%fH3qIY-eFth26mi--W6yBzpn46U
zjK!8nx~?rkO2rSmG`2qJpg65&&9zs6U#T|HFUqUYh+c!Oy)aLik~ljnN6Gx_3zt90
z8m@n3txnTm9X@j%0xk}HKDxdc7SuM%5*WbpQPG^z>t>Dhq?@|vg@CAh&42H9xrTvd
zlma1o{q%IkS5V7w$rbsqHpK-!|4>nvIlEKt!ht`AR{ujZDC%}%-8-G<c1SF+{&oNd
zlgRWSfP+zJD2MaPjLK%7RWFDB@*YpgWoi-Qw^rt#tufQAk<FVz9nC&4&%>weQ!XyT
z9>yPT!_#h6p?BeB=h)@kzB4ou%M9VAKBNgPjtSPhipw5FmaSGUCE-<IPU_~7J<#Rt
zT*_c-Bb&<Rk(H%7McH7z*wcyMC*4Wq;)X)uz8tO!k{;j>S679}`J#qBQ~<9_pg0h(
z5#^iMR1ViTiFY?)<YT_bRJB|vsv;FkxcI2ncSU1F)BDc?LSs^u`iCF@t!^pT<D<;G
zkEDp!Ouby;+}jW|qxU&CVBMhCvt2W=T;?)cs2bs+Tp8!BXuG1*z~MR@c8F?(53E7i
z%lMk3e6lOy+_(#;arOzOz?DF1zb(~1R72Pq0jpe>eqK<iT^p*7qe9H2N$9^9^$J0&
zR1V6HF3&#sHw8Enp#pf|(+&}Vp0;d^xp`nL?w^9wM9$gK;g{jj=Qt;vhwTXtM~Xh{
zqbp&^tL5sdWU^pvEj#n{C?@A>)}GMJb&HWXfzdXN1xHZKR%1nD@YkMEP~&CV+kdRi
z&(hT1c?89SQSJGHdc&70d3ptdod*OTJB<#Y;0vxW2737bG)lX2N-q<}oLvLll2r%b
z6=Qm*;fa4PQt{xS{YaG4?fyg&6o_0uJX5%+g-PhTRpi>L{R#6&x=C{usB#izC@sr(
z`sZuxVC5ZF5h0Fs8E)aj%^R?-C%J1rUpY9}cu$n6FjR~$6|x-Qo7$m*&9cpOGurKT
zf7=_Q->Tc~`kwA=+tUFLCOkInjVU*_9KbFn+cAQTKFtmaw%fA)*emX!GA?@;U?pug
zk6kYdu3J5Bn;zUd?Ux-;+Y0n+?uN~S*?bjVgu9ZPO<N-p3>0GPOWM{*NMxrvq|b^&
zn3_=rB)yiJkw7G*xs@XRiq?jOwP2$n@(mr;;M*M+Ln~VSlnp7AP!`jTXG4r$<CIe=
zyii#Xt0*mYbp6%Vw+&DCT?eBmaimIG=qQwY!ME8)d1kC1$^qFCn~|%~YB7;Ei{B&9
zW1?&pU#!6S<yKCxC==>$JeoH#EsicJr>0Z~UUc5<qLWs+p&OFW0`L=(ecWE*-|TEe
zw;95#d?>knJV?1Y@t8D>HT}A1D~lSmp+LftQ|i!=e^w3vs^WWg02wJxfD7Y-(xxp;
zyhK+>og>OXuj(p}Au0`Sum&g80DK344~g}#H%IJ0f9otCKw_;XQAN6rhEnSfGODeZ
z^%QidYayEH55e}?$XbeL%}-as8NXKB=B-k+N%<P=_IsUnJG{g12S^f~O!t{Zm(}XD
z{JoaWAAvtN#T*A61TAG*n>7yfs}ogyn-XbLRefuaS^u>>{K^Lm1b>(g+d|(P=s3hk
zB|J-p7=W_Z9F2b^W}1(EfNUb6tu_-Lr8|CY6}~n()glxV9{m>4-x3_vD9B}Sy1lSz
zLcVwYUTTCqxn5k^HHdgO)0^vK3Sg;UcIY^Ug5Pli7f!<C?Y13_MFNwB<GCP^=8zy(
zIqDoI-1Z1xeFbsPg(HfbE3!!s2HBNfrYSzWOe?3><evk66zb5sJOK+WE|W%NB)J}D
zD3t0Y(fUm~aM~>ECE3t{N}O3If}D(4C&K>jB<F<xHdF#|9pq4HDa)kt0>A%ALQCKf
zKz*-)N3R+CP|FW&k~^=D%cO7jmsz{3o0LNAt}iSEF=YQlfLJMJ5-m(}w*g1ffW3AT
z7h1j)sCJXaEays{=}f07rt^B;CP-(aDNL94c*|9rDUCP(b_(65>z36X-TD%9mnltN
ztj#KOS(7O@{BPS;Xoc@@`<L6T=JcpE+x#erL0o9E>2=$z<K1buTfLk(2x=ztP;<ha
zQ+Uh<PC$xr`ySL**C{Fj5D@&iOEmv-D2C5$N+W1Rf{-4FHa9&YTw<`O)ni3Fx@2nR
z{VcSU!cHg2>Gqoq_Nm<Tq(GkI;^}*87nZp_7WkUuQ&sQw*yexIg_GOP+rj=N4*(M>
zny2nJ?~fm0N9~8>iQY&VRbZ=0-bmP$@#m6VkZ@`ekIsjp$G4@IMiudSLIPS%N&9=#
zvSUFFD?)9%w9WGZA))!r(Gt>WeU4!l@b5SSnXi%ss485~VAydCq1pL67mDDsg0QPp
zFg&)U84i&xEMN`dSYV#0rYjsn*-YDXV|iB?sHU$9Mk|uH6Bu-8lwf=5e_ogwf@C<5
z4Tr}u`^7L_I$)V>f(6aP^XU3o9OEbVa!+-TjiVV`mU=Cm6k3hTB)0Pxtw?ByeZEp2
z1mWUpp{8Q}&%i`~iG!IgORN$t$K)Qr{<x`+BSluj$E3*o>;)*PP=37>`PrMWqrTy)
zU5^aJs9vvedSD}Z3Rqre@sR8q{!$mkQ06lX(s!_?<Ire?Kf7&ScTiTC&LtpNeL4}v
zxUi%<&(U%o)voipx1}E1`0hONlrau|IGF+SW=FTzr9Jt>hu?Uzn9>Vg!>OE(!ipZ!
zrR;D{@F8QIu}sfNP=SOEV`#yOelJaH@&^;%qRxt2MQik%9UI2|N0IR@PX#CZgXE?)
z{Xy4zRlA873^+ih6H*Th;OR7xzG5Y7C6m!(CCWdM(ev9>)_^UIz5KRn@jA7l^;fBu
zFWW|Gj)7rrPQ_v0Pp@h=*aix1oS^Pxn}Dkno0vDdRrFV1&Q)}T18QQz#w3OhaI@5k
zf~1K{F^LB|70U^+o_jkL%?UAqdoxzCGeAimN8}wr!G_XjR$V%O(99TJm1)ODUD(&7
zl{A4)IES(EdLN9DSGAV3c*KqwtQibF<Q-vCjX5NHP>!{Q;4}k8-F-l?#Qe}Kz;m47
zEiwe$4to6P!MP#n1Ww#ou1$^D^`#CJ!6RBZhksi8mYcQ$N!a;AkMm$fE1Aeow1(<g
z^Ba|6kPoUXTQEI~kYlf*@%}VseXtx|u)dRF6~7@`=C3f5X?S?=9+yj6O63Q#_l~H$
zM?~SxH?R>$wgB+w9)ZDqz9V^FAbU`W-yP+vjslXEzBa>NO24OtYzy^~{STP<{|X4%
zIN1KLfRO!v5D>EeF9n3!nWW+lJ_KJ89!_!m_6bi>+reO%IL0tT=(#@-EJ93ds)8E`
zX}>(h%}hmdD$6gkr>tt!kLQCtjS5-h%|x?WRMZ|)pR8t|e!nk&e%&r^KKZ6x^85Id
zUh;Ro-O4Tdb=ZG-y_|l&#TCV0HELJF9Dd#;wLe6?>K)aP+Fly7OS9k0|GDJj@wqm5
zPi*A<*x3ou>ZqF?n7>+@7E4#)%WP>enLptWwK0xf&F%7ZH<Z;-VK2oH{Rb1P$9)MT
zS!YPmePd#?Cl5&?PNC~(rpYJk*PO<v`ki|Q7xX_oa37aJT3Jy!p>H?+FrAdf1q>+Y
z2e=m}yh<}e^I4^SzZqr1r?R%Fu<fYw{1goLvy^`N=<)r7iA&{AGRI7fJNZ7EqQ5b*
zrGn+%F`|6#f?@}B(Z^trWEAzDPotdh+0PF|)L&z{l{LC@9f7wu7%nlK!x|h%5OHo-
z+v#M*Z~Su)Z?kx|WVW_#aa5vhJ%27cVqKZupUmC(yPcwLi|8_XQz{fycy*_bMU()!
z<;nNEE-i5lNDbt3$dWZV^pcBQ=Gtr9M`REvxY+8%UiDJj;_}bgdNF6&ZJwnPZ}&ID
z`pN%7Vlyc%oI?3O<3}O}9p3QN;HwO_1)2pvT8Ps_E27}IxcjQW)1&3t)q{$x6P#Kz
ztf2N~I9dOT5nE_N#*y7!3+Z`Bl62&zjQ-1reMO#a9QkteR27ymD0+N8^fv9UY;H{&
zMYDK3=IqZrB_=8)nNH`;i9enNQ_O;xVeEKdN-)fXH7t~eLwx+$P%a8Vox2%mCbYPR
z)sRMez`hYNEmm)Y33hfNuo5dt+i+NAO4(?7Hx;QV8>hFSsI*cBl3Q(1eMG2uCgLd1
z&!bV|e#pztMRJ=3JApuLS5HkoapGx(beX2ci$=Lvbg=c!eIhmZO0lB)2a)tTfdaJV
zzpolV<0#C;(|QHs%vOms4QY9m4Che*(fkN>^~PWf5tx=j>sl|@s>?oI8ZTy-AT3(p
zs`^xgD_x<&`&|_*7P|eRGqU$1wmi(zxfAmP7Tw^y+fy_m>x20*!6kCpfV0|DZWI;w
z-Lk+&uS-%D2bEx}3eXja$AY)vZqQFfV&Bs;5O^Io<m{i+1=gA3;2#SJZyTE|=0|4y
z1Ff8|>qCF&X^3~`mmBcNxiDytFqy2o0;Rn8y?vXDxl7`IIcQ2lY0y$Bj}lcDWSu;@
z{Lv+4w@Ozjq0%RU@t)N@b;2y}y_M3&Ek67?bvy(M2vlt0qdOyO1n*<?YOygr0$P=H
zFgsInK>Kw_-YOJ@w_!1+&eq2BV{fno3xX6Owkuk4Bm(`ku>vc*#F_4pt+23D8j69c
zZ95J>r=~N4Q|%srUX5yod@!LHWddSJT;u{K&}P<!>JlEE!0y|IjZ{1*07b2!hYO5)
zKnHIq7av#vqb?4laJ95RtT4u8@VaazM?^KIfZcVhD)QR5DR%hg!S;Nt%-r*9h~7{`
zE>8dxo{r*qFm%#!3wX^oFWeS!8zl}A6b<9#k*di~N|x1RU)C`MeZ4yp)r7<|IG?6)
zV*p=btD5Z~$zwBUXm@RB-cKETyg{~uCGz+j!2Kbtuwv*3)f1>mI-f@7vE9ovF=^O2
zJ(>8@%E>a^iW3A@s8eq#)thV%eZ$8XvOw4>Or(+-rgS`8)4;A<56A4ENW(e`wvd%t
z6FZZyCrmUnBUS;H7Y&7OD0iI!rNR`3w2&JnG!p%g$V^Xxl^9GiPL#1kmBlj1TVaxj
zScr;!!Y=8Gjh>MJu#qgqAt0qe-VYK;@E#Rb+5jIeYO%KUK~6H7S#w7qAIuw+WU`nf
z8w?1vP^zj9IBP};ufRx-jaOVcgcJj6%A`#C#cD-;k?UAn{z*oIG76?7y`&@#RCL$W
zKDqT);`qqdS(?>}Akj~6Zu|&u<iwY7^^+_i7kn(@9q3+&7{SSjn4jNGdf$z{6mHgl
z_ya<sh^ahO<n<vV7NsiinqNR2+Mf|NKp4T}T^xadlC9Laoy|%|L9tP-NH<Z~JGb&f
zvW{(H*Xx}AO#(JKsD$>2!Y$74Zs+8|T%q*4B)tjOB=_x{GOX0ZftH1(#C}I@*slB`
zjj8iKrIQm%cgw6!zWsR|pk#?6x82}Ku>ylLQRd#cb?xXifd_py?4pt@--1_DBL617
zLAn7<okB^b1(KvzfCOP+4hv-t_<_@U36Qo?Q(;)w0KP;E)$s4KSt_`1Z9`PQ#aV*y
zY?CQ<3JolLSMR^tWBfRSsO3q1QGyk7G2<lQ-7MugVth`I;@4Q5lWw*JyVR+J8WpxF
zma!a#H?sc4>nmY{R)udX7-ej|oM-`~g{$43BC>uGK3Ka*?x-`WHd;7>0y0pI&p~yf
z@BI>J&6x<F04Yj}Lg-v3`UJFVxz$u&H}x>cr6m4B2M3|hNhJ9bWHD9S*df@nACe(e
zP3ZGHIT<oe0^#9^s|Ekq{QIu5xN~ZsXRbEL$NdKGlEWo}YfFJx#<cn_@iavD3e}7b
z@%%M<Wz4AyXbv+lfLUcvJnJgiHH-dfe9I$mC!0MMmOA<S0B2}lkd*G~35D(NffrFe
z2W-OHC&c6(96DR&Ved?C?73y7PmRMA7l>{y_z^gBAQCYG6FYOzb&biURf3d>wVe0R
zY%KzPV*^sd5z3ue#Y0jmEWFcUJx?{*a@Wr0h_chv^n1}x0e^0=lyj_jAc2;!eJ{e;
z5-5Wro#etw!W^ZW1?Tp5lA3W>4*&Wczq!t{9d%XteIsMF7h!H0qir?Yos{ahqhFU(
zX>RUsg)V1`PX1yY#BU4fuOGKixlV`h+sRA<I7VFJB#5AC4Q*6(t}!cicL~Nlt}tB0
z=s<*eSro5CNdbek`_OcHznl*echQS5Q_|t--57jG59K~vH>J;Ss&kde_1YJ@&+|l?
zVKUZJz4D&cQW@E#OG@oF#|=-{T^Oji${bsY-54~Pw>xq3rkokLDKGV=f_5(tfKI2^
zJA)4zE)N3!F*UgL%jBLy*hWcx#72o59i(`M{U*!&5co6n)mY;xSTzl&TH5LZbI=X!
zdO^i#7PVjvhf*{I8@uIvZMN%)Vsxe&S6b}7-t&$<9_@)G_Eaz>ZUE^F;FGG16ueT2
zk^n~+7NAar&7KX~^xSq;4(`;u^|gj`s9SxJX=LVod*OLUrytvWj|X3+Ii{tFvfm?C
zPeGDbR_ZFZZ-q6`vWcc%iOO8@qU(Gi%XJ})&sFkv{eK?|-EZ7ac1n%CI**5I{YfOd
z>l_0fxbRE{YJ8wZ5U~AzcX|QRuA>2a?^J4|r6Hab#+3|W3wg=1LYhd@1e4G)9i8uE
zWfr^l^KP;YusNlb>bN!WZF)Gji_d#nq&efF+X0{rS8V{l-}J>F)v_;sOC_jVy{ra2
z{8vJG2*959wBPvz1yVn^Ef6-afwE3+Gm!L^13=+!bPBL@*=0{E*3^oPt2(l>F#2sQ
z^6k=({R>DyC#n>7(&Lei_3&dE$ouoa3alVMUc7zZ2#Er+z5PN`sq!zbaYJZr5p$M3
z6ki~Db}!*t&Zehbtx>G6hR8$DP9kZ-Lf1*vEozyxUz`2mEasHPC%}J!uC?AOOsRl+
zR-JFH_+S3(6*x2BUV%OHAFqHr{`LyCJWGvczNZm5i<AkDJcu$DxMTQ8oSD147U0fi
zKlNK+A_|r<$m!H!l0GE&t)$j4rxcf+pEk|4Q_wa>8w%ujQm}c&8(=~9)_pm!udY}g
zcm5j7*c;Y&{+Jf|6gK#XpmZtzOFD2eSZ9f<`w)o5656>GTl-5oHBj*AGW~xOZT%f8
z<ZOni5-sy|y5R&E7VUlPQYNN1sap&$34}%+O5UFx<nO}ANF8`mtA!ctm60yZdVfx&
z+qnF(Ob-RIur@PBKwz;tck)r(M*XYo7zF*aR5tVqR@avOpeCZ9Q;zp5;RxG*`+oR>
zuM!78>87;%YQy_Aw~cH_(dDM1+JWj0JxZ`3a*xC+#U_4^l{EX2jWoNuv6zSyZ)R-<
zF%jOv*5VlGr0f=V`<b!0eS#BjC^Q)U7kt6b1@hCsO$$!`7OsyLaTW%ba1XeI*jaCn
zB6rAHh}2hqyuiI;%^x8{Iy&vA-Mopt=irQm88wFD=MI?!zPEtE4UuZa$a3`_H9&Ah
zxtbmKFB9Ve(XdfaalC|cNeI%Ys0;9xN;*DE51oabLGZrH>v&+Qm)7%?C|>QH%E?2=
zTJaKV)gJi5)-(ud0AdeuI`~A$7XIH(A%sJ-(f5t2Ih?~T-D2_nF%%2y;^D*>DSLk|
z<r5bLos-F+T`7C}FLD}Q|7Ge<&}*$?jvlY2*?c>bY=nWKmIV?rQ4{D!d};8b>MLS_
zZF=J~rZc1L-roB(rwDkiKztVZSwq3)^zmk?y9p0B)dUL4=POObEIT+tJJnq%DWr+M
z{SIq?52~xgbWKKHr}4JX^zP0Byp8MU@v-3*gZS(rr%2QQrB;tGtA1{eeXLpRbc#5c
z`9|=|QIcinssNu`q$Vbjm0n%dO{nMqA2S8WO~Ody#cY2ZO>^+<n(qz=7j-hZUVpR8
zThq;dU0(feZwAN?FoJuI8m|^8VP5st?L$`$iG;Ez*+92SCYN@Z#ilrV2z%@!D|Iw=
zcx-tYa2XIzN%rV$oda*t*c)8R4c9w0-<qYpxgN-l9*kRl>21}Rbai0mO#wLYP*XX+
z<Dp}9y?gW){BPs!Ay9|f7VP!kpY(`Km-snzgI*oFKI531<lG_Ql8$U9%*mExLTm$x
z0Wd<Xy>Wj6@_X4h)CPb>VRY)OYb%_qE_rk-Ov&;Fk!Lc-m1S-LBTeRVM0E|IX1&84
z7nSAWa*kM|C|>GLbmrWF5@r+0-V(z|*;~du@8NPwyHdQaFIR){(c~-ZfQl<hm=X?v
zay9U66?I3fGU>bk=Nm{~DFQzJm(xV6f!KE-tvFU2S|2)9-=O-+S{p$NSI=6pMdm!%
z{OqpBX0BZ>i#=L!aDI;#?m7vH<-YRsfT^#r1IgwY1PQ*YjNAkEe`RCoswuRF>#!KY
zaP>#OEx^)W0oEFcIvj!Fj%J|oc?E%H9P-oAWaRvbZXQXK%&<;YIUo*9DwZ&TsL$)d
z3F7D#qAhNSmplV(sIg|u+zHBPqZ7S)4ik^%9Ub<pUQLF4yHJe!DLN7+wFPgNw?pMD
z)P}c-fAWZx5PD>0GB@~<MzQ%?_xQMhu0rJg3l-d3E0uknk={e*yhawBjNV9F{%>mY
zeP4$>-n_Yo<^1|cqvJt>_oVwqBH%rcgD~^&D%Zpe*YDbXF{vh=bsnd!mH|#jIOVN1
zzlyt|2=rWNoZpW_MoBJuM#!TR9>ySTv4jGDxb4nIL+}jhxPFLmIt4WYxIKHo+KZM+
zKKGH^5j<8LSwnYO2pv@pEuFxS1{3p!#$WCotdj9;qMHZ95kn~oe~Hth$0+2o31Tki
zI$yeOb;?ZW;EIK@vioX$AKlv%>Kf%BhUZXIN~8!a5t2t7e7r_3R3+rsg+Vk(=lzR$
z8$jKgc^8iUiQ^6YzE=AceB!n3hOmr%0WZjWxyCRsKtouGprjY1Ae;>8Qp2!Fk#epL
zkVJJB6O=e1r&Q|#_#95z$g>9iyg064tCZZ`PY}AztG6Ajt6d#6*sLG(k94DSfj?6=
zpnoRF6ejJX&+4}1f;!ck_}a-ix$%6xSXS>B`oTp&bxi)%A<5&()blHsYTxY14)bUm
z)RXyP>P8y<Fqz;k!pYe{Db4dw8L1UL*ZMvM^huwrSn_)Jp1>wM(wWUuy97L%;>^>*
zt`vD)eaUr1{hcD+2vY*F5H1o@7!YQrhHbM|JmQ9(2owXK7I^NUis7|L=kqvSuL8EB
zHW@jj50C6>y}kppHZyectX4L5lQunW6LcYcWmC}MJO^U1)(gnR=Nw#u&XvG_!RQI-
zB)t~rSHp@Aka*N4XzY0Dp;p-?_*9_f+u;hY|4-C*?tgyp-U@GCJ7T-xzp2LPDd(vB
z`)2Z$9D->b=XWVWThW)c@SRW{vZ(KIZaUa(EvSQe_UKUCEZ&jxRaZDcxL?j&ng;#K
zDCzhKRJ1q`EC(qQ)79XCb;XYb{+t~E+<p<4&E<dtQ1tA^e2<Y(*kv6u|HAh(SY1?8
z;Q-CdXe*Y7R>Ci=x1h48i=U2jXU>Ixx2}_F=BfR#b2l0Y(4jKoP9*!z=!Qvfv40%N
z=v1{FAre{FU@CGySY+ah1S?EG@bmBFomhKm6D=na3oi_|CTCy&IZkCdv1Yx6a%MF9
zGCi}%&~nBX5-pVD$_hxvvcpL^vVys!;YF9elZ%O*j>na@kx1|!_?}cI>$(?ptZSP?
zGI8)}2}>Qo0^wE}j{ZG;kezZ@PKOxI9MiQvvvXI*YG98I;7AsXBFkfQQ`THhBxodP
zduiSjW({J}bLZ9w8xFK|PK+hy@+)+r{`aIOWfm#GU|RBTPM=Iaafgax!RGD?MMPM&
zvm?E2N4-H)xyT`2b;j3oY4CvCyOc~RmB)*=-;7=_g+G;^h|c+m+TkOp+@0_?uDp0p
zP4iZ=H@)6$9n(GSdGgHCT~v!NS8{<B$G_~-N^-{aahngjCpj87?16bcm4y99IF+w|
zCB$7}<hx|$cFDfXy=WuBwQ<k&d19aV#~kAQntrzX_hHsZ)W!*$+>hnIN<L(KT~J(u
zgX3@4mtQM>g#Jc$j29SOWxI&IWL$)LwC($Bb%I6F?Om7Qjt-xjO4@=MsFu@cH?7k5
zqV<O&vN}%0ZJ$)lpp`=+>#;H|=d7j)gVC^P;axn8B5V-|C9U8Gs^53^|5%shO;-Jx
zDh3EN7Pe{q$bQIsbHSpWGkDxzqEi`daAX4)+Lv<B{u^@J#<A#PlDXaercZ1yKl%S7
z&woMYKgw7(W_FJMhdloWWi0#uN*Vi47e~@&2Os-4lGoa`;iSH<<Cuz$5dc|??3;uy
zA}29blyIcY80*&-Fe!*bLh|Bf$a(DnCy%#*i;T)HxqnNoLAkx%Vu8Kt%b$<0`DG6N
z7uB|p_fN4+U*EgQnCdV0_0Ol1vvoK9M4_%lyIT6R_0-(WO8tdqt}rr7C!BiCx_m!R
zpS#TYVYeX$&Gq@*E4{Ko8XQ@k56cf50MtQ!M3gjpwy*vG`%v1)$$C4+Qn0A0lc(Z<
zn7RGe-T}YDUPgwJw3=q>l8>H}L@Z*5x9G)3Y?t}PuFcO6C)+_9`8eMzIl3uP`M9^Y
zb_`F&ArXCS+A+?x`M09Hm{NB6FBQWy#B9z^W!4QPf&V6+s{bLL)BjC8^Lf65cRp`!
zZI&p9uR{KYI{tCljhyZ`&((S(mS^02Sf0P^r+3JO<1Pm!!B<t6@f6e2)XU$4Ne26g
z#JP*_@yPt``PD_$vdv7z5}@y|LuVEk{eFHPcV{@wl=5r3EGx{|qKotHV#{QrFA-Xj
z40>Vf5_p1FB3io0m8C@GTB(OAkIf#Vy<lNUG_&5{$W!be<Vms2{cw>@d@*04zMb+f
z@?`%<7n^(e5AyW>!c~Fo>~4rKi$MSDI?Ae}dze>GvcW}^bTfL$MPy0qNMBf<A}|CH
z4ytmT0*d>L(SzBkHNn>#iIR5+ep0m53CRoMFcA-)CO_Icart5D!vu1^oV)P(I7KdB
z-xQuiA^j@sLx6m@UWEB@GEVQ~vaCM|Zi;^HjxN)k24|Qrho^tO>5^eVfS31H-C?-2
z#+iebK&~--2!NIr`=!eqGc^nP5A;lR)1*^OV){~$7_(x2?u$$*S|ENb@UM@+k8>)J
zKJ4X`XJL;1yQO~=9J2-|Q8O-=n=+j+!lFWcwz>AIMIp`rw)r3GnIvI;7Qy@mgIv|}
zV>|B0wP$wpNL}i2LUhJ=B~4DLoQ1@U?m_O^{CmMNAlaW&BqFAsCgLMf>5+9Bj<OaA
zKo6h0g~RtvJyCgkOG0Ga@w!e0EQE@kUsYey*klDi%Yw#A^(!xjekLpUniasR$hab#
zH!BQSo=!9;kVhuQ@&DLGx@iqzW@lWL{Iw~7q;rOzF>>^{oQWQkWt%aHkomdH?b*eK
z+VgJba<8UnpxnA(`sZgQF-_60kpAeRdn+S)8lzJ-<OtN9V3~cI(=uh*l?3ggli7^O
zRWagGW-$6g^lY}|H#R+{BBD;^zyxAdxxJ?@dZkFnQJU_`q)Doa5A4?9Z$)XbcB9@1
zn4SLT`{BX%yID+PrECUB`4}Z?TSBHeY>q-!SAhEJz0mLr+Q<!>pN5yz3ounv@W%&L
z!>jn;wrsg-I-ji}!7yN0K79UV#+mfL5GQr60c?onj8M0gC@JSj)$`f;E$csu+W%py
zoC-9aI8l`rG7<J>6Z$wAhYPXv4$a!7oSig-L-&W@Y-94P5`v5PBoV%>JBwUO21~zM
zvU<jfL8ezTFMo(Wk1|Hjz5vFPvSI|&#;GA@n^kkcZ(dw@31b8MZ)hd1$2NjGJ%U(k
z(&pZHy}z1(n>ZU>8$<(lURYCRb5PUj*JShLEOk@NW^EfMv~=<j&*)o?*wUom`}rkp
z_}mX-GXDFO#0fP98J4`~8S-?0sVmGS3djYvd{U&rpU3w6S|{<$DohR;!voS#0$3=t
zeOZujlnLyd(Ro(P$Vz!-Y23f(F1*+h5m0wfL@}-kE%8CD1f{%N2ErwLB1|@siU5-p
z4e6$cxGQ&1%a8(xI4mGC92tRz`RJ0P$;Y*;o^`s+D{WD%L5z_5VaAA*TG~$il!y)N
zp#g@3|I(CQ0c<T|rf~-mx2Dc`g9}EiOnY|05Zh~tYYoPj*urk$>}{OCM6aN=t+<fT
zQ}pTJV+ntO?gJzc*UUz}*Xd9<V0ZMrDO;eftY|InuLTC)T&mt*02_I?;=L~Tn4gag
zDT^v<t_;kT@;#+Kbes=<s@+o63wp5Q3ZGU!LAdna<m<}i^+MMJ*0gRkE}uE*fTL6;
zTHl9Yfb8BH?4mOAMbf?<g=*RT=?Qt9@95_z1Nbu|=;v>_BN4TIxu+G>=w48V=9TB6
z6WFbOi&u)6twL|v^;s|Hs@~ih^f%~PA-_JYa~ctt56StAd;FA<p<(lCVo0TXT4jND
z62ZK%F-Q=*YYOG#wkH8+1P=sDoR3kR^>f~ui!X?+JuDcgo(z69WPFnbzN`4%wT3;|
zbU=7Mqp;a1n$|=J^&HOt8PS}Qv}cjQhXFpG#YojCi${Z(7XGFnsE>T$A&)1*y&XQC
zZIp7FZTrEj@cMMB_`I>t4PT;oy$N3&eqP`maO(Y;m41Q|Ud(Q%cPNF&l`>P%Rxz)-
z%B*r;M5|HKU+>2R+!6xE!P{RL5cUjO{Q#pX_L{tqplPki<{)%0ubGUy$AQ^>r?p7l
z{jjUpg|?E9g|Ff}?-C3-wu|Ki(k^N8U&j)3p-AGHX|eCa3VF{pEp>px)helm9wE;N
zl9&@YEs_}4K$y6aN&&hP#~GrZUNcN^&Tw3;AiO@_Pq+NT3ZPp13dUW7*jCTA)=P>G
zoNqs$>vANI=P8bQg<}M}v{i-bT(l*qx$MqftY8HKCQ|>hFwLzrtag77;QLGGMa%cO
zt5f=F@@$7i-AGwHQWMkd)B7U5YT_6-Z92os_7sFh2Q{)?9S{@uX++%Q!_FU1LS{}M
z`fG;d2n)kieSF0T`*x3Cu=Fn^FJez6RGp!A%#^b>-Of;YRdxNYFOt)iW4(+#=@~mW
z_nFpSDrAv%H`)+t1q~c6?hvdrAU?Q1%^(8V@z>8p{p2-EqGXm5P=cq}nkxk%a0-eo
z%;KtQ+|Eezj*&lsJe&{YS^jYLAVnBYmPkshPe63c69E*ZzZ|K-e?Do{a)h&TE*p$@
zhsJtDNjMZGck57Bepo?Ry#nzGrv*i`4K-`;4mkAEn^NB!JGz&PPmh}jW4k}P%YjaY
z4tkmU(i7ZZ<;IfuBsh88l0Y=KPQ#wn(csV}IGr^Qi6YHnYr5;80#^lu4vQkqV7ot$
z6ohkx+DvBuXp?B{$r1RiO^oIYT92=Zg4J_D@5$Q;Ap0vO#9N05a_styqJ@k3FmgLQ
zL%@%GtSy*_;7p~CyA3%w`i}e4p4KoyiFSyXjP@TM<b5&<i%Sn0pQ3Me9&n};%SU=<
zcB=C!=|bNN>&+LIKCa`H_B5t5)9^E5v_w1E+T!))w+{Uaa)fYl6xp3>H88aaQ0AO#
zMfZw}1s8lFs3d$(9>fe7wqnEY40%-NEN#z=B1e-xO6$AoT+)Z|PT`+;4}>qRpaQyi
zmm?T&*45M__?_~C4mVCL@p!L5cWJ^`D?NkL0mgpte;?mlSt;>->v|8T!nU4gv%9g}
z;O7{cHl=1&T#v<T!*CW_R@I2QOwDaCK9#KQt=1bz>KjuZP5&mYtCJK>CK_IRQqbFu
z!loelQ~Yu}*rym#noZ{T4%hRo?Bzi@J!8n3WteKReVIuG?pQOou_IMtg9azZYSmjj
z1hI3hRD&_)a#>w0PVu^M`gB7Rp*B9j_<|&$KHiVL(<vTYJ7%IHQSJd$N9r>M2u4Mq
z`0wqU{NB996Lt&Kuz53qs;oCTd|H7$*+r?>R@Ea3w8oa`5bpG8Jg}M`ZOQF2G5^E{
zH(&lBIM*$$5t^|sWY7Xk%w7fbw}(lJ(7^)~$VKEFNjlsVbD)aNd>Bc*H}TQ~3F%5(
zTm57c`8{`(6yo&{YcQ9`CQ?X$u2kE{FopSrCWjzwYlIpIBlBiR6isvNd@jv2lQ~CJ
z6Dpp|fqx#mj#QF^42#OCZwX903(A{W$WdXKC8l3Dn3M!vCR)z$X08HvW==}1)27>J
z&STlUVa;QmO?oCam$Jw@5@rjQaZlwTU*)jO1dodMn2e=6{vXEPDLk{FTi1^5bkMQw
zj&0kvZQHhO+qRv&v6GJ3vC}*K?Y-82@UMe)QZ?t{yk^y?sxh8=?i&Pss#@l1IV9=1
zOE}5f*2Rpyrz4q5<gHxAocgn=i|qi3l4%2E&AXB{FQA_ZF}u@a)Y-rVRo6YBg*Pcm
ze;|<-P{S(#P-h-F0mRm$1eRjkwfD$N!%(BcQpJs9^wZBdx}9Q3uKS<Vr0sMIxtDqd
z6Z}^uE-ZVBRD9kP7j8AQT?i&V3mbp-zRThN$@^;T{*=j(@}%0&|NK3GZCSueo%U4)
zY3IlRIhxoJ#OC1>xR<rLsz{fjz6CUQkr|BRFXkXz+`-ik+BLBD%_(i_l)#rqmyR=|
z&n6(CB};gQCC^93FE4P;)?tEg#!vt|)kPN3G@kH|(iR!09pJ`>eU0ZxdzUxQ4bGSI
z(-EZ3j3q?uGVIf3>7GeLd4QF8ZNG1}N=pIFOfYt?IaVp*3n2yhIQVp{>Li3L#a9bs
zOWlY%3Jl)x>wlJ`{rPaafkewxDkEF-t__J0W1ug+fW^)n8o($3%aPoI#TSU~Xr9d>
zIT5YFglv)<!f>W=h)s(`CUp#rx{v!?ihuRx4ybc9E!>t!NKZpi6wSlgQa*zf8N=Ri
z&1?p8awgD*V2;vWIt9cwjIu!BaJB;iTglLF@5@u4fjiNq51qf}+mGn+8hbf*;;ZB8
zZv3`lT1yr}J!TmFi4rDF_txY2u0IFl^7#>ja`Js8=I^koR%5lhk}#MqZ?8JOE@Fhv
z-fUSf#nF#LLp;df%a|?dUqBP=v8!ln#!4KHG)FN1!VWiH1VS`09(fHG`JA4meo@)l
zgsiS5LAYlgRQ{Kvg4f-_i{rm~b6-9AV=$?eR;@|B7=qDSJ_fIIv)+ma#w2I_b-(2S
z73tu!nF?;e7AYXUqp}IqqploOrpdVXOm%6XG_S5yNG_(ts<?D0@ih~HD2L(<iiGZL
zTIe7VLC{kO4cC90iW5@5EfQfcm;Mx}u_fOLXialoKznu72@G-7=@{672NJm_!4bjV
z_9z=PUyB1$fbZ-WW*_YqG)J{PQ%JJmMz2yffE2Vq%WS13l6C~KLt=HK@jN~&d?gep
zY)iOV9Yiy!L*h*0U3x-d-KBrEym~?+2}fKOX?NgKc+bI=2{En-HQ3FCMGPVt9PoP`
zfCBcQy^J%k{*7JtiX`HHcKl(HKzdK>7#pZ_Z^UA@CgD_{PCV4IzaGHA0^c*3HFIk9
zn~_KX_zMRSIUq9r#61{FIhZ33hoEtEf#CgwP{J|)!edP~QO41q1bJ5=@rS!~;{Bg=
z6676k__Dal`jdpF?|@^3mK_TRbw7Sf>EAjQvzT3flrW?uB-bsojJ@C1R^bi^(;IhF
zYMuACo7Ie=@Z&w(#iq@|Sqv<T6Ca|nv_xgRwJR_eu{(a`5SY2DiR!qXXc*Zzzg3Z3
znzVPDkk(aIMByXqIi?esoVio9WWrURnE^W1woQfUBQB?A{aAM#$#V*ysK#bN)Gs{C
zTqTDpJ=yt#0r!1Bi7T1yJM-lp$Wyqp4%gaYWntyD%`}YOWl-;up@<3NK0_^4J8viz
z%?wJjA|ulw<Y1|g6ctJauCdfzX&D~U2+0}*efqssoiQ2WnfG!LGS4Etw`uF#pMSbX
zLpW=&aRSCb&|uPI|1M2#1ykj}kS%%0d!wEMoo8N6GLJH!ASV$^)8P&rQoLy85v1pK
z<!1cY7hS8EK5z$frP^0*H-_*+UkEg2ghH3z1cjUhg>rIfrU~yf#zKLd1}%oH^$lwM
zu6(*i<%YG^!Mfs8P$%yW6hM9WejM!F{}B!oKE^nQ6cX&?IBnwis}T5>V}t(^iUEUr
zrL9mb{UWFXvWtG3ha34Q_2)@(I0jNh^Qqy>6+*Z6PDjEki0$oRNT-3wu^&-|$v8B8
zD$R!Svb(}+REX+dNf5V_UaZBwkIkZ^qll)PS@OE#qjmalv78{0*I|?PrdXJ=Yaq?)
zdr5c+kpell*CVm0=(xY+nRH-|*1q)Oo&?77+PKvj_?}tmUhz#q9&F<Y|2~Bkl4I@Z
z7X-(VnO^9{j)o(_xInp*fGp&4P_~6U&$xj6FM(M70|GNVR7j5SGk9<gkgT9=k2Rb_
zg3B<qNA}V)h=D*0uTz0pa3nc+N6tdJjL@YZoZtufcw(FHiED%4Sbx>VJRZ=aYv&aY
zFbi+@Zt2MnN|x@!v3=bB7ZKi_bbLXO(|pCFUXH?p%2ca8{{$j9|FM{>;yc~rGPZwp
zitqB<4d}kuEwQ+LNs?*u8Y^AsVf!oL2cL_#eco67i-D59pirS;Ue2SI9-QI8FGWS;
z)@WkdQmd5y7@7J<_^lhu6WiqF4!eLK`U_MGPM7wW5qc%{SU4+j<h9cll@j_+;6xX!
zoppfE^oXd(xQ8Ch*$X)0PnH3Fi^}ZcM{|p#3DXY+o&~JZuAhD?h|Tt<nYU(-{QnY|
zZF`o=91b{hwFp5bo%d4;%C2V5(NOH@(nc2vz=mEQmK$ZCnUQ;!-<3%oU8H=js_=Ot
zsbj4}3gE8MNIp=$Pw2YlpKx&YxX9Z^T4}^=y*-OXy7O*-NA}8Id7o}xBV*lp-Ke0t
z1+C1k>%pJ!1hH9)dd{pjVz`39OK+v~%;C|~M}PU`hx}K^?uOyq#OAq(;><;ih@sF%
zE5j;$FC2vGY#yMrx3&jiuP^&{Uas8_@5&YuTLU+LrT()y+?8$Bu&FWU>xHkiG0aRF
z-hcJy?<6j2HVKS^?Gnc6C5<wcxkHAef63&DQR7L?Ju3!7+{wyQZ|2G=o689~;i5N*
zg>rp#$&8Wd{ZqW-9{V2~*TO8@u2EuPt$B=i%M;};e)MBS-&uDt$ZCvMQP5H;;Imo!
z_ocl1^-Y@5(gm;H%}8f%v5?|oLb7T<1i*F|zXY-DbGoD@uV;BJxRPwM`<{ptS(tf4
z7|Op*L2($2#cgX%qqjEEdG^7`u3#W29g~P8zYZrwa*nNw&a5I{e5XE$c83hX5i-tn
z{Fox@Q$|mpI>WZkwi!|3$=fH`e}Zz?`;UCDYo-6J{+GNBR{7ue6wN~=r^qj6+;(z4
zJGCC;5u}9kZf6|hypGH4fVHG_!SX&XLUzMBckiCmw<YzudAv?y+uwdE+j~9zRC)|R
zIY0ls<S~XWI`VBs&=L^QxssX%L55NT&-Ptp(Zsgp>=&M;wJ@V+$gT*gHo9q)RiYyy
z!KO8V+MRVwB5|JhU;+!wA}HL^!8YaO7X~`L#iw!CY@}gmn|AgO<DBKeN`zn=cJ>d=
zH_~)gN|mM4m5Ouas|SR(!gQ(s4!hwUwO+~llspld4wcsh=G%i$`F%5+(*z)YZ;Pl6
zxhyZLC;qxWHl5FG5%von$7&CldNa2hx7lM7*)sm~p!S)GC+8Qj(r@d@{Ryr){$JhO
z_!+$oGY8tce2&etc5&&N=KGyX*Vk|pW9hth+B8GDM)D4SW`6yS)VPIG&JraYGLNp`
z8jqy?tO4#xzoimCR~Ym>4@wex!pgCxG0IS_M^M8OBdA^)?2!8Qo+@643Z_N!1qm@I
z(<HYeT{oomb63R9#&3HbJifl~A_O*<tKqvuh4q~6-w~FX0KnTG@x<A!ziJ^;QZ)=m
zjSoDBOmizuST=+vcB+spXbt?-jFV>Uh*0{yCfV_6JNCiuGv@5DysOXAT_2`pqqr)%
zbK10VR3#1>4zOXs-c9g<{^R889XOCf#h32^FhOnh&u3yJ^N+#fT%B*cxCsFpH@93D
zC?|nRpIjH>VGW4wRPVhu?5S%zy5?5>4fiU-XMh;Sb(r4j=#RuY;W^haq{6%3J9w<Y
zj)BN?T%REBpG8l?Jl0F*#kNnrAiXQRz<aZ)i?Gk-#A-|tT~d=6bq@GZtGHXGUp{B`
zDR76O;#2IYzoOc9hvM!M1!{4sigctgxS5ZC=qArnh$$M68)-ZCFs5HJYp(qf8Y;t{
zvqEiVTAHA@-hMZr?4jZ@Qk1swGK1qyh=k}U#GFgU*SFy#UK?hSDp`=#s52nA&9edb
zPRl9HZvBvMrsPtYd}1^tz>mHXAM`|z8x%Vq*3M3GjnJh0sl%d>B5lrpezG&y*Zqnw
zto7&qe<&aPmtvZgk&*Gg%Li=#gJPQPf2Ek7$<cB9E+2&6WPCvBA~-eDdix#9wk8X6
z#Nuu~BsgAbt4nzmttDG8Om6($0cZC23^>DcoR`uvqj!Z`Vcvm|mqVN9zmID(OlTwe
zr2gCI)BSpCw*RyZh-vq6yC1{O@bl36@_KiF5AtFPZ(F3<V0ZEQyP5SEb*C!Y23BF~
zqb#NTP&JmNm&@Cy<Qk!v*W2Yqj85IG_Vp0<*n~1!GB-(2c5447L$$K=ZZ5aG)3Y#1
zOH>KSQm!^`e)GHj8$^|+oT6SB&b0g=4pi~pSHSr@i;vvJh`HNaopuyjD&6N0R|Dp6
zTAk~rE;dJGNslZl>CuG?0J$+iN(raj-wYFqlNe5)Z}-(iVZTh1f9|V3IlS0a!LVg+
z4>AUYi>kT3lB?hDtMeJjp2hcNyT?`kKu}p+Xy^&G&sUo8+hb>X13*<D3sjrK@;3xN
zA4I#~_NynOuMc0xWo(wv`%gTNyM5d8N{WC`E&RYT1mEt4#S4+;JU_qtd+a7Csg;?<
zvnA|r|5ZOvfMnt=?0=J>t|O{7BLJzLBwAN7v=(;1QF0t1Al343OGCOJQy;K95NhM@
zx3L9Q%EH3z0RMq;|CCguB9)Unb0T1D^>H2STG3VXXmN*k)S774&KS*K=-J{X2x=4<
z<0hz}H<a$7L>HU=p_4#s7)=o8E7lfrXF1W$je&vfO@A|1;rNfnoq(UfiyQq|Hbx`4
zc?h;vi+}X=&w#av^&1zE`=HW`PgU!N+MQOm+qM|i<!ZG7-J(CV8hD2N9~RVS%%?ss
z*`g=t`B(BagQSHtE?O>xI>0p8UP{=Z##l3_0QMUO<?<gGRN}9{qymysjxWL@G9}8y
ztwd3P0J=D%!j$Q#U#X(S7b8B6vCvl~XUY0WIXk6uxqD|!6Pit_t*Ha^@B&V0jwioB
z?+FqWv;<AN1O_8D{(M;iZ|MdhdpsS`4wfft8>j%6L~GRm8D`qjX|d2TQ|m)&sXQA~
zyBf4criOM3R%wE$cIi;G{a+H4+PncFTj;_~;Sbhq--Mt<*ubr~lXdEDc!8X+EF1P{
ziW~G!UL6{e+c-8!z>7;`iZoQQz|{(Meg8YoQM4oT+Fzf~%bGtl!|DlwSWt`sl9$MY
zWFoqouoZ-=7XZ0y3d-A9`=?iqTFp4dJ~A%r5tUPumuTRt39B#gd1}pDj-pJW{FUP3
z6a^g&1?;WAyFhrg2@1?wMi6uJ7?k)*g2R!xLWEhPVzb~a3xi|H=OtTWu#=Cux${&)
z;Sk6s5W%n6n(OWRP`c{KmBjNZCN5w^!fMNMD+UrB#acs$ZyYXQ`wCEjA&qTz#oFUx
ztHKXblUASw0_oQfjyTK<Fp?2YUuMzDWzSXOST7QGl`BfzFT2w!G8&C~85V{4^I2<I
zixM_Z(YId>cjU}(p+)eKp883@u-atJxZ8N`vQ<KTOX8bK4q?78MN*Dy6U9WJMu4xz
zHKy_}PLELa#5Nu%DZT^p0RI2DLy(aS%r78ZMF(-%y=z1Q0JsH1MW-nuHfA@M)t5mR
zC%DX-uF`OIx7=*;qaWY$(#*rNGM8K;O4=W-=&%C7xP$NjZT8IWBi}#Fa}vxL4o6;;
z84+F%-wui`Ukqi%v5LzFy{bR&p75Q5&xq#c7R@H*vcq$DAVYq+nb*vGEnsDF_R}(L
zm;MQU;hYb$C1sz-H3?Y)u+LA8Fkb0bIn*0$0ILqw_cwnVyL~?;SwMcuIr%r~Q>{Dj
z?np8lOH=B<b;{0&5err@PisyDla!znLP}r)@oEuU#WSmn82~xg2?Y`zq=VRBEdS8&
zyxoWfPvNv>?8$*wr*nOe0KTw}7-*hn^)M*FjsRX#M-6(#x`;NeL+uM}2QT=8pL7C?
z>kaRlI;aQl$Pvg}eakA^LN}fqP!D08nc_V)#cTGPU2M9MjwJb#=zg`Ny+B0Vs%wrq
zrTL!9MO6mV*V*Z>T;FA}KGc}-i9=32xLA`$^c8V-J3fS_%bEj~+kz1yQI*#va}|Q{
za;0Hd4bp#gBbEqUvt<dmtNXVil3Oi{2C#4IRZ$^JIU*6(WQwhjc?Kv4K7t8F6E`qE
zBFNv^8Ow=b`1$TpF~Dv=WU#W8Rq=|llA5@SB(Q)hwqj`15V$x?4sL5{u&Q{#JgvCH
zH(<8|3%1ym>(&mqf<B*j{Gu<^`7bfuPQzE&qh|n0TY$wGk#LxMY_-pH4fTbjpL%6W
ztk86duN53XmwuLTd2*GrlBFua33qwTa!*@~r4@_a&EL}FXDzpg2C6lhkUMXm>PD$`
zWUrlh`l0pYda}%NVutl(i5OcCnKoZ%A8higCsu#_9&l#7u35Te44eH0P^mmQzqy%-
z3E%)nN#+Eq7Bt<kKr8(K3(_99e@PSr!cj#SQ0EjZEjKcYt|%@Cx1A|?(zy$4k7ROs
zA&*>Gy)qQNpyh$Q;_vPSixh%|WXz>6Y}1YusQ0O4iEI{b>Sr+pW@c^K!_p74ZX9v!
zOg&!u(9#H_BQdOsTT`h*h^$WdU){$RcUL`SOK9}TRNG6&$!<T{PmBt{^H8B9iipMv
zk=6iQCWT;PvMdS{N>aCrf&yS3qA-^G078ggrbX>Ha^x?qUu`^iI_aAYv$66@g4l8D
zrx0=Zq9jY&F)3ne%-kFsPOA1c#!7vu8BK+sB};eAJ7UjN1XUdCX%Rms;fKY|orrW3
z_^u8OFUTT^Zo7ITRApDeO=)LZYpuz%s{=S~IQr2&#%eUVB{TH9Sb>nS_r8`X^=2X|
zI@j+rqc~Mon4H$@uGd_JsS&x2ul}6IYKO`DTbVyv;c8|rLv13|ShMuE3Q=pp*XM{)
zmnbb=?7NURr8vv{_3!mqbh(>hK^682aF#h4|9<@*F^k`*_V;6nRhF6A-!=4Z-f!FI
zRRZmZtjok02+Yl``469yZ7UKLzwl$pYMdauotY)%!imW&A6UA=1IT7zUItaaC0`@n
z233;?t%kkKRT7!)B$d$}bN#pRg!O~#b+UKfi($?ccg@6e<j}n>#*Vxlx&cQ6_gLxd
zf|S+4RZi;4!7ZxB<1(y<Mp%_#h8boVrgRK7XJC^uFgDUg1zOU-u`(Q+SX4_50RHa^
zUL;A$L3`rwWE@SJg$yb98J<FpEA4@-eN(I#ctzyFiV~Z52qpKAlpPh&7?cb#Z$od>
zJDFb%9E%qPwnx$Q;`I2g^{X>2t2EM6fcIQ~EetufblWO#>eQSXQnOHI1W6XQ089M2
z^fd@bp~jdPQGUw%<0`RYV-n{FX=_z}iPlOmW9m{8EftVPG^Iuj8Kwj}$`(Goavr;h
z2Zk3o1{oAoGereZI4ThDOYXPLW_Czv0Q7jqj}4$9!8V{F7A8kit7`v&()El|XDz?U
z%P}SNZa~|M{87?fcWmw2%(1HU1e7$_eY$JgrQnrmm0vpM644)EtxqgZIIS4oU_4OO
zAjE<(|K&X)Nbb<DXU1Gw5j)Y#1wHloJ$}d!@W=F;YW+Dq*1OPi+-j$Xe9*5!LADQY
zOC0V~|2}<y_8I-ATxV35`H_wjhEq@1pBaQNKnH9{`wwS4FUZ7Rw=~mb4*8bK>p|61
z{^iO}Sgit3v?>laM&^ZIwKn;s(SoI*F!*I=B%!7UM-~$Gre0jpcbv3|izatpo8335
zl9~fsty;8R1}`rV&%8D=z|xuc9?HgODe1(C<t@veAvQAo88Ni#XmmC2@vKTcYHbIu
zfk3!-8tvn-_7<F6Thh=q*v<l{=-Ta~!!z13CPCK>^_wuGM@y`g_MU+)K+-!7@EA>>
zyU9nAnWubLSGgm1Z9EH+fi+hh9vQz4+*%!%b#T=)L<Z(rz2*kw<lN|Y>6Y~9TZ&X$
zuNRbs-L$7a!Kd2@bobz(r!PK?yfhy_?YeVBYEQK2@wchcb|y&at+n*chRxNz8$vh>
zlDy%3kF0e5Sg9JZUk2i9!SC0@`dYID4}lKIq3lHWbssdhVDnGtTj<Y&b8q|Tnihf)
z4r?+rO$zTy?FmVEb)mDfvRP=AnJf|lr&?3KX+S69s+bG{Psq~Aj_KZ^W${`5u*T&Y
zo_NjfQUVi@vkn`XvT~t?V$Cti&z|exDv)k&Dsqy4Mq)Z?y2<I}!X*5Ozu~&TgN5#T
zEJV@H96H=t-=2$)wFXd%+oNS7wrmTWv9fzTwO{Wv-6KCx^eRI?K~6ie3YTid0*-74
zs{+msm#W7C;*67$$!Ni7<kDf}ZQWcy7Eyy@)gf36rny&~a`rDxod!xO{kb1V(T@mQ
zaGItG9*l{_>3jq#7_EKi36AbKgU2p*udr+3Xi@SOPX<!p*t+U$poJZm3OsoacU`$M
zCo7ZxGRTUu!lCGqJ3!!UWC_B8K$A;;!(f~uXO^`61OfH+7_VpBV9zd@w!4TVl*Y=J
zg7%j{=Wn#5SrbenUaNZlSWZ*)iSiEDDdg(l)fgt^Q{e=lM67Jf1+<cjNy)~dW3R!i
z1%G_2np5>XVA?vQ`Ux~+9Y*5{F=2iEVG7jPRW@}wQSw;P(SO>jkS;MJM44$0w!&DH
z@RzZvOv?0qCRK(8s(jf&J3R8?{SuS~`zR~W+V!PNhrMssh>LQr;wonMI#$epHnE~d
z?das5ue~oH<_B+2&e(bSu`4zbo}39>(o5ej8!d7|Un%_Mq$T6z*uWu)b4|w(l_O`(
zB(|6K$Z9e~w&nX3%`uVHAuqL@wiT_W>8AUY>YqeY#mAmIo>KQK9#-X9zn87IkGfsd
zo*}vIWL8^_oNYMlbfk{wwA?fe00^~s2Od^yemXnlNW?OPVc?^Ut%4CD%M@AJW({J>
zT4_5jk0=XS`%8d$Rv5I92lQrlVU&(__8oc1>T<zNowb~P;>6!OhyKzAvk!|0j|nwr
z0qSg&<}ubfRyHE6wFySs)-fE7@Vu`9tQAh_;tABa>o6E@KPpItf7Rmcw-!s;{Z%(Q
zRPvY#zet@iAoMoM`0$XJ%RbZ6!wtdKdRNOYZu_esjm73wLI%CV?RDp%x9fqksuh4k
z>emA(9Qp+;5@C57{mfe2($=reBYW=bDHQPR1?a7tvpc5oXm1}bJzU>vn4-<hvTt1I
zE;fNzJZ~K{nZc5rKvN}6l3xfDIe7AJOgwW@gmi?>v^YB@bBvlnyKLGDWD#m*tmrsG
z$IfhXge}i%idpZpvJG(Y8{ZO~*N@b(RggqDL@$3#afD6Pag6d<CT(*MIIY?Gwnlp9
z@UpnLdlUW1<aowT-=|QT>U@2SQet0c+QUvaSij7E=zt7S9Xb#Z#h|Yu)o*EwEE>W;
zqxpp4*Xw5*cTo!tP+48~QF9@zVJXN7l-CWd0pzpH8AyB|!IW|UZkc=SYwJU_<=v^%
zYPzM*I!EmJjLOSGCIh)UH#HtlfCJ8~-5vR-#kPVHx3UVg^n5<Ia$36^#r2`EZJp#g
zec}=i-&u`ATi=%hm;HN{;6y>s7_Omj-T7l=T2kN1JJ?fCpR8p2vnD6rC8#JD-nssp
z8}e$M3%9i@F(DUT<1+tvY?w6*(j5@unV_h1naTZ=mb=Zdt^e>`8rv#qk++&^ig;1=
zIDJYv=`Y|!(E(5J-XOE*_p3|R-al(GT0T(lkVL?ep&}JI>wl*3x*BE~^>LsOX`GD=
z;aCt94)~?}O9OCCy|hqRy?Ie98iaJQS)Y!jOH?CgzB)9Au7&YpR^{aYP(B{yJ1$8}
zlGC7FI9=uPZpp#hpsH&O?seFxvkKEc-8aLr#J2*}ilNp6*nDQ5y*k$`g&J<2orBN{
z*S_BdU=%LnF3(gg(CbQ{oz>7;OvI}w4d7)dF6V_P&{r8PC3T2Vsy2yGR>Pw+s~S+w
zLU3D3%`Uh;w0(bKfM=W@?5vHoIoOnme8T$G4Xnq~2Z`~fWvO`P6je;$^(cHw;qY!#
zhG7ujLqRW+-joh91D9OGoQd@Jrbs)h5rs*ulGujZw;I_JM@(>ut!nhbAHQn!stlAo
zdZyY?`iNgV-Z!4XL;>g(dnhAB2MReQR?1cn@Dq$bi544yEIVJ|2-|i>XUAb~k5j^5
z50YJG=s(X=AFp~`X6jPE&(8+|aEyI3pU6g{8?HY3Ci0`raweuG^S$OK@hn1MSxee#
z5N*tMHE@F4I2dLZbkspQ+xYe-@wHOY(e+H~Qpai#Ts3t~Oi+!hDFdLD-;L};RbOUi
z7!;QaHlYilLg<4i3N&m)OY!}zGnm>Q*V_GvQD6MHYEIa&FClWZJ<Zs^&JtRE=EyEF
zym*;fnk%K0x+|TkUcz$2SmgIohbmLkMC7$=4@lHkJ!sEi-quo!erJi5;6_C6$K*$*
zS!1Ls6K#=cE`bs8eK3~Qgm(<-{iUt8qprJs=wzJT1z@u$O{n{@;BqS0Ue~=~bf?+z
zH;=$8(9q3Bu=7o?JW938_115`G`^F4+L-c{(e1O4uhZ2H^G>a>^075ngv5fvo+8wt
z`>*V4sn2KI+$Q$<Q4WaEHToDyiy=calwR)|V(%ubj9uj18i-@JV6&=#S4b#364LZ1
zqWyj1rn~3}nJu6RP-$VCyG*oUsU>Spu~@u(GT7}xvQ>8b2Ot)<PTIaW^d5Js5NbS4
z7cUb@ylw16RnnPVORMooWhuVb?QcIsw@IrPD}moVegI%ApM~HZ4a#*tH?X)aU@MEY
zls;FDvTWwrZ?2S_&Pt$^P%<~OOI`}QU=O)`=z}0orpsyPTVAFjxLi*Wg${E-Z}|$e
z66I_(qb68qNwz}iGe>gLAQ{%r?+bt}jZ$yFqMqFX-X<!Tz|1p`jD5q!GALT~@ywDv
z70Hi2<Y35)F0KFa=}ZGg9ww?02tj04Qnz4Ze`F*_hrdC}fNwUNex1$e0Y6WSG5-Hk
zo&QN-{$Hgu%m2r_XZs(N(ro`brL?w263z&+@9v+tbhc+sL7DBa-QWRCY;4dAB!oXf
z3xWLwNAoZYe|I#tUA#2_Jku-U?1TIBL7rBPTuqHkecY9ueO%!h-;AlWzkZ%>kE3Dx
ze=ffyadLHh+(^^#5nubt+``!G!U^z-Gg=(Z?e9mHJ&U-<Pmc+f2gF#!MEJIKe3*DT
zR9#~g<#zS>P@2#-sQG-lbg2upJ5_yI1;WnmnX7xA7i8&c@hy%_k(VDR;HiJse2vZE
zM|6;=8paY$4sVZgwCjrpW@#Nf%XP|Ktedlay6hW<l!*Pk)|~!k-N$)7za?acDDIGj
zMzDMG^oW2dY8-HUdLaK}-9NA^q;o)jJ`ssV6SkjSINN-)?xp8WW&fo(W%WwTs8PN3
zNiyP`ecuc{BGF>Y`#Mw&(9b;RRau$i&Yt=GX?C?nzESr-i>Kn!I7UxD3$xqoYI)lg
zouI<-Ek1WTh*T0ShX%s-ECOz7s~<d7k8V!X@O3gY)C+5qWy_Yui&IwsS)7UCbHy-@
zt&6zal!3>>$}YneQc{ibSL><GVeDexr2F46#{c0<k$jWx9e8w2?Z6b|+S=$B^_Ye!
zj95u2QxX9_od_h+HLJ4jYLBETYE7#Iwzxmh)yS}!Go!jNjpbQS+c8OMM4~NNMlQ!Q
z3a1RCFp0y(`WBkyyP25ia-*8ybEBTqhPBzrb5st+Nb(Ry-_C52|D`v}SJ}{gw2FdA
zt7L`-63Km9ro%#~tOK7NJ$1$G>MoYPN%x{7X#x8Y7AK~PRC#MzRT<(J@Z!%*GyjsC
z4KQ*LswTe#+c^sDU5wQ^g%F{19nNfPi_&{Y%)TfCl2Z@=K%=q5polFQqRK*ga&HLZ
z{=}G7ZxkO3@v>_9PHq|`=1-QEoo%4HcY;q;YBtrHFfbF!yWzR{4)l+N8!x32G|9&6
zk4&@oF#!Rp^o4VIyrT4z#qju3fG3exW+#b_70e4KXqCY+u+a3yiYBk2m1jb*Rho^@
zVJhyR6tCzCwloDv53Rv?1i~`5Fy+U<#g6%U`XDe&g!BDTevGKW#QNz`d?!7t3Gyiv
zVPtzCM3}@i%iMsG@P>Lwg)O$d+_Q|GUI#c-5yv=2N1U3;h2CkQ$j|>IXt71~FF=Y^
z+HMOMcAp&UZK(wq-R~&Gj;P7eH%BDY%F!{Gh{Y<Lgp`t$(lx8@Ji_*nXz-r=(u9<t
zoJ*X;bUeqPwesxvu;m`uOoNp+mwa=?Vf+y~f^u4%J@JCbcB8ZXah!xEG!8~tU*=6m
z%C32u?Q#UUHTcK|&vv7@K_LMf{o>W$D<u3LojAweryhe+GeLe9ef(U_gH74Xn;TW4
z=PClpQmv`DNa&yth}vMj53raa5c%PmC=<P0PWk)QdYS3SchAG>OT6A#Ih$9L<WJ^x
zHm~%mLTU3I;KJn->R@FpJ<Vmn1hpm}3p|$Eg&^XlZ%dVn>gDzAhkyh~?cyy{k#8>O
z1-^v9gHa{SDKaGm6@H7>AT!5PwxGBMyTQsK=TSFu^Acp@u{Uj_@-8)DU^tW(TyQwk
z9Z)5Tcpw_I$~8lC`g(M}Cy5th0-nUI?5$-@RWArjlgUJ-0w?`^U?ldFrgvOLh2-{w
zYH;m6B3V4){>S||XnCY;_fnGxDY%gSC1kTQ3>eksvHD^f4p8wEpf3ye(^f*Y<sOD;
z_~}R?L7uc0bO<F4%RtI-wDS-(#pNfaS<b!R=o|FtBOI<SO8F<SAou}zDEu_`jxJ%i
zcI|Spa^GBJBkts@xi2%c2%Dmyx){WVV8S1&KN8he7v=m0XRwiOO}f-#?70dGDA%qN
z3kp<9+=bPGE*Y&*%?!4w6A;j6)%2tI&bS7ncHLPyf){$ZxE{3{746WXxmK)HrnCts
znK6~oK+Ed25|0O$!Lww+Z{8S+pi8f*9>qXK)}HF(pHNJQR}Y2^9>)Cqny`*ObbAh-
zU77UbtHF&1z(PoqC8GdRrUV)y$Ard7H~^efo1&d0bWIW8WYtArYQX*@jR#AT44bqv
zx#Jm?$alafI$R_eRi)czPfc)$<w!F{{d;>dSfydPnhE4`>tb;%B5A^aKP)EbsWLI7
zDSiT%MBYMaqVvluwJ$dT*UmV#&fJlmZ91k&{)ZKY07^%`;em@xY=Bb>Z0JhD@7hHN
zSDK6QHpZ<QWge7YTQ<tL|F6~a@7kDCU^fqDYDP+6Uh@wE=k(9OIktg<sf)8OmCXmu
zg|&w`g3L}pq(7>%=ONGm;*;s5eX+pd;_n3VmB;s>1PKvQl`D|?s3&P2WsgA${L!{4
z+jP_}b?fQZr|P+}zTMeNudc=M3^p}36B*3)g;43;s?@fN&jPtg<-9X@jwIswSdB12
zjF?ZwK$vtT1%@E<SdN&MOwFXS^0t2z$z7*iK?GV0AE|wj0-wNkkuus<a71yVSWj@H
zSFB$!Y+afX8b}(aEni<R0arRba$J>|rKXI#>M0!A92fp5jwf(W#=?1L%Y5@-KQw4a
zY)EFRt_()h3_cV{gp`uM77k%lsJ?fGwoF8s?jF}7j@OZoE&xmjSHx&YBlbH4Z#Q&_
zgO05vm7k{)fK{e&h@(>t-MiOz$Hbp>O~J_)Vd;#ARsmu5gR-tPeg)4a4)_M8EX*T~
zA*S1*f+72}`P%~Pk+&X1-{+vDF2l9SE%THnMlVmmv?nV~h&n68l5Rqk`kj4kh{p>R
z%ymrWp~%$Z?8;Lo*1i}%s;Q;S41YV}3CeUC)RiD#*fVisj!}KcG2ZU=QIQJTrP(F^
zB{Qd_n_a5$th)c9>d>B17^6$trVe;V8yFPwMgu^<R!`T)@S-R2X5Qb=m*m{wFW^UZ
zMl`_wN2}}@$qAO>#vikizpSrD3N_dyi{zavMWY!(c5FXM!c~`xG-@97lwFtU=LYXQ
zqqgZNu4ilzsgZZV(T$CUaRJvaGgBMuL!T9Ax$_~$saj?8i<C-rry>xiI^~gkY&3Q6
z0xV{SN#2^}BXtN$=~5%PIKXi&p;Z|_<{}-Y0D5o<@qKM#Ku;XBDlHCpS9!eMU;%Zs
zgqlsCM4Q4msMwzXf!L8#1^l|H)2gjKaFI*JB@_iN?xr6tCWpYvBSwq9?Sn<$!YXoe
z(J8&Dh8mb_<w|BMy)uS>{s=@!9d!}js_w&9V_Qcz&W6x8evzK*O1&^hZIx3K|8}9D
zH85W-!t`3Ua|)~6QM^Y<@V9x(zku3ki3mLi5$KXdT;;y7P@e8&mh<+9ffu;nf-IM`
z2C5ub<40uB)ydZ=p>Ur+SWMdTl$1p#m}x|aUg~yKO`Lk-l-wzuM_CMg)DXg&<d(l@
zO|T@uPOX4oN<LFwfSs0-#x~P6#A5pExBQ-2wF7BeZ2%nJ<~nu|u1U?Mj?kC6^Cc_c
ztx#ig{E#~ubg_R-imA+8heLr(Bo($-zh%OLh!7Gk5+$f?C`3A_uMjS2UM`GDeJMXa
zlQ1CPh(<AWv49bGf9zB=5aHjiC>v!-eSmz7E`b~r21Xk{1;$e+TPw)0hMQREPm^>Y
zT(YH3d>Y#@i!`PFdtkFisqQpUE>5A4&vlaZ4aWL9ckT)=QM<*eKF25X*$<S9lYa|F
zFq1M6-<m%{mn<X4&6YZZ;*T;M+&<N&8aQ#$XJ(<B`^bC+ip#$i*`eF;bSa!&SI1v=
zbWSrJ)(cC9AyyW{A9>P6L8@qCrC<ddGh{GluzWPL=-*?tUV<JU!+OUyWCaK!gZH7T
z3i;MtJ)t18tLi-B1gII{hgq=%s(GPTW^)sJBT$J+BA)&>|D15%@-GV_P;a<MZBH^S
zB0Clte>b5Lg`EzILHEBXI!VlJ>pxF3lM`-hp|ikpS2YI~=pUUxr^Q_Cu%CJNWa5eQ
z$|LP$6(4mwDTNPD_VTk7MbjrxIXoycy*=HbxJgu~Ox+0oq%W1v3&N;C3;btU&{tM0
z7A(;qYsG}`WomKJZx7C+Qu>LBUI9V!V<H=hEyV@*=dsRj_&Z)SJFFWfrr9nqoWmFn
zH3Twa!xp*xkX`!bTTgl=Yr?~Ek@KZ_GZ4Fk3x1d;xSM+$^N*NHH%MmR{PQi~82oZh
zxAy)Q0P)p?D$z<fKQKMU6W#*YmG&V>96!fnuNd=6Pn`B1GB)SN9n{|T>o_=GK=<?H
zaNdnggCyiVMKuYZ9sSqU64&?-#h$cR@lbZIo{OyT1s60uy(pVL(U%{ln={3+ZghRA
zt#{MIjy4Oof$wFmNEl(aDWlmpo@aU^)XDN;wtbKtWe<SQDIyC}K8N|<3|GhmIAj(H
zY6qv1tx==~#YfBuqy`0-|JQQFhHsR`&71;WSBq+RqCS<#WM&Zv$AA+eJPNH=)Sr<!
z5W=IMDfz9txx#TEg-72fMAa-E-s+sd&uH)cnm@KE8mGbMBiQcZh<V$ta;%@|rr4&`
zVyy#XH-BrjVVgal<b6C#+B^bbIEyIw!2=TqIsaYk2MQKkSsDOv*b!fLyToqnoDn(G
z$J0mFTOuAk>5_NuW_=C?Qz|TU^a!`q)bCglgLK{Dg|-6R`ay)iu+E<2;qus-7rW~~
z9YWU!Gaq|wLJuNuJeaAzJ3CJxw8Hj-E<FAaidwq$C-GhX`PsBjNk^WVJGK71sR2Jt
zLN3g8ALt<S9t8u>#*WwMI^`ZGoxaefKCW%kuz5b_j>rdg4iAtsYOBysp>FC$;u|IL
zU3cQAxLZ2$xbD9fTqLC1k*2?YnnV=@B5uO1!IE$}?lZRzlo8cckAnp38RxqJvt@Xk
z_j)G|uhVA4>5Y2l&Jkw0AK28O4J6}=r?>%EW1$gc9&`~!N@j927XR7}1zIWh6c+*l
ztwdNv>i4e<YIXopS|AhV+h_~%^tu6nGwcK@BsB|_IWQOo=B`0mRC~E&K@yL?gJA;S
zAmok?gx`*S_`Q@!S;?gWOkD@B-w)g3K@X)_1!UA_YxD}F^X3W|%HH6|={(*&_Jr_v
zI6NHv;*c7Am&GWS^O^+M?537qd9M4B6s|<Qn!Y?%ZotX1pC<}#kl39YV}Q%xjZp)v
zx1>Nuwz39L(f3+zPGUi8NQ+U*5b0?*S*t;t=wi^0PrFp?n@`2-Tqb1}Tt-#wW;Y+6
zu*>P<xcw{5m(JNCgAEH8&um6+aT!c1q8+c75HL?42lAdRh*lE}5~dK=QpdHp^!vM_
zqwi<A^rN3cB`WjbH%~X%v8jyUnzW7+<RYmBUJlOt#nXv_8n!J3U3+gMau2!R^^Pn$
ztwwcK)L0wB$A{P|0&9t<Eo0`J^i{L)XHmi>m$MM02(VPz#%Th~l}*6PAyA=&)~hDR
zA{co3>%a;#9g3`OrX;kw!bw}oohU$ul*%i>Wr1UL*RGT>$y-M<|DDJ>GrgJ5SaoTD
zV@-^+jU+ha@vUUVRcb^}H|>0qoACwjT`^8Bbs?ck-cgmtcKwFuBnHW$;t0neoR&;%
zH7~cgDH;8aJADQTecpk49dsaMDY@}dL!(p5?eZGqoX3f$2aS}H?zl3Ps4{rLOG@z<
z!-$|kYsl`UP*nyXf6YYIX2S~;8E7N<Qj%J9UPwiHxNAriiG(|bu$OeJR*7YrlYlqI
zp%PPdTrRqjkvmJONt9noNlDL(S2Zo!EP@>zkoVNaLM2}exGdj8s{IAd00$cWAMz&u
zB@tu(#mMyE5;6AwK_bTfzmkYu<!Ggmvbl`ya=jvbx^q%1C0{GLH+Fy@>;dKM(d-%g
z(KkByIsJf1zRRnnvXOSa$dSE~dCLroZnY1<%GvO<usds0Azgk2TybY?c6`-+J_FL&
zc3(YuKR@<Oznfz@Hq+O!&8Iv$y&Ye7M6}78+ob!2ogF{dCf|jvOq4R~OB*UzD)g_j
zqnSF{zV0Ris?nU@UN2TT1J{XCfZBx_*QPq(CiCm%-I-g<T1m1bdrz;|v32&bq1>Eh
z0}E;U?$%$hXlw=+ITN~4O+=GPMlX5$zaW=9VK*FX9vE_e8`Pnl{H6SEY;(Kifa#up
zcbS=H*2olKRi{WfmfZ+}8-cEIf4&n9jUHZ|1!)e+sXQQ}|13Ggk*hm5!@tD2KXZCH
zzKn7i$nGs)ZRIWNu2{TGPnXT3RfBf=zM0b9<dJ#ts9R^6k6VTx3A1=8R%NZn)SB=6
zVQgVUNlBY?AM~D;x4lMrvT4`v$JZH98(cfnMtK5;;Ol`Z?U*#u_bmq;iOD+#YN;@F
zUdC>*1o9)3BW<}$X=%&|jA(=A1la&<DJSU!qSLU<iK0cdol-80(S=Y8b$4p&kO6-1
zYJymWl)CtYYmuYZ?XLC9(2k^}O^$9@$;LZBfind0iFJxx(i<h?A|*dmjL@vDU_9Mc
zy+(;&551g3iQkJ-tYkZ(9Mq?3n8=l)6vX?$W|o>9Lh0#NFO3JN?#NZ$a)_b^g*bq{
zX+II>=+YHxmk04bUAU!wA?zFhDQHDG`6oP#EaFj&t{f&$pGi^qIEcwOIkYBSy3@$B
zO^>h(l-XiG?PHOVk<c&oRM66ly2mLb5cG>@<(;sjQN~IxopWmwkawxL`KcXK{=}UA
zt42;~rr*D+u^>RHp4>BjpdC6jm7b5%!;Vit^jmf7c{SaB;w1)U-K0$J;sh+oOxu*{
zd}U>o%*#Cf^jZ}UzywJrU>pg{V4?_Wpn02QjgKCFykHDQ>?J86D_V2NU-Nx;7mf}_
zLRQ0{%zR4`_5{3^^cbObIkc?&Tj*+)0#smYQlm;_mE%%y)-gY8diP6v09Z{>SLdaO
z%W-3jTB|zm*B_G2iRu1{_mZVesDV}_NFs(40DY=L5{~d;>13oBl+2&>Zhg}7oE&Ni
z?W0@yla;$QHP&w-nsv2SXuK4X!(XD(nv6)d-&tr8$aTrWzq3dMK%D=EUFD;pdth48
z9_06&I_SYn|Hf}wqR{wbR;wXs$&m($7ifmRQ_Vt@%tkLnhkA|~@`F|wDiUK#-YveJ
zblMWd{$jO^Wiw;yk~Phn@+7WqBn<=a1;tbxKCez9x@zFXwBbT&UA#*7muVpIf$a@n
zXUE?%Jz5}_Q4w>Qs3kZ{)=obka^)6#d)M!3+3@r|?T6LXr25v`NsA#P%iqL6Jp%+a
z&Eh%mOlQ%zdzQSZT6l0XfepR$sGs$o*MU-%r##B)Wa{I_gck$45l#cTugA|?kO{=P
zHIc{WvY}a@+bzuebXzykVKER9<c)W8S6ChZ1ceS3O0+cNk9s);Z*qG_Fv|;0*pKJT
zrf0uuUg{42_ivdMVHU||uz*45C&AW>WOxFIua_tZJSwr#6D;!I!4hgIEqok&dMPWJ
zPE3fn_ixv_f^l}I_45PLMY@OAMZ)#;8D~ceiUBNmPu=0As$V%eN)%b$aklunX1#P?
z-7S>;df%`)+)+@uAttb1q!d20i-V&0j@MFW3A17mp{A3Dvrn5jD#iOEj;D`Hf=}ns
z6e8=xmqe9bUI2=Nh{lltw)RCe-XyyF-P@0?WVIQx;2ASUSaCsv{th=xd%c|3%F}ip
ze>VeH50v$>GuudZxBaO54i*L3Q#&p)5ulGDB4Q!4_c2(M*=_d_!3u0Tmi)v#0=eHM
z5UDujA+U)^LOS~0$x=Vm9Vr||x<gPDdtT93v>QxDA=bX_nzuBxADGfkmO5Nc?i!Nh
z0SDnfqK*<}8Fxg^D0k9F(J-=(S<)AsyM!7UY14RVWt!JtWm0E!#_l91l(aJw{G$lw
zW|0;WV3?_SfW+Jnr5fC|Y*|_^D*y&7fd(ffL>KwP(c+D^(1sP}Ip>89$;DyWSEcwN
zr+Ky8=^)auQ#C#6a5R=H?`&7Ub|74?7pamC{L^we8Tv(zOI1?G0t|a3Hilu8$q~}B
z1hwrtCLlb3E1B0+vvSI{#+ze0(SolBCE-<#lyYZUV5!DIZ16}5q;F<VF#2}&+%<Z|
zgK+a{cRw3haKz~y#RMMBteUp6BlQ<znGab-5j|s&P>7;Ah>;g15tan2+d25jhy+jz
zGZv97ysNi(WwE?)EyNr3;}n?+N{Kt7uv%ySENqCbh;J5E-!eF9kgEe=Ak>MEDAk7|
z<5@f<Vpz<6O!QivJ7(XL!T!BLqe5`JnW>rms~zT;TflPH)tEAa?;Fc?C$G*BnXDji
znh=8{gcyO!pjb)#RNsl`wMGOGw?f`;a(e@R5kDB$c$<;T>!jdxi;|^F-PsyI;TTQd
z#0}+ib6MeC%+wb3ZFz-DYpl0r?{fBYk8cBNwc73sr=;xB(Hw|`;j{@?J^Xh0dd=Vm
zvk$$ILTX6_Z9$TC3SQ1mX?J`)6Z<)k5|TPt&K^zehFdG%2$ZhKv|suCk<_g-K!6QV
zZHdjI_C_Q=QW)))KwxbN4;bwRqTv)>#i11ChdRRsV$umQ8=?(ilpa4I@-DZDLVB7Q
z3Z!)PNp=GM3DX$h$YE$>%4Otybsa=`>x6`omT><K$Q{gl&GP<8>L)=H3A5n)zExYL
zH~S--oiVv}u=(7L!tkw;EkZkF{$q22EHH6u4*4p95i`tADOzAW^A1yV{%LoHGXLm4
z7$zEZeKAT*!X}I@q3HVQ`2|heaO6}-U{Ey}0L_Y!dOl<{k{b@apxn@ue?A($U|jnR
znQi)Qqsg|3dH$y2rvFOr%x67WS%-St`Uc^OSe(b8u30}tPAoU_6=or{6sos^rBG&k
zD}q!oPifSOuF(YDXl>UVSswb7#fyha9rel-IVCN6V%rZ`mo%_Z5N+AmhOoydq-Hx*
zgmPCuEn6^*OXOon4ln_r6gZkIFsS-Ne%fJ?ao3s=AjXneKG1f53mmeT-~CXlz(FLx
z1e+6~F>xn!jO%Rz!#7xogf{R(AdF=J+F;C@13=8h+~BP0$c94n6$ZbfiPqijO4ETR
z$6P|i2S#UpXI^uH;a}58Tta%^*o>jVK!ZfH5`~jD1{etwI!t5Ma1y~(T`-2wqL?h9
zCs2BY>E%y$t@Vc<A{R98(zj~wANV%3HIW}g&^oOB24i$(U!XY5z(c5Ewj_nLBrK>7
zac4->sK>$GFxd0SjlLaAK&ul%r!)<xL_=-hjO-dV1Pn9OSb(K6^T1ShVvmGxnyY`K
zu_@(%X;g#z7o{xw6C)3=8dnU+W=(i7m+@|_!$&?T)YuzY@FVMd>q(|(MLLS#+FAsk
z-j|U=Aorzz;)2nyuQ9qOu#RRZ;xt5!Jg$vEM3`y@h1dEp=<=)id6f(YWl!PHT7zw0
zj(z-^(>G&nxMFN6*m6XqOLoet@auw{Cwfpaxb$-m+DszO*B*nf+oAA<iuu7C%${yW
zMvX{hI1!);?^W9mNgYS+X~i^+L`=erj-wKr#GoT0m^y8}BuVzemE>8T!WtE4xiukV
z@reS~pi;N3hX7V<00_2(8yr>xxlx|JqCuW2k><k~IBiBE@S+cForGp2X5bfOzi>nS
z9i|@+%CL})Fw$RR(6E=WgY<CCZ)a)MRp9)3adBlWVUTN~KNUzwh<V|l%2_(_U1eHG
z15P{T3D30P)wv(0JWtFGQMfTb0wuoPZ2T#M|NV`sL`YiQdKk-+39C{R<CG~2DkQ@&
zjg&egGzn8r3sT}L&%~~3!%boOmaEaqm@5I*4me%u15sl1Q;n7cw`>(b1*2vE;uIBZ
z5fF~NaIdgLNv|KYSz~p=$R62i#V+iH=0$#RA|$c<#VTPGDCeFOhEZVTEhC|YvcWq1
z3qPsGLt<#sPTHQvH7dK0i&WK!%C@7u-`Kw=tlpXiSfuCi=9JC0x??{2AgC$D3xPwT
z_V9BDg#(I?Pog&t`4MM!yfH+yD${=Pca%YGmGM{D6wUq)rR)*kQ3fizIS@Eo+yf@N
zo@hAYJIX{TH|xAzx-<1Q62_tGH6xH`i+>ui6FR_s59vYZs*C+#S`_^ybY${(C@(MS
zteGO}iPR`^y`R788*f!3emY#fRXBuhiSHTEqX>9=Jk-+DaLB_}7z%~1%Q`}Q{K42&
zbI$lx%dc74K0=nKQ8$v$ekmZ73GSJk3C)Z<qcdM`BO15D8YsGf2M#aI4zLC{|DKBG
z#ofR188P^WB9WLqCE%GA7rZzZCIkBU6-R+@#aOMP`AB4uBr0NGhR!`d45FmJ>x_qU
zynkFj82xa=H1il7#vP*bw_&&Q6}NhS0!tw*S$bF4&1D~6pnk8|g{GVYd+7`UX*iIx
zVXMGlB(NZqq^NeBiC*0X062mgi{Th%o=9xeNmD*NbhtgyNu|9|G(y{lL@e7`3QxZ<
zO-d?E(aC&*r*T$<hOlO6Ov({tPjzib9_x6GVwUj3b*~Q)kKPc}8gHXZyC;6?j*I~j
zT~QDLT&NQgsQ(PGGX6iBQt?y2jqq{HX~4{-U)jrr9i8?OaHP-=6(vRGA4%K<Mp}RB
zXl}+RV{Zl(2U;Cb{wm+t^^~<4#y$CQVUfNFt4Z0iaGyKd=!nEnp3La4zrkz;Cru)o
zFIj;wL9+BXK8&8Bq8SA*lT(i^1ZeX~eVpL^ZD7af@bP;FNS@MqH^l$nP?y{L+o}a&
z?X&zmp9+j^aGQ!&mw1tS4K0)i?v$Ju!SEd{2kUK2V^>&FzW)%Zbnwdr3S*7FKcix9
zAY(NYVNu!=1u>eBSD5Ddvou!81vE_~sgXXR0|<+x3mCfUDk!X$@sc?$k@{1E>-KhQ
zAHI!_g#fQXZu=PG--5)vLpi+Wz^V%ZioJs*?qwJkYajS?AP#RIyC6e1{wOq~V|!#=
z3XOVU%8c=age_9Y4AW$D8DjS0GX;D1aGVYfAXtcg!XwK5fk-5r?{R`-_M<0HsOAUq
zG=>R3lC1IjsD0oDm|pThI2lc?7%J1a`vR75`ely~Fqt3U!W(U!^Zb4^-5s04IGNbZ
zvM%G&_wK~fo@oTkzH&H4#?(3~fW;!P_?Ep^69VPxl72su1=gRE1+|Vd)2kb$HA7!>
z6N<*d>xVkSFgY>8o&Eq&{r3`5uZ|2NMPC6dMdkT_c>4;dDz^502}uFzMv%_Kp}V^~
zq`SLY8U$$p=|+?gkVXV4LAqPIK~N9{g#U2R@9Nd>uKWG|Yq?m%oIQK?JMTREo!R?&
z<~?)nh2P^Az_aK*AocB;KwXOJM9cw(>Q`EN;RdhoV#?c~L)FH1Bj50;*tplZ5cFz1
zxXi%;ySuWZlr6SSREQGZkL*eKRWA{m1l=t6wX^;z-_jG^%rV~jNVoaZL-+YR@%0fB
z2@HlMNzs<O())SwcB~9FwS)wmweZ6TlSn&nMF<Egg<`uNHm_J>Js==}rzo?Wqw#)k
zq03Ey7uy>lL|{+AB_WDI{rQPnI2+csH=AixpJZ95M7sil05(C(8Ed)X$=b7B>mppQ
zG-j-w*+PoYiL3rfCVfp!Z_teNsFj`^q#s%jRY$Th=Jv{`<H5~_qz93zKAn1Ul~!;m
z6KuvZsU(GJ_gkfx=bvdY3(@8(K+)oa#EsBf$6*9598YVwbFxZr*@?u(2}+QTP^A`N
zNy6TB;=fTTERirl3T=t2hx_>>ELyFAQCM6Z9^ER#GK?=S#tp;>4dDK#(vH<MVO%u@
z9mw}O{*<>>8Y*GOe<FgC^&T+LQvk7>@Z8QgYK9|0Y=%U<2O}hiV&@$UY5X!41sOjA
zMomr^ddY@fwzZi9ruK^gsyN`rM*)oGIKWsA-1u^V8=o$4<D)$L?CoRo_{8h!agTke
z2?)bwDiRh=b$u_H`|4H~$P)gXgxhp;we$=Hy@?ray{|#eMc`6pi04R9|LhqR9yDkA
z9feEsSf1$}=dvbmj=lxE0E?8o_e=JZ?PG@9&i0_=c_I#C&CBNPcRF{9s>F(S$GKCz
ztJTo<F>(qujhoI?@@1#Y+d}MW3_CvQJEG0JW)abGXb{%XA`x>BG(^-m-)6+w%w_B#
z4!{l_8cpRVwG6Eta_RhHSgDiMIerHvs8lZkIX7kn-KkBnuz>ZY+X2_~%2=QYsw%wO
z8>4MfS5!(=hKCsNH5_t8Ask(lI`&&Z&!Z7T7Mx{C-7CihU3A~GHumqIx@ZT_F$dVQ
zQkDyStt3v$Jl+`2x7>-`+zeB>@d*YqYVQ6GnZg!t)qUTNgnQ45jOyMgnH>yZO?nKc
z!P0Bd)vs#33A4$A#Y{f1kx|tSP%=$ZZR*}j*3f=)cHZ-jpH-k8cW>sT%yUc9(u2v|
zZ~vZ0%hWh!iziG+5Oz?t(Sx=LzN(9XNIQLY4vB+<k856xu3jZA_i2&tM5S`48FRQ@
z>Vn|(5zFbG+GkfkEVgpeffa8lDpS`l<zDS`dxJ07^d*3A)wumvu?`}^L4GKmo9(af
zb8`JA$%*UVB{`+Pts;^{4?FpYRlQ3lvi7u;m-owEdpHvx1Uate8;JB+pGkERP@4Gz
z=BxSr!dS;^MQ?}TJWW&`{i12^?ms?1`i`hjN%HZ<_+|D2@xm7hqU=`!Z&p6GbHYCo
z<eRw6S|EPMZz94+NB+Xel`p`H#z0LHI}_nSj6gy}NbEjpHYk8E9$R^9ZFZELwsb7(
z{DT{QB1KBqYA7NPLx8;b-pg2bFE{Uk9z=XAucW?$ym5n!0F(`+@`4DKr>pZ4=(@~G
zj{a}~0bM6Aa3q@6PNt(C;e`9Yrw9cvEIYp9;a3sC@Jc5t>KWcy^OI9DU2^$imn=L$
zc#otQUxH%zjwwDkY%%#&ab+cio>taM`iR(w1|w*svIq8#hU(KhYv&)#1Rgv>tv1M_
zL3kW<^0dB<Cz;w7+T)8+yq&Qny;S?)2Lh8L0r-vJk4q~sk+)+mg)aP^glN!b9FNF6
zDL(HTKAH@gd1pW@z>i19Xnyioq1d*d1n0|9k<Q2}`~Z@S)>;fnU~3xlGo6fDDd{XX
z13Oxu=({}5VYr$?FRe;q7DQet7uJ((j1xvqzpb{Y7%`yhcw)>*Sr{?)f#)Gi$CQBk
zND~Q2JS${U&c06Wt$GREL;bh?H}T)V5xWTA#RV7H$}Bmuh-;d?#UoD)AAHWhRH41T
z)<9!>VB_^lcA(IuSNpXWtRD~FPD&H-olRGagZh@l6}yM1IO^$rZOd}r@sltvI`Rkl
zj0SEy)v5+*?g_AHzN~T}IbQ19W5=%-7|rrZIpyZlUjSd51eekAA(h&&s_>vU)Z0JS
zuyDM;XjQiF`#{ArH1Uf;iL_NHrhuC`3O(Nk8dN8q#e-(5Ml=14$|q8FI4Axkh<lH;
zX_v@!vWhAtKe{#~9zUPZdS>{X6ldSsL*S%USB%a&DtQ_=qShGJz<~An+Oc^EtB&W}
zbmf}!#p-*6<SV@l6WeSej{LjZ)+VFEi(~XgDGeAeM*P1}hppzjQ?WiMm>34-${j?M
z5{OQRr}US}n(x`GI6K%ACTO<kWD~SVg3^!Yd2mnkA|3+2#(5-7ASo`KzLwvoh)Lc!
zg|ILLUzPW<$RxC2d;dN$BE!666CaV#eO4PU{1vV;Q5potwp?60@n|XU-DmZ8p*3q}
z$Rl0LP0BZ4&Xp6-eEwi%AF~i9^o;AGs$)Qh8(Ly&z)-ep%_t!B;<;oNJM>vfO$#-p
zG1Y1PIT`RZ%Qyt>Sy-$7FkG&!HIC0L+IGa$x<n5#6=IhL@hLf3W|xLL!L4#nces|e
zt-t2)>5mwHCOJ<%p0{+;)|mOCuK{(opr&DUwo_=Y9xC4;t8@IqV_anth21bnkCOwz
zB%=<6>R!pV`)k7;s+}<Hs9FOFMOL*smFI~b&sVDMib;KWVq1=a)Qrb3aPf(R+Kr*I
zQ~FR<Ml4G9F&f%Nc1@p!&<?n7l6uB+O9x(I9XaWE5v}%k%Huq)O|s)qm65a0S}I{A
zS^JjG=D8DEf#bA=^gC2qZ@hv=)37q>9%2>31Wqz`Uo_kPQ~S8(mJ<;f{Ma24xDx9r
zC|V{5XcbZA;IZZcB--*PaEN;4y6cTk3Q#hj_*_d*&WrlG8rn2#&}X~CvrdKMdip#J
z4?=-daoq0PEG6YICggqTt#~c)BIbUahPpVJRIAQ<u7yoDRQBT+*~yii2J~mh6Fsj8
z`K_XedJkp1AHNsL&8!vOXb6z4Zv{^%;AJzRJ9UFn^--l9Tb&*`Ao1}rU4Gaj6@6;`
z;<fTzct%!BTTa$XR7^Gs$AtERd-kd7P%F)>B{KV5Au68kq}`n_nr9>P?}%mOrh+Y1
zoX7{lmKhhBCt-xE%jq5KcQTvXa)){?4~p>Dz1qj;V+g69ESrbt1raiB>b@4)7hLK}
zhz~Rn)@y$l-Q{<Oll(APFwx7QehGP6JU#c}p@oWWJ_@eKO^&|f*1^&pr1ZBBnqH@U
zq%F|d5J*bbsy||9S@3!E!Fzn`z15bjXB#Q`2u#?e8{ETERGQ-gjz&WavdCzre&_bm
zE>e1Q?J!a~8T5tV9M|N>kvcBxMz^dV;3rXGd%)V!ESM^J@aM4YG?GO$ExaY&u=VO2
z!&5`y#p_s`haq#jDFXD-5YR_t<(3-{xv44^QfJA4e@!4`UDb#Bj-)0xOVlQq0_ZHq
zG`f(HYDWmwGD@3Kg_&IM+)U>P>y&mP4$_@tM{=KpiK;Fqgask*GB%4(o(i`=7UkB=
z0y|d>ePUNVq#{P9mscF_74<7V34BIre7|%f7qchNl{nzm0s|0bsdvLaSG1ZH%2j*Z
zf4f>-RTunL;Fhi)i|BO0szNzRPx>lB3;bIk7F?a)`3##m?#VyMeMaD(6ZJM-Xi{lx
zh#gdt7ezl4RZdosYoo6(iaS}i^LT`&F0i7<dZ&JQ`P0(VD3crMYLi}_<9aLO2mA;e
zJ55kKRiz6ehI`&c9h<etD*UIx_xL|&3c@;<`Hv1=c_o(+Vs6S+Nb?mM_#Vh!l8VCZ
z=~>z}5{VKfFGm{<=i;%jRe2edcbPh)Erf;j?_VaV)bmge(x{{G(sZuLD_PT6C#9vR
z(RN~gHAQ<QvCS)D&iXF04Yw*5PjAlfO=+V-^h0I`43p3Mw;q3*k8sj<#pOI53z!w9
z?24${j?o`92p^I%D2^<^GFC{mZZOiIjFu1Pvr&=?JtE)mTAz|C5wo|53ApVNwkn*f
z-Sd!JC!sF2-PZ$2{;a%D`^!QP5s_@M!n?<#w?6i1_cUd4%PE@;ph|~w(*udg`GxUk
z3c1r`oSUT@RmeP|bFD+Ajc}Qd8tI3OT6n{X{4_Uh)#kA`&o8$|Vq5d#Cs2#y$0hL7
z;o{!~*d&J!Hh#FPh7_azj3my#G4}KZ&PRHcLU>q;nRo?SNrl@*_2-2dqXRWjVh`1y
zoQs~Fe^5O@kY>T$?kf1$XGu+TuN%{RpfFr2^oh*t$mSyFC2L1Mij!(rp^#aX5`owU
zmLOgA%fV@Mm(v%ja9JPFzGjZYeah$s-t7D0)ZS0~?KAJ-3H&IyWX-g9rf)#bTR@h9
zsM^X0u467wm)wjR8J?S8*u;D<-Kg9%A5<B=XJK#exB8&p;VOn1a&-QMEv@>4U!V*|
zt)EtiqLJ3EsKhswVgk(K;HHk(kywpKF=7uNEajSy2P@HIE*7dI#>m-Mn#`GeK3sa|
z>B@2Tn<?G*=dUbm;Q!>Uvyn2BUQP4(_&{o2j%FYwBMUQ-yqT$$k%)sQsm|5IS$1xA
zQZ6<YJtUBdgR7CN87VWcm$R9@D=8~bs{(8`b8&EUHZgMnj3_xfn5de$>VTBQBuGJO
zW}dD<J8@4}NmWQwFz0m>2?wA#m<v)65I_Qn0%gFW3n^qWi3Dr{{J-)G%nj`G2OVT#
z`N2V!>l6IRL6$2>RDSS~<qt#1@*{*Szk!hDYLfnwhkqDD)*mrs{SAh!f5(vZ4`ays
zBZjQM!I1Uu7_$Ch4B39fknJ}Zvi%)Hwm*y^+m9Hs{RTs}zhlVuhcRUT5kvOhV95S=
z4B7uMhU`CL$o?A)+5e6q`ya-T<3|iReuE+8M{$3=+i?703^{(pkmENPa{L`bjz5ec
z=Z_e2{su$HYqEaCkn;~?$oV6NoWH>k^6j>tJp98La{Y)Q*KaU{<dOa8A=e+qkn2Yb
zxqgEoL_GV+!#|86_m3EI{{}<uzuynJ|1gH!KVr!J8w`P|=+AQ-?mvtnnE6K#!OXuw
z5zPE|7{Sbc7)LPkk3fQ%e}g2L`R`DIng2AF;GeJr{|-y=FIa;AG?qVemfw*UF!&cN
z|1@s_|3qBCzhf@oU#JWCPjeUWPviysJN5$pg}#7U{&ZaaL}0+bV=&-fC=B>da~SYX
zBnJFD76bl;#(@7cj{*NgWWc{;GT>jR4ERrT8SqbJ2K+lV1OA21fd4d~0slm3z`tWO
z;9n>W_)l{h@K2-${5w_y{)N_n|1_@w|3qxSzhgGwU#JcEPjegaPvi#tJ9Y#9h2DVw
zG`|7=L~y{rV>sYnC=U2fa~$wbBnSLEmIMBU=72f>^w{$g(E<OC>41NsI^aLeb-+K7
z9q{ki4)_<kb2YuYR&oJh7|@zYA!4%&DM;4J)P+<B>1tl5axM6(AVFp(!0HNq6(q>m
z3aqY6kkLT}33B^YL4w@hfYo&!<O)?mf?NVBNRSu<R@Ze9lvI$ou1gSZqk;q>5Wry}
zQ}nC#buAb&%>>Gji5#&0=Fs(I1So%V>3To~%HN#29u$D`H@B{DY(V*&W7iiaQ2yrH
zb$|ioZ_eqF0$RA+mA20QgT@S*8h^*`x*kjllCW}i0aSDdZloYNqrVD2=;!|d{Tt?@
zMy^J-4i?|Rzn*i06y02Ht?bQ!w=;j+AZF$QsPWg+T9BfnnZ2-ytCfR2sm`~fNmyM8
z`&Um3<Q+`SK<X}L-%TI^j}KgpOo79Yg1=$>mpfNP<VQ9CKTigbK#EH8q+kJo@4EjL
zf%&$l3KtTD!2D$fG70})3uXt<z6ukN3h?T2@b!1cRJf5K9r;%q$OQR&n_nzKCd}V!
z|E<M;^bs<-{U0qtCZ6Bh{Nf{IBKp1dU-}4{Bz|x6tHmGsL*-vugiPrEt3}8J?|bdP
zwfK)XLMCJXqeaL>>3f@B&Kfdt`d<4leS}PEzPI_+;{O3h$OPoST7*nUzSsUsA0d;4
z?`?kZ5i)uBUi&XCLI(ElZGN!`8R);){#%Rx*w>Ij`G2$s88E-M`Nc=b0Q$Z5U-}3c
z+`hN@)#Cr5uOZ6Yf3*ma;=b4ZOCKRh+4nZT_z02CzSsUsi`UB5_eQ^%yq3DYSO069
z|JdEv!qoq26QUY@Z}h95Ka7>Yfbj3e1~5e9`3IZ-hd#enWd6I&|I3JTt)KjZ&Hsy^
z|2*hi%OBql`ET+3=V9kstoUDT{`0_dEiL@dHvc(3|1V?DHB0~Q$glDFe;IwQIrTr-
z{J-@3KMz3Hl=%B0|82kj^AL1RVE<Q}|2zm?)6M_c=0ErQ|79Ek|MNg}P38VqKmU0s
zx+Y%#tIeyq^0zi3MlNPosW>1dd1+Z$WqMJ0RaFr!Ff-_CBR~O6oUI&P9h^zQ*E!yh
z456zkq-*XWYH8$5%Ektv9+1yq7BEQD%GA};MTe9X@_^y$KRY`!60lsYfkXebzApXn
zABmZi6PV;e7U0PWCqN%K*ubQ~PYOZWu|h;wpgn{DU)3@raYCNQT-ms4b#3dfPv2|<
zcG)4aG8@2D**U<Z>=29B{;)vAC}z^DH5UiRb^Vpcz<$i6tU&a!v4Bb0ApDRW@@NOJ
z#>{+`)?{zuU}|M=0i3eEu)T}bf6A(E#;#Y%R9AQbl;%IVOjic~j=Z9{q@tJx1o_|K
z4tYRwotgD_+`-@<acBDv?(D#nhn*ET4`2b#A2|1a{QFxy3!sv)0w`TA*Jlga0$_W!
z2DTtwZT&aP)&7vS0E6ZPKC@k2$H37z0E{6Ez~(stQpOHUW!M3}&H>yzIDscsS8cBL
z<pBDZ9q4^7K$N-K&dJJw!~v+>z#52(tEgfp<p3gq1Gq-6>~RAO{6DsV{$+uv64(E)
zj>`t@%MJuG8?fK^H6%K(&Ij1e0@!8&>|Djj)#t1Dy4nso=c_faumb+D0{F5*VhGq5
zSaSg7t2JbSr~<$Pz#qc{puyMO_}{|f$Jrs|68ldeU0t95Df~Uk#H`HC&44^SAn{HI
zpuHe-bC9_e$lMl?NkC3+4z6a-Ru-17NF2-{Gfxv+BRf+E4|^mI7N8E`_5hcMlmT4>
zWM*e-<YKA!SD;=o=fB6Pwwj29h~#ygvix#?xC$|qe-o#F-5=N?@6m<C=HFuQmw5eK
zJ<DG$foK7Ggazomt8GYZfVT5*maDyguyPfZz!LxtKvCiVY;gkDI^-&12O<p^t~r3O
zxLifXm0#=tt>=O$Ps{+8SN+NX+?Ch?+ItnhS9@>+;>4A;pU&bc{s02T4&1HSfQK^Q
z*DQegbmi|=ndRHv?P|>ls6&u_xVVAq?dmA3z$}dwxDr?ae;_qi{sPi38?XkJAMQp#
zxIr`=(0>iLtLksv`~MYgKL^?m@dI2bKOf=e;RF&r3PyH7w&`z%9T6b$6v#VeWC2DC
zE@q%N*qQY}61GMbF2Lo71QK>Jxk^0+wgOqBMvhWuS3wSR83z*RYQVaxyK0IAk_L1x
zD-&UR3tJ##6$zy3YG$Va$Xj4QpR#gs0dCunfeHW<sMPvqhK-XQunLT7Kng1jNEjpn
z5(SBY#6c1uX^<R90i*;{18ISbKqep)2Rl0>kSWLvWDexMf-FE*AX|_f$R6YXa<Df8
zIf5L4%g@0S<P35Fx!3}i7swUl4)Owdn>jnsLI9AkvNdA`a$x@^Q(bTP);+*Jz-4@O
z_O51PX257;2As%`gNrCg&dlDz)smEjo0CmIz{S<s%*YPOGvlEKqME7&jz9SG2eAib
zE2>st5R;n~c3YwK_ykI<te)`AlQ*bU@V60elbSY>g@imexq+Re3|*f;G0$_4zvhL8
zT`cyqnwiHX3MprsgO6E3u)J$>gMF(R8O<jNbC(P6{ZG!yBb;|6BgOKdkTqdp84x~v
zbX$mCdw2VA;p=B~W74AXPvy-Qi)3(Ub-@c+AK_NUCz%6o+xtAih!Tt3Y79L@+>%yC
zk4KvR9EZsAO1s)zE=m6IIFmB{4q-AD_TAXTvN-y??Z#_JOXkH4qyiLla`8-rg48Ox
zQl1_kR$sheyW?eBrRb~D;e$!lJCcVLUsYq&V<cT-lv~w#=!7&a<7Jz=YvwNi0^xLZ
zQx(v>PB5ik7Hv;hrg=cXF34mleEvE_{6ab^5nEPu?M^{>Nck-tVsz|!R`(gP?4B0?
z*RfC3#~7^HYSIWq%W@YAx>TUXxai{UNxl@f%fV4feDZMYZf|y)9AnY>6Us3bYo3~*
zd(xF-^kbyk>f7wr%<T8>M?2?ZjiC%X5106VJ?;E-CbLV=ge~a!(WPl3K;m-fg4$A$
zC&8Z%F+tZ{f<>ap<-Unf${F;D=ZOKlJ$`+_A|skdtZ6KA^FTE{y0w!f^{X+a<&{P*
z4KD`Nk^7HjiiL@2>JlUNH`>{!bKp@DpX1UMpf<v#<t#fAJ_uidIvtudi%))`y6Bcw
zK81{8PBWI|GLI#gT*jxrVC}{%F_Ur2``I!+H(N%>NY=U8lQn)Gu{*h(1HumAiuR^m
z!CGQ3m$8Wx%&NjL)}!okb>0GHSFsqnj2-txv844EQfQ-PwI9q;F)7hNces6eix3hL
zB&4G$KfZZe;f=IKV1-M(n?}0+)r%9yBPYM0&k{CD(+|m1dSe(r4ysp;CAySAVWAZp
z|9CnMNuPDAR85NZ#!JTVcFz$JwN-oln6?|%An{D4L%UN_EbNr=Mb|#UnCi$zmO>==
z;7Py6W|D0Nf@c$RF5cAZ9)@DYn>s|18*!NAlQq$9>W6*mH#vr~SK!y`u_Q6dnVM65
zRDAXs=FA)sBcU6T<nCH%MYlpFaG1I#BFKjcV@TpTXLkA$F<42UBJfHSEp&K&*n8Ua
z`HAQlMJwJ)NF|1<Rt9%dVjpSsyL6GFMEp$TA+)a~?u&A?!wIwuxr>J>9*e^uskX5O
zmsU-x0^+zc7=ur`uGrmCO<{|c6Hl2&sJa<pSX5+iZjy&OEQNf0-Q6d-7f*|#TiI1m
zBZQvX-*vaC^@d4&F3r0ajrL}px`q)k%@S}4e0kl1=ma%r1CKI4M^~pE<J^$2<kL2Y
zdhfdUwdu9fLcPWtg5cRH%r@K{XuN3HTYN4AjwsrV-hR$%u#*X9Q3+uu9~O1*kQ_+V
zsm!*WnzS}EW1QHL35CU7JaDy<MorO0F@Ivj*OZo<_-=2v3-27i`&^mxsnr;NYbBm5
z=&S-N+xcS)If>cZ5SDe<%Z?9CP7$yk$+zz;OPoG?V~IhT(;0N5v$nW?7nf|G3%s5?
zlt$NBGUHrNIg8U1Pp9jY)`EQE>JeyF9<G|9J|eoYkN(n)&ndHC(G^>TjJxpuCW^54
z6sdd=ILtNp1{S&8>PHJ`DSczzSbPS)rb+j*CtX?y&tt7prrHunUXi9Kr5A?9>WyNI
zdfdC`Eg2+9rZTE}>*CO?(L!78f=Q1O?oPf#J8t7_E77T6W5tpDNqY*E1!AesdC8MC
z$)m4LsVPfRI80p}#hRg9cca!OgmfaXXHv=G%j`IbrD@L!YUe}(88~Pdz&P0V>~KRT
z)XFN9utSr&@Gt|XW%S`$yiN3MMOh|^MvGbsW-7igqt$}bMaOezp?g90$<}>5s!*#z
z0)t99gT31Hx<vuy%mhrPM1j_Z0v&DQT>4VB&2d_i@DKL#92}qEt$fbiC2#D5LY0JF
zbyVGNa-7+svndkELkf>TfKPIASCNZ#v%M@><x<Ohq>&DqM5wkK(Lu6iclUE5v1~P@
zp;20+E_f3tt)cO5k?*iY)+&n&-2dJ?b1#xu?8Io&A#MxyWx74?-U>{IFNNS^c1<C&
z)YL8tB^gyK?k7oJhbujyODl&#jT(A&h|LLBW*8kiLJkAVj)oqWNM4v@_a`lw*7)g|
z3lzo!2OSXF1+gr6!v(Pfjhv7kTi#kADt%~hSH6FAQ|RXQGJBV=Nuag~`h8Bs3KQyq
zv9C8%KdKKuYmkzrC>cw1y1?BCaM`TgOLS-Ir+o5qmux|>S^!g+TG8>v1q!!pzVdd@
zyTFZeMjudmR`t1Z&z<oY!FrJ-scjUWI)s2x^e-?ML3*o;DW8IrnC=^z6JU_)q={IF
zAkkp+^fyr`SBHRy(nfh(W-t8C+1<>TnIl~_Fet3$w?$CGZ5oT$inrAobt^J9qsw+k
z39zu~qPhw=x9<<H?l^yl!cBjnD?Q-lhrRgBkzl^e2evf{njd!F$|<O3?G|$3Oya3?
zS3%vH^>JJ}eZP|Z0vI`U_~86hbG^dAcP<WpXR%l9vTXswO*za>Rj;()U?>t%;KG#5
ztIma_W&R-ka7ezqQpJ%lU3EL;f^S=>VczR*IVRlW$(B`VF5={L#y<Tt)16Agw+dtf
z9HfDSbvLxb31dm<dA*{ME8g%Qscc7_5NkXyYp#D$AQvhCpIUja`pM4of&Cewv+534
z@zC+}Lmi@yD91QFko!YpRkUs%y}4os0|M{y>H(Uzu6>vqGWb?h{ddO#e(T$2LG-Wo
zd4f9<>ySd_c)}MH@{Pb)oyPuT64l2(52@qy#-*v^tX$6Dh_QT}wmq_WX)53u{EWUP
zHRl#X<5#$6wLOM?FlEpApEzs6m#K8$*h1rubp?JWoO{A`-2aTs7x9seoXgFZ`t+9A
zbL~jQrm^kT#ewTaj8T|YM?T)7N{4mSzH+`?#;5JvqG%TwQSH5&UqH%?)D<ornDilL
z`I)<$txz;P(}B-;#JU|3()1&oK4Nk}SCKxG(@9cBJZm*(V8f}S)(^aA7_+R_s-2>m
z_EDz6+A@s%>D`y&4$xiJOtn~6pEm6>+|jU#fLAsQAgbEh&nUeh#^ZjBWU3)$<!Hl}
zavL=_$aa@5rd(bL$5twQ?Rm9xdriG;Bqz#Vt8UwkR^?4u323k2(LCOr3Y(XXZDZ~`
z$+Qg2O1RsDnbcOhnv&IblW!9ki<mk-dx^MxbH4JER1X?gUN@n>E2D)83$jQ(V*;Lz
zd(;twACmQK=n2(6f|Z|iEy?pYf@cK5E}F}Nul?`xZDp(`o=@7=<)dXai#ihx$$Jo`
zcJaSr4Ra~K|0O}*Cr5cEF(J{Hsp6Eg^X$cDooawnxj(0m#;b<%y834?J-+H-;k{%N
zN&E7Zmx_BAn%nl_LWxwAGX3Mr26Taz8Shgyt8MQMiO&K4l($6<XAg?c&)m`VMLnDd
zHLAYI3<>ijuBr&j;ftT>vNc|O7*+0ZXrbMZ97R>vu6KociJTOdZ}?_$pPNCU{Zj&!
z3%d!$prv9nU)3ilR80O3qo6xq-|VyEVHUn0j)W7vdy+*lY{^B#_-4!$#}tRgWkq<<
zbT&ukp2TdM<qP$3HZ@KS&Sb8#yfk!?wgBW8ir(Q17Hs=2$>MS~uiy~AF22M4SfclF
zdRgW+j$skgd=^nkdQx#>Lignnol=lXlYEKvZ1ua^x(*5&S05Sp%Q6>{=0g}F#&!A6
z{88jL)F~2a0!=YvqHk0ovnnH|KFCO->5+C9c#^eAFt)KsOB6`&`113MlD1F%xCh)x
z{mg~hL58TM;Wf;f#7|_^z6`k72ks5Er5JECE|P?kcSPv5?AlMgVw8V2*b`r55f&Od
z$+{J}T_0giBRFJRyT8%+c0;C${ALqQmgAI6N-aV;2`b${_9wZLl{yU*Ut)RpB<ZZi
z{1c&)rrBFf-8YVyjy*d!m!y?1p;1=8j$Fw>-zMtcA9i0cydN3af8$HPibW8%#K^{R
z&6l_VKKTP*`tNk<iXi<Py2Qr)JG%5+h9n96ETl9@1|$nGC3%1=DFSRs8KeSI1vryB
zNCTt^Feh!$J%Bv{i3$KYa<Ks!gN&VxOl-_tZOzPGzki0P77&JI>tO!_&w>!WZ(0S&
z%*oBj_6J=91ZW@E@`sf>K*?;svocGNrI(|nnLR+ytU)&4D4IQx>F^g%yZS04<oJ$P
zpRSMojl4Piq?P<2s$A1LGdn92hbt#t%-n%PxLA4qhw8anI-8k+Ts<5>ZUF7`0C`?f
zzhBAUb;A8$!V4I(;eRH7?5xb3KaxK!cr~@jiI#R|k8%Wr&2h5ze#0m&TAT;>Y2=0C
z^75d=m|h~@g$_bOAZo=ZK;R+eD5Z&DR~B|udwySr`F@_5i7gPn?>)nsFK<~+yuDm_
zHSy~H&FcL=0iXBpXWw0*^2w-&E+2Y|5aKE-l8dfozjHM4nwzAX6a3s#f1vunxB5oO
z$N0wW!wT_Jo;eIVE)Hl7Yh_;&5EXcoii(3<z*gQeD9e8li=Uj8HF3+kD=f_18#ym%
zr5*Zf{;}>krbux9Ip&)vDgMFK*5`{Mru=?ULm?qrE-=9b1qF{Ulx-}!Iw~sDSoA=&
z3}kA9<eqkp=Z%Y`{Aa0~u>6{rPYhq??wX^1t%{6%ATNgyGwBkEh!hiBMAPdheDoj`
zOmAnbIo&ngIa}c-rIoZ<KS0~_&daZLc~vL{MYz08R%C9XKHNLRD-85d3#Wmv_pRrI
zZ4|eQyj*{2--forL^jK~j$dQg`{>7TVG<?nwAOqzAuRb+O7=<I?D5XU>&l5VXxoIn
zi2yk)JSs68!?NaPfAk@*NSXdg=$NZDrI~1dj)rpWm|SmqRsJm+pWZm<oaiUwN#Hf9
z{=AGQ(@CuC;rHbF3w3EQdmphBN~q-1B!9@4P&J`JFO>BYFH|xqsh|aSDz($FTXUHS
ziz^eTv6+cmsgTn!%A}9vI(u0s!3)QzPzrOZY<T8-3N#z*XZI34l6r*ci`{}Pn0lE>
zXD}{VBX<0B8@|mk@Db^~A?fr{^dqR#mvPu|$Y`u0T@PrD?}b0SlgzTa%dEu9rOP&F
z=lSJ8c3WaQej9tcjt!Q;gq@EK)zaFgd~}x}m-V$R?8wMi)#TI3to+P;KcOadNmYxd
z3NIAqrBdRT$U!l_Trw=Z&Pm-*T9vVP@!ZjO-g3Bbr+s+*1S2Dz%Y^p*?UVRHt2dcb
z+H6dOju?D70kDEl8HK!+*^4(H^5-OVesQJe(x@f!s;buD%x03B#eX#zKfEfVOvx$N
zwX+|juID=d<!?Bvl5HZNua2ry)v?F%U`;QM-gfrg>=(Ls93s(!?uhV;$+3wadRKXT
z6<SN4$K9L{vSM>@H}m99LZlixAl~m%N5XESC%Bt?bJ;0sG5f`bM0rOgxF?Y(jkx~r
z*I!Xdqj3p`-ll4~{L()<y|+R~F?hmnE0NiU{E~!EKiXoM3g`AFLg1xs!wQBDJVUY}
zoS?}PsT_vC=L*lDcQS7gMVhWc7o#Wj%D8Krw2CxdN7cdjYOE(02^jm?B3SFFN#GXD
zvB#@6OK?o*M=hUps-YVX2V~1mc$%LRXt+4)kbZf_W4XEVwitzd{6@vwfxd-=$GJN^
zeqYhmp6A}ftq6i^^CZ~Dss`TSd1oxHCA!aYjpW^O&DXvS5~%*ho1tZ+lDD!fVO5Rp
z`pzRuFz0`r3mcM<){ZqOR4-v2>16Wc<LDrp!V6m|ynVE+=A}nZTZ9Fw2x(yP^op7s
zy*aJto9dUvkKxC$&SR`E=mTRAd}^$eS=-^K7gw>GaC~1OCGMF<nShhG0gN$E#UN*@
zrHutK7CnC|#q<k8>>PerYazS2e!^xdrtHD5q<6X}=o9o>CAETNKFHpNF&IVCI8<Bg
zUaOPG9FXX0s7A*WW=0m4pUbCOcqU-4d*^cew&9w{^Sj(~@E%$*-LDyJ8QW@Mco<52
z!fqd3M6y3;tWCQgs`dVTX9f{NSoKD;whg?u>ef>U*$%m^{Mhd0_>=Be){Rx~$M6vc
zwlMCstKv}R&&d<6K#Ove3iq8;>b*K&aN4+-C$9~pSeJE0lQE5R3O}jJ9^4Be(FpS~
z!i;!yyh^*{WUuadi_6h}Pb*wOa?5KA_JJWyF8dPY5+8ngR=97F6j#)On`<+zp*`}$
zkICf^j?A)VX_E!9tex#lTbbVEIBrIm){DkihS)K$SCHH4VAsN+esv6=Sd}oJ3lY5M
z**()%n2A<Vf3sB6t<{76HfC%$8K3$1QpDFCN=b}owH)RdeYOgcZ}EMQldSi(brKj9
zJh;+E@J1iHp5#c3IUY&5i4$83JtXUPK@L{v*w@m2@nXsYx3ObxQ>aj~!WN~8Y9fj7
zb|a_->Qya9i&L|t?>@V(`5^)BZ6iz`=6>GM*fIJv*hXgD=mqrWV)K&|r<X!cHoRU}
z^~EbW;Nut(?nY+{;m)>=z<U&gJIJyb-G|+`xu9A5I@|_NXwQbcl6J_2XB8_s;2scN
zc$C1>)O6xMWzcAlF@jOYbeA7`E39B#mn^?J$aOdDmV%6Qx6m{jcWxBe{xvAK$FsHM
zj`tGf{vP!_UBBh(i-Le2)Qzrt%WyL@tYgz0ABBSPNOYDhMAH2hUTV&~C1i?xiAbWv
z%9cvO#6`c7aGxSU5XyxGoqQm9&?}B>;>AtWdv$ChzEQFEB$;k3`};+~s<~pO(!n*a
z<qx8LDOi-L?g&#gSH=!TN)>QF7(IexLwWYaXOA13N};-3;T8Td-qywN@GWSSiSt3p
zH{BoC!d4?fU$CO~Yhe~<?@7i?c~i6mw6jwqU``K{OfizP-9oM_Cq=6Qg$0uq4kH6^
z@E$!tbN)>3?ehguscf5$H(=Awh*8v)tLSyh*n5EiTv|-oH*swp^oB#Pd1s+63c#3)
zx6aO9`@ktYQ#JPM;3Wc0`Wtv7Zs|Wk)+If}TrO$wED%iV{7lRiaPL#qqHeWkzi2LH
zgw_j^&hWBW1=NAJLeVGNeir*}bD1bN3vh~asam}AlI&G+=$3K}sUBVy-bUhDnu98)
zj-#N+_yWI5M^nXnu?<>2|Ez@6!@wmP(i+`mV8qG*#~MhBV$TH)>h1|5A)pE*l<Tw)
zS`dylJGci2?v)PKy=x><FgXFEptrHL-4Ql48{sv1g4zPj2%lpP+hBXIMoeY1H(4{A
zZ#W_~SwWBwDVF2V1qJDyi$Ip#Ijw8d*=Nqr4YYPIoJhWi^wF6WO<fXrX!f4T!SE5(
zZJe%CGKbu(+X&p5$TQ1ftjaNJc+JSqVzoBW-{`bYs6-$mj6Rzu<IWZNv<huaEf@1i
zZNY^{UW&cxZGC#msKNf#^(y=B_6NE>a?*W~jU`598>#R!nuKqyD`cwdD-d*(YoWK&
zyxp6(p86dxeK=&aA&{=SWFOn}(3`WzSR=hbXuTO+!{RHYGW}?)ro`eox&;H04_zzt
z2p;z%#rF|U+M8ieb1H_g=Zg`sUJ>un&b(56+O%`f7PEKu;iDLgTFM;as95gqFv_Ma
z*_pw^LHX69=f#KAoN>O&M@$5=hlIj6irrxpar~288v<a$-Z<@x-tCq#Jh<uoMSF1h
zcE_fULMsEw$CUzu*cvQ7y97@+bQNi>q~sJL^vpP{SCpt=CS=fkoQTtHoq=%*F*OvF
zOVaAb8<?dg(o&^`rIFc+?@_o|JtX0B$KcQ{domf|KYJaWdS6m&cLv)f2bz&@zVW0c
zfo|;Dh$agY@BT;vr-xR*bWg6}&c}yc@gZUrUBRdQHsj$6vQ_tReYoxAg&IG`x%dna
zn){IJEO@P**=({{Bhj-PvaKOTmd%ChG#B{~yvzn=!#ijpv?Us%a&&8$uB9!K5+R*V
z-H<aRF)Bk3i8y4Odt+R4n1dpV_*VTs{L@z74(Q$cFPTHx!Sbt>kNaRM@O@32-@Unb
zuD+cw!-~`Dc-pp?310y3q8c0JfINy!{)#*^!^nhJ+xSK6*Fa-7W#$Id;qG2@u4i*a
zL&N>yC#EgJJMAt?U!Pn)8=1zUQU7oY#rSh($IBI-N#NDdI5H6zS(L47i}!5~<hze;
zZhJrUSRvt>OI#oquCKJk=6O*@uqRyXf~3?XA5ZLTaHqyePkd@$sH0EY(gaVXQG$Rv
z5>-f;hOiS`T(k7!1Gy7%r|9EZ${a-Uh1zoj3_i4*kru;*n)&pdv%MZT(sZh^h2wYE
zH+;}eK~xjwyUC03T=!89XC`NTybSExL-Ax~v+)FbxOWFM0&h$Q*xa!<xA$2UA+z4Q
z8!gp3^N8Qn67iN_1}^$+nhC=})>sgg_u#pKW76chCR@bW^RPuUf(m#Y4zy0Y7%B>-
zcC15(^5-Fod8oIZ1dvA5a}}Co#Xs&?e%YFOv26aRZ{HuG<<j0#ubCX?Zil06iQwgy
zr5hr1im4J0LSMeUfCY5yl%TbJUB3M~<2rvQW(Su|YYEzZV5Q3YeZ*6%P?+K#4CxFS
z*rNXaiHT{HXXyU6BxACvbBZd9!I8l4NOSBLvmTlzmu81kbia-CXz;LWE|PS7V7q7d
zMb3SzvJe~jQ7?<ngBF9w0hzB>`?jmM7_Ag1_IkOoK=Vr2G3R*Qg)w1J5=}Gm9VP37
z$rnlPm|H=coenu81YY3Ed&?jFJmcF0PO6gZl;K%CI*24J9w$GWG~jE&BhjXx2zfbm
ziQ{3y%(xiej1w?`yn%{Ug=;ckK`hkQPncpL!)01VgTYfVM}$eN$skW5(H?|#RGSH(
z@<za7?WwKc*Uk&y@u!~eVGV|}kJmS#)Yn85h(`juJNSvhzcOguuW60wdbdmpRX1ba
zy2TWYc`=3@#udnLOTIzgIMH`3$H-IU;PmmEu)@3dyY6C2VzO4JGi&tS#G^kC{Y;1_
z0qemqjSJ0^me>)TsX<a;TfH=7?j?1(=X`fkRU*HV>4KfIl>jumD_i>^nMY7*TK6HY
zqpUcq6_?PytZ9Fb;<+2z2Mzy^Yb_z%b*lbd1hUP>$)OP|JbUh9q=c)Ox0}MYKKp_i
zU7nw{fo3y<9x<F6fI`!<Z3QhpSg~A=5+y{U*hM&W<NKII*%tB(ebG)KHu{R?Ny}3F
z(9tGuT*fwWuf!G0t<s^E=wqPQT^BC0RMGZ2iXQt@;^t?~?{28N>r&y?+bNHaqA9@V
z8YgU)sNgH{YI;O<ANqWLetRzB$e1yZl{1%^*H78MFF%%K+t+jTV1ZvSW4dW$uHl*H
z5GGv6`uhm90)EDgQtTAlsVwz4)wm{`m2$SN*zm?I^7S>eiDVakzPV%?2dzjJE;qu2
zbgv9+!ONSPeIzZD-YQ|KZ&UIz^kC+6M=7+u8s2b3IoaVttFyZl7FJY0df6Gt`%Z=>
zc`p0VSe2NpY`uE&efI3U<C7%UiR}7ddltVUyHgEhn7#APULHE5r_rO-`@0|f1iD*&
zKb6U4S2GtJqK1aHVt-ov5*y+PDyT|1GbFMVnZygl0f!T>#<X?H8XSEPDoa6Y(M7ef
zo{@EEGnoz(_kYd!U`kjqk70=#KXrcD%|nQ^5`(qgAE(!0$pT53P)}LYrx72FTMlRF
zmM52H`SI@LsAfLpQr0nv2TX)u_|p4@AXLjiFJ2%SmYwO;MfD;n(24cE+?^Z}0Z!Qq
zlqL}Zq3D~z-A2S8Fas5Xl6Mk!P|gN2lQYhY-g_NI1S-d@Uf_TOqH3PiW3BU@Zr$Vw
zjWN%XGI4w<5+!c-g!EO2m*al%LR9#&Xu)!CT#(WWf>5$|HBf}c%dh!{xRQ_(U1Gq!
z=?;{W!c?xOCISV{{JSZHnQ#w}D<i?5ggwbWrb;;8q!RL+WGzw$zt#ARuZUleIM!qY
zuPu2JDZC}<+l-=Tq(87nMXxyCH)i<7sr$mRQoecAm@PeSvs3Q&>w^o;J6W?mID440
z<Ign&QuY^Kx*oRWyXY%$(EHj^d@g(X@~kuEJ+FeET?-+X)6=)s8-ov7;^OCfct>HL
z#J*GRCyA}l^9ZKk37c!Dyp7U*aFFh@2c0J(LK#KuOdPqrq->{kJpNE8yn-Ww^?-<;
z9(g3_;QhoHGij)ztv}UF2n&9-Ix>T=@u%@}OzNzGXOx=7)nAUo2V~Ih@VMf?6vqC%
z6Qo`sA3tT##i*)MP_naaCy*4dj_~|}$V89DFpe=hPB)2V)AJ@@kA{Mpl~$XhH15VX
zq7lui{lvS8iR9$*j3x`uZ@jp-zbi0Kr=c(Hy(9&C&u%n#*O=$gu-qZ~#EUvjF9Wij
z_t}?UbSnePiU;q<<aCd@3QqI;7`hVom9Mb(SRAZz487f7h$<L4rjgI{6fZ85l$Q1x
zwnsdn|77$wEUQ2U3$!(sOKoPlHs(n9MmSq>X{xRMP)kQi5dri%^ieinVY6gMqWW9T
zYINl&UBAN!p_hG5mSnFpnbCLj&y(Ya7jO@y4AEWlibU`<(5#PJb({R<dMZF*(ok6Z
zFy!fc_Byi-BeD7J%sWGVAJF;GU0)8elpl#Z;6-X<;CQVs8bN6>-e4t>eck?uA~d-z
z3RY}x&4snT+tzEie9sc3nyHqMT*+>sn&vr^7nDXEJM2Fn9Qm%Wxbz*?omcGzq%wSK
z_SQ~RPK=FK(pxs8bq-9u3A=p)$s=DG*Bc^LI2?K<b{^M^991pbg*NqeRM>Awm_5$%
zoS%BiNV(?YvG*?fn5$0WJj$iB%Z|HKs!r~nie7@=3$Jhr7az}tFWM5A+fKQ=i4USr
zc&D{|q}^D873n~xQ%8epEacr`yIJC(N}uOxo@NFOA4y|$Dm#ZHy4hvWo=iluziFN4
zY48a!Q#Y3g{T##mjI<>JFXg7adm?3+0pFs9#;w3dmmZ}tU(;*Uve;LdE*Yy{(9xTq
zIi*}OvW%VAz+65>uvAH)7bZ?`Z27F(*@`XKGb*4p(MKP~@5^=T?UZ?Qx8H&DSMK74
zw(TT+7lb1!`&-#A31`n|WtGY33?Fdfnibg1zo3<jHn?bD_95>TZfL|8Pmg+AyEmgw
zLz3aLpBwG5KG}A8^cjOZl*I09=PTaDT)$(a)v#S-nCNy~^YlEgH^#HC(?Z=wc}yzM
z3Fb=$z3YnHGzm}NEDGs%d*|L)l_sX0{7|09<cg_RVL4an5unKF<z#p<u=+B~65Uxi
z#?tA-GFST=ExJ?>c&yKiBb%Bc%C95*t+NY9q`M1y|KWhyTxj<P5RR`w4-MV(THYfz
z6T7NBRWivg(QK8^*(#*rkG?)$mLa{|diDC?lI@Gq$4k%ZWdtJ;W9$tLD1G%cbv9HQ
z^xm<?u&8l0`GW0s)6ng0z0Pd{tYg8=TtcniDC1XIRR2a9|1~}BKY2tz1}YaTn3NOD
z{ymTA2VERUZ2mEg=&JaaF3t>;exF9f0+{$Ujp!eA@heSS>6;pUrGy&+;`db+(eE_w
zZ(8=1kbRw7^n;3hon-X0f_<H7^jFH?e^sYlLBG|d|DKY>eU+!G@}nyKL*nYcPe}q&
zNPkqNLp80`uqG$U@^Tx6EW-=1#$1Jsv6Ru_#n6LUrgP+2Y~rMEqlerGqCp_QS`9*{
zhGP#}h8=i9V|>?e^!}TI0z`~Qi>1S>Yn`flb_-|r!9Be`@4M?XD#SH4#~U_wt52rk
z(?%xpEYomio4mdHQ%k<^DC0>YuhfJniXntQ*?$`38rOobWs{9BsKOyLM1trOCfbT1
zBQ{#O!@6g(qOv?$8Y9QXfs$^^XR^TetfY&TkzpMl^Z+r|028NbV2Ygr%)&=S5w9yo
z=+hZihNvh9=BSC3lZ&p-65!|Np5~*VYUAVZKgLZgCZGm>wTew2C+jvzHdagT6s@~n
zUZswdT>YVv`?_eru8GO=1a(+9VN+K*Qmlcb^4OgC&iS74-U<=XN+t#lb?*c(HD$Oh
zf?gWNdLtd*YZJHCDt7&aVX!}|MSpFE3A;zu@wv2W@nfAnJPGA&mHjckZPwo4G$AjQ
zY*kEJkTu4d{9_e?G1J}@mi%*?fZiCSoZS+uG3VYumSQ4WYHKiiaDwu{7(;Jpn!L73
zc@iOe%4x)D>hhD}F}&V5mb@;Nl_!T-viM3E)?Dlf1mZi&9}(t~ILt&lmDkurn?^#9
zY#3e2Xv%!c-isIIG3F5rtL|0K;LI4!IA_xGEb&abc|Y!v<IBGti%uO0iU=@^trXl!
z+veHvFFun{)EQK)Fq%p=qHTYAr0rK=;J`e;*xW`O93UehRV0NY!$*0XaMCUsY8z=y
zL<g1PZ8TwYT7RHL5uwI3#{l=9z;SrXcwaaUHN&jYF>b&F?wyL2Q%>w<S@0`!3K3K~
zbXRQGI*jwAyKQjR4PE_%&jTkPe8yfH;efA+#rV)6FG)g^{oXY)Y1z8a^MK(|k5Qx4
z=M;nmWQrN{&2g~B0fufge~y;LT^NLuWs#cX0am!XIZ$~{!2>!Hd8e@B?T9yXyidJA
z&AaIQ)K;kVt^VND^OYXZ*J@sBqDA4lWo!C`YDIy72>F7kTazyL4eLMaWmi<^7ZxMN
zN-p}ND%_99t3Ue`6Eh)O$pJzisby=^x#=f^SS&>;e7n1iYxG?u-p=F4hU9$fWwgvW
zC#RZ=1zbq8j-Oa=ZU(lYO{UNCo<C<I*<WN_p`<gnNjz}D^xKG+vb+i2sAVx-vF%tM
zE@DPyFwzC}1(kT%Q&cpx;a;w))cRInvb;7}jHDQ3CPGfuLc)BPygqr95bgZj0a1%~
z(G2rzJ_bwDUFKK4nUO6SN>NjqeicT1cnnaCABQN@gU>&2i^Jq6886j;if6@@0g=_p
zRyuA|P@joc5`MxiJUA?z4j+Qj$on91ETYK&^(dG5Q|{*0ZQrvF<~v=lv9#d)rx47I
z2}u$yGlpQyjq%Rl+gcy9NwPt?P&_+(f{jYExPx;loh|hy3_b(eLzF5S7dIy;1G*!q
zRC|ww6!U!M0FR2eaHAmcG^HI%0y-8!$DF7rU>BoJEn;n`qL)|Ed0L}VJf^~7j;{8d
z^xi0CG6E+eeg!>gz-9!VCAH+-{rT3fZXJ2%HU0NX(Pj{DhR1h(oe|2mhu?5V=flBq
zmR0z&N_Ce5{UbswDi48bMpt$e)9gZ=KaXlwjIVa_O&Z2NmrL<GR;SB)({{Ooap;a~
zf*iiMdhs<;vGunT-)lVguDcX)4Yu1B3grY9cWX*h(j}JlFAgINrf($+w9emMA{%qz
z>O+w*A*6DZ-ArC97(&!wCicXem2Ko#*G{ajiebCw-qkdf%r=7@+rhFMV#bWY8>A??
z5%|DdA37io$v^oOuiUFWtiUqnG?<c%+e_rs=kbp=6zh`?8C<O$Ub?ReHL$6Z;1kC`
z3W^S@RnMk$ttMAur(c{ig2p~Ve~}fRb#K{w4*ob9hRN^X_US>pz(bX~F~oMX%R;rb
z;Ob6Xe4Td)xZQmw>MEama84rkVi_*C#0JY7C9G~(!TRzRsEyoo9O|HilBNKSbV?I^
zy%z~9iD5xz60T{hi*8iK_?jks+MlC=>A2T*%A)>$pqDio3oFG_t2_G24{w(gtYY(a
z5yKajMPw#p%jx$BG?uWJjN&KU%1kwz66|DeW8n=s&-!TB)sIq!djFOkKAx<I8!N71
z5>fJ+$MXg`{Y|5@`N&MqVk1FmI_D^8ssJ=|=f<a)Efm->!8UXB#i%YPkE|-+7JeB}
z$DTy(i65@%Mc@@sYjzIzsr9L1a8idwG7OH<76jg9uH>&zFicq+T1g}$fvDW$L^x?$
ze0PF+dG!m&Vie(*S2B`8DG>+YP#8(AXC|GC%ATksFfOj^%!@&j#vU1YAMZoa*0&W;
z$!{!?o+Ejd-RD9%Gol~<pa#8}2Zg()zJ5<hzDv8K<y@P5YiU5}afMD5W9y?qmlf1Z
zE{`g>%7-2>h%OR?$nJy*E+WzsWUg_K5X_!P-@eEf4(hQppNN^Z#4*;i^bQSP6WK93
z70rjPT)>?Ye49n-XMJ$9y#kH*{>xkx7<=njsi<S9l3B!>k)fH);hSHW!oF}9v(gVK
zt352gkHc*TUfKf5A87~eZwNUBqF)d;-{zex-Z>8LEq2gRw7usM3bH)p!>s8Jj?-(r
zA<G;r=>Lg!b8-w<ZQ{_<!rg0t(-esxe|h|^Jz0eg>=K1b->rIAWS9oIVdK<%eNQ6{
zpIGjB_>ZvDxp$^?k6$&aGo>0y)k_H4HgAtI1`^B=&H4I&>`c0sRJVn3<`FVTXJnHi
zm0BT;XJ7^m`iy;(+<iM}@X)f)P2SV1r6p$_?tKNt%ZU|?Ao7*q+dJk4DCDi1%7y2~
z;?8Qc6(t%_pK5X{auhFbJVv=|gjBjQ^r*C}hEsHw_@tEFxY*oRLWH0t?Z$3RqlkRy
zJJXc>=8QSw!cD^18?k+OM>n1A-yOoI8@wg9Eo+&+->d91BaEp5{SK3E*!i=H@GG(v
zM|9<{yxc{?*;c@>4WHV2b$x=~B6c#@AIm~WI5p;F^$*M3;6Jv!EBgos-7k{pDVqz?
zJs+_lw3MDcPv9pb1^e`u4*3QqXf9b`vRfU9HhYP2XX<;H+f7KGB2bSwN)e|n*p&t!
zz%^D9@6MvRMJ$GruHEjPjc>}QsBqozdVxtSY{tt%fWn#6$vt3`uMn~)Be82M*`)pK
zMDkJBL8MJxXAUfuGS;hr>Hsxw<_Bhb`i+6G6cq$XhS3{2N?Sx!jCk`5U#SEGKmp8O
zkGf<!KDoII@Yj9u9dXKfP9BYn#8c?28}Ow0DP5O)k){k=0KSi7VNPfUbY>n7gCj%5
zbmYCH^X#awqNdB2He5H$A4tcEBudC#HrZ~Xms(>fu|=qB#ui2K6Ai7Q$c%NiuwQ6b
zlbhbE=L<o7ZrF<Lv3Ufx)@i#Re3uzLShyYI@v}i=z6a4;4}+J(d;EgoI8@oQt6%Jz
z)GqbR-K~+tg{oyKQU6>MTD}*?+Ci-Gq_1IU<o*gPqH@<j7E8~P%wqYTuYBv{vN}$F
z8M@x55j?3X%{by!3U8COW*E7&cgW;x{I?fg9jA50WVJvI?``hvy#3so7U5SF9EH}k
zQ30CP#nKEbuX^Z)@-?<xqKscs*NUfSyN-k4>6-&irN{>Vb;U%Y(Nh0PtXu4p4Xcd-
zLUKiQMMw`n!SQ=q!p4uOcLwY^U8KcIt;sCfDSAb?ZTN0g3aF=z?E1Yy>lbZnAxxb5
zgyu7!X~KV!wRevoH}LcoQ)j}7`JIX86O7@r!&M62F6fgmqtSkP75-`sn~dcTr!5bW
zN~x#t2aFh;T+D-b^;5P)_D%Jo12Wy1@yrSDwK4W99aBeb!mQuq1Nrzu3G}?ON_v}<
zF@G^Wg<}bb=THT?97{5oDBEXwY3BAN%;hM&k%Fany80?t2D5A4G~G)}A7aH3GdK@J
z0k7>Dl2Df+i1L0aN_oj^Fe*N!4XQqp{gA|MgY%l=rmm9EDI>wfIJGy!?pm)sr@oc=
zuE*qL!yD+Aej{J@xMk}cdW`0IJ1=R{*X&--_4`N4cg_n5wC9_dUltLJNX}oR1h}5n
z^>g=l=+;j?*njRf!D#-GI4GmNFfB>e@PUX4jgt5}HVSgXBoeF9#VxpvryD!<qEPx%
z<Xz%%y_x6x%X@E6kYir7I=W`5%XWx<nb&MRr)n(542pzFMO5UPnhfz7pJ9}nE~D!w
z(DGx2MQhLBZRdNLsmNZjmhZbS=TrHWt4HqrF(Dozd71xXJe+ufJ7>9uHPGho@<4+3
z86K+rzuK+`IEw0ww-qQxsDmgKTg?{6UZ}adce{JHyJzlbLIN@-DTN4;pm5|a<jf^^
zT<%PWAX7jbU_`V^Y;|g>wpg($D4-ys_=k~JX`N}c6lFyGL#m}%l>z_I-?w{7cHc{N
z)7s21vwZjcdGGt)``(}3_j`4tAN%9s{LXP_F5f%qjKiz8Ua{x0hc0^V_IqCOeK4zK
z&HaD6YE1OnVF%{l@=DL`qh9`O-hq>!j@+?q-}bxyFz>kW(eQ7*Uw*^mJO8?N-#3?R
zyky(3yKY;*@~xE{t|&Qo=-vmaSFJgHjd5zkulL;hUeU})R&)<PG5lvQc2%t_EjtpO
z)?HoIG3ANjOQ(MBpLgBZAvYYGH?sNbdqbNxmX0~SYgTucbaVByV><T+mu|Xm{J5pD
z{GlN&SMJ|h|LVK1-8OFP+JCLzaPooUZzg}f<jG@yo_OrK?X!!!_th>8J*}lLY4LA=
zeb?rfYsZ}b@VdRbn}1*S(C*HT69-Rx^!}!((%$g+hi6nP=l(POjTvXZ_wIT5^$TZ>
z_b2LZQ70~o#ovCuV(gf$`?s9Es%X`bS*3sLS+jog9XppF-FTvB|IE4TuD|J~tExAS
z{@Sn3dUNgO_)GUacCh-<p@}Wqo({EZ!#1oeIl2;ekN((u|Gr&|d#+h{^!V!89~NEs
z?3*8~AN`KLy6cUU|Fc`?9r@v$*ADFH-u(Om<L|Fuy=BXV&yBzQ`qPI#>8X40*2jn3
zllw<QLwE9`(bHdOEdIr!{UblW;N~ekb@I}c=kMtL<nHZVPfe*kc4Nh;?+z`lU3*sK
zx#cHEL=Ils`4_38qI=ns_pXS<r(O5cpRAev$j;q8BZj>{bjspe&YFF}d9l%NJ^bPY
zSB|Sbmj7L*?5)L@J}~mN@)zHE>CwB79$a$vXL}Ayb6$Ak=20u2XfD6$#<t^AF5R^D
zoXOAZ9lo<>?y|dP%sKhkgsaES{ocs*x;d{N$lN}B!l@~v>NdTd{mvaVcg|eA>bDoB
zX4lph6@S02@|D$>f4rq<e(CWQ#YgJLZ`ihb5gW4j;n**a)URO=-m~z~3+)@_ZQnkp
zxc1Q4U3cF1)SlOqhv!DC*{7$AXLgT%ruGM;%2q$U`^dC2mrwe5&3Rq>V$&n7o1Qtf
zW9!LNr}iy5HSFAvmOeP*H+2K-gb?tKwG#sPq$EC>E16tXJ+)rSCKe>=gF2}-mMN94
zO=Q~%r$_Y#*aUMgBZtq^Oza*Xjy@HD`}?6b3tu4G&ID(G>EjFZ0sYipIa|^~J|m<D
zgE&~Gs{x-9Fz8rrTRxS-xwFc2qZi=**_=~E^BEdY5&{3FL0kpC5g=3wB7FsNFOrMR
zPYK1c*<gxK2NW7k=M`+2)sUqI3%^P7e`!5T0Yz%CfS%k6s1PtuaJdk$>VPsiBy5#X
zgFdFq8jd~}Dq%@bq3kyLB~)4Vfj@*D8+)~bQiBCg<)Tn#wL=iFTlk`Qn*tglS3rVt
z=U5#AgR)>q4Hkr!+d2R#w!s1cb1i{ZZozW7mO$_<Xff9k2%ZJ?<yrzw$^tBNErEDj
z@L{ec5OC|@p=$|5oDSSe(_Kp-<QBM^YYD`>fWzii1dz568C1i<Vg7~!x|?e&lt%`a
zNPzCrP{53HZ3TfZz{|N7LF5Zabgo4Z`T}U3YZ1i0fN$qo1i>#r;kg!}=nt;T<D@i1
z1{Hn02xur^@wqJ+PF_P~a8@Y#gX{K4*bo_<6^g#gj^7WgXZB+IE0tcjzW$@d^)<M4
z&pKBO5Y+xn{IZFi8S{I6X+9<$Z4uA0ox43!G9K~F3f8ci%%ntf^0KaMVn$bOU1L{E
zqY?K^j24wmjNvMugts%6@iv(Un%gCu)Q*gsG`)KAIAZ7w;|uOqpax2vt*N&5aA(A0
ziiGh@WWPr;S#m8APkqfaDVI*CT9P?Q_8Go_H=vYCO<5c#??`7`q<}Bvfy!*WDLfrl
z5LpWGzlf(fm&=6x{*I0gUx(sLXBYSbI4|L6vR{_H;PAFDYRknsy>0E|Vb3f`vc6BO
zt=(sq$%m8Q{$#yB;A8$?L%m2TH1Q(CvH9tIF5=1Olku<|2*d+=LiWbuO-<fFpeg7D
zJOuNG8ub{07dIMJrPo}>R!oOI`~ZUr43^<Bj7yf{`Nl*xS{<uP)R*}kv?7z`^28#z
zBi<gZO|~>Ano6arI{ZsvCWuQ2la1|`P#;%OnV$-7t4P6zHp>50H3WEISE5xEd|3vb
zFwi8EO^YgV-aRTanR%J&WlEhK2rF7xWtT8H%vhP9<3tOB=p>wd$1!;qqBc&OXgXVm
zb``D8#|m!kQ(E}t|L&t$rl#hLz0}%DeS!GGn0Mz}0e3L9axg8;{}snI-q=^*Og@`3
zyH&i=pGYOBZ0!hb040t$hMUsa))+cPERz8&LyQ1OsNJGP<HsDWXQF1OEl=gFhg6a}
zVK^0QTM+SddgF<vSU#2WL}%qQIG!VUrI<8r($s`hSyL`mwILlPB-oJ5MUfS$R5EHk
zm^%IacJS0ljU}D6OwC9v?6n!S7FBhCQQ(xAOr)ew6wmPjMiOcxs`@WV+GoU}c!0iX
zl91pKPJdtej21~>(cf36sDI4BQZwS|pb-I2&I53^^KZSmt;FnvSi+i}75=4;T~ZmQ
ztiV*J$iTlh6M}~^57>}6zJ$YCG`k53)B$DV8YIgSm+WRC)7g^V<N*!k`CKz7{p|^~
z-h5l~AF}d<zG1pEn`i=POuz^h(Qsk?0gWaI^q3~eq+zz80-?tINouobG6QZKz~Jbw
zmj*sYFqlr7qR;})K{GUi?q{&m=$XKEowSflaHw_~Yy@et@1W^Q2;W{fX@;T_Qje2Q
zHfYi9q-ntcY$yb5>EJUoop8S#G+hqjKqN=Q4g_yk!!`!KFLB6%Mo{HWni3@RFFTE~
z5aCogX;@XzWYo?FzYxZiKqG)!C!a#tRSp`1K0-RP^D(R`2&CLjgAJW8AJJ$Fz{#iR
z1WIhDkv_Up$4S$5-Y)5*i@xoApc#bp<D@ADonf@o7$9vJ=X)kALBa#G^N~IRspV-x
z%r(`-ShVwzK7u`R(y$}M`#@)mQ0VM@z*A>peN}~kwe5UN)=f}z2Mw5XLVwd)fG{=f
ze6V5OIN+dZ0B+*^$DogILrl>aUpMHJ`SKA>=+l}i<OAq4*T43%Fco)&aP5Hf8C>~5
zBeX4N-83{)&ZneLsGExJ#_^Fp0$a3~1vHgzEOO8^)gZh|JB>jf7V}P8km<Z#(nnW0
z*ky^vw^N}1n=5uZ9~z5JP`gf=DhD~c&=(|pQac~f#5RsCO3r^I$@5{~RO|~t!xHl(
z3(=a{VOI;P1YByTkv`h+anRsC-lr%twiBIvL?bLgyDWSaqN|zgGzNYAID@T8G+rkk
z=_71DyDVtvTpUdcYJy*&k8slLvP{FiuNV(q$m2RQg!ZQ)ZE*f0eS9n#<v+0=hLFc$
zgK$9Y<qK#b#`h!WGX($XAzZV|$?Cve=E{eG3_<7kGyvCeK48$t=Rao1LB9P#UqJ9Z
zXtZC;)dLOHNrS!+-&e^4v@79|)p7fjST|W1yWx92*Fm2mv`M5PArHaE0CiIc+u2bT
zErh6ZH0a~|41JK#kB#sZvN0#C;|3$n_lP+>&G8{1LRqlk$Il~RLlycoXmp{5vmWSL
zyj|!M=3%(4L*UbKeGuQ@K%;Fg&W1SG1MDolKyvWOsxp8L6Cu&TM=xo3S)d&f7`B5C
zBbjIeQxHB6>9|>D02<*>JM?K9G9X6-HmHz?fbc1f-I$q(G1rBh1C&!?423=+mI{U_
zvCKN{7dpzU0}eurxgpqvKA|2!ITd^WeRLZWZ$t2lhGfk95Bh{Yqhj7E_(f)74wEr}
zaCS9J(s>&633KIOh|yh!&N9mZvG2<!Mz(`b!^=uO=Fms)(j0uDfFh2Avdrga06gR7
zbWT>&gF?TAJ|X{wFqVnFl4&#6Q5IcRguI~v0++W7eFPipkOfNa0KOVvekH5In5^lB
zkei@S@D;F?g&66wI)MLFK96I!Q|0GUNF|sIaP=@0U7W8eV*ArEP2_!m>}PLRxoiwD
zn^|0MFZpLAyArgZmT-@K8u#W{FSDk$HKiqJ!7W4nsg+VgnNk%DR!*)6REFfrO07bh
qRE{A|Q)vEaRNz0+FXy<5uI_24cjD$U9*c4#AQxSHan+9|7yTQTsuYm`

literal 204908
zcma&NQ;=p&v@Ke;ZQHhO^D9@EZQHihW!tuGv&*)-s&4-$&b}}E<vfjC87pFC<eYP?
z%rRn;D~U<aGc$0)kdIx(7s7B7F%j7tTfy-0G0K_RnY&mJas8W7VU)16aWQowVwA8k
zaxoP%{bg@rDj)#k?BZl<WDDbwbFH_ROx9-WXK)(7KDLokJF+MEKr!NEoSrnkI_i{4
zi`c5b!|O^r(V0B^aSNIN2L0qRH{;+|BIWcxKuVDH7wQkFP{Ph<n@-D_PUw&I&(HbL
zOjqAj8>9YC`4%Rpf7ik>q5am6?}x+ZH$POrzg3$S=JfT~Dd)4aZ<VuF5=R?zHaYHF
zS$MV}fj|eV-^3<CZ-;j&Mx71Y{hj)kZ3^_;*6;{<Ho|YCrdVb(|F@5uOm-vX4ZJ*~
zriG2IpN=2+I6I)ZB=uFU&N*3_3E-g5Q>NYD``p%;=0gWXEK!;So!@J`EnJxVF0Yqe
z=3dI9V)3Ab4skDcvPkozLmA;eR7`Ravv|9r&}~F#h%hlZsxaY<cWsXnp)MZ4iZto5
z&mVW1S6l69$w-!mXGn;@)AhSyh`x?<rK1PGduxaK`4IYyL43v$X>HO`XMp^_3#OS*
zv7(&>+WX$GW3^Q}eL-R0>^bvglx#a=sl~Mp!g1@0^x(c;r?TT;*SK~rpsZf=>KtQa
z8SuS&j&#$tK=En}8(V|cI4qQQAk~4T!DIK)*TbSmNxdvIX#y00d*Ok*#L)Y7V_SN$
zgQRCSOZAg~EsFP8LC<m(A=b&HQ;#}$=t-<#G|R_(sdo+U8cl{n+Qc!x8xDv$RMf;`
z#~|{&;>ZmX><XW^YoR0V?G_A#!ui&Hh(=Jf%MF>s%gz}2PVD<Fn4KcVwJ?lyqg8A1
z94+kH;HJQ3J@>A2AQ6Qm*xd?tcl@0Giy6aeYEB)i!f1QB5f4#mDlEDISdH);Sz>a<
zn~l=!s8!l#2vCX-@EeO(lB;1_yB)=*To2bJ>vX9S@YO~E3_TNX;-Jo{e+9nRZ`X#$
zn4do*$$eCP$y0{J;`Rf)`KBwjLl2!V2u-3epj3D8?{_)U&3m(w%*wmcm)ksN$LhH`
zu?mLo-El>Y{M`n{fh5=#2p~kjx?g(dh%p!^MeD(a1PZ2il<zT+uK3{mh}kokP8?8k
zpiO(bxf`Pm4R9s5bAUbOYPL`g2r$qSiHCGXnKcb^2cH!MA2%K-^;SnAbM5laOP)B;
zV4rfdI}8F_RyU!px=OGN{U#>J(l|dxI;M0jZ>H#7KrG0)2fGZf!V&h&5%w_2sctRm
zAE2MOz9vVS=8UCHToLP4*+F{fzqDND&J^tZ=R^LUMl3!aySskDmyMThc+0dM%?7Kx
zshd|jqCm*kabK-EJ(L0^tr!K>;NM-lC!E+A?K$MY{W!WsiW95*Fa%T_dwIc*x-Y7$
z;F`Fa0&UE8&AQ*Fs$d;|;1TbFB01a2h#_n<oilePa~c$FS+WGPGA59kCG8rJo@bx%
zp*SRVPL$xY=HAH<x7^h~F}k-JI^zg!odfVHKV%2=7~U!~OP%c(Hkx7k1&GyI<O#Zc
zj400)8l9Qqz2=Yz-ftPL1uXKNYoQ2+%2AbUhrlb!FxvClo|un^vW=6eL44cAt8Kbv
ztj9r-VJtw~wNZq}34B;=Q|X`D@*M{0ZXFEF<L&&e{R_H|mNc-QxVZ|pT(O2Ffv*Up
z=_@I7EQ6@3`*mvC17^6=?cIVX?ahI&$S+MzyTRFoHXfDwjIZIiKRXVHIsMX+%#>I&
zEhfNaoX|l{a!XH#5ZHj;nT`jEE%zPpCYaa_IehYzg7zzi=#R{>AwUaulZea_UTh;>
z_4~yk#!xSh5`sQB#Nf*5oBZmywC}hF@bUy1b(iu@cDO8BIQUWH7~a2m%dtNGwnUmm
zrVU)vFFCN^G~}c@2wEvn2TmBv0nH3_es{7=hHf`nRyobW5&XrKiykUU^YoZcRa74Q
zRK(K_l!siWOpymVD&Dszto(UwpE&gLZ9CiI&8N#?<e((XrVN5xU9>^@cuVhje%Je*
z6}mHk!k-PW&&<nWH=LWDs^~F*7ymrN>Rsa+t8Q^N!9<p`$qx<%1W3T)w@eqoB19yR
zb$;@$qls;Y`G#kLTy^U*R1i1QRCN$Qw!`sKj>WdN$pt%48dfLl`)SvJ1VzFci)T(+
zkp<1r+MHUATU*(7hH*in8eiC56MtZQwS?oXiJIJ?g7tx9cZ^f4>#T!y3BuH~%ZI%e
ztvd7~bx_&EYw@}Rh~LO_SIagQDciTn!I{Jnd-u4^qKJp`a9#!2XPZ-I#h*;~>n5P$
zQWpl8pOM-H<PpO_HF!!laAq+JGq<klV+LFfh?~2Zir^e7U}l!I<;cd{myJ_exLYe#
zLYhZ3`w04pmX!i0v{V+}__QiO<r1<i=#G`9z)Exa<4g7A2$z|D6ASonKYFVAaM~R8
zLlZD6t*vV{7BtlQz)lc??G*g|Z@D$$_m1}@!>dUnAsk*2><Q*wS}hAP%A$aGcQv``
z{Xvh*`qk^-V@9o_H}p#h-WfXqF-*Hg1+w-+g+e?;%2Rw~Fg19K(!7e?8_K!M*uFty
zr(Z!;b*uqRVK@{>1I`U@^^s>jwBhCUMv$!a*r3zUUIe%<0nm@TAOw$9b!fkI4XCwx
z>MgEjykn5Wa<_HB+?%Pbzlb)_F8Def-KdTF$;4k+ireKA)m}>J4iXA6C|nCp(7-H1
zeFsP7S`25>8cm!bM53Z>8a7mL9LkOzK^0S4z#y}o>@&6H;@{gso(Q7d(ZeSbq?tZx
zby&t>L56$rbxiu=lgDb8cUfwd^Y|XH;l>=94$bide?mPonX+~ouwTKP3`^5Ir&ClA
zro$C)ZhqWTDdUhUOudG)C~va$E9%5xV`$K=_&SsqU}Zh@#SPc+M(pz-Q%#nHAHXpF
zUaUx%t(TE?izXm1npd|wCmsHxfJt&E9`Hi3iXjlmc_Lee;t%0E&7LP465dHj(qwnm
z*xn=Ovj=*1SmEH|Mz{JJ;X++rSHR)3Y(nss=Tar=g`Y3<o&r;G=xTjoN)X__@2U)?
z%usPX<^K&-)VM?ODUnYifas9(;JhEP54sI8Fcy$=uL|nscZ;oG0WZ=%+Z;N8YOJuc
z_AJC1(EqEccTTk(m~={&;0h{(*~#3B%OGM~@&RM%74k$$MeBhbn&S*f#J-bnVAY&_
z9rVG$zA1rvU^mD|IB15*)tm>uf_xohQ7q^hmB7Cdtc!h`a@{+EL9w2fL#Dp0K{=?W
zEx?~9pwXS0K((fBlW0$iU8Yr7rl=2lf};hBU;|19gU_lbnrpjcb(9X|J^`?i9x*H$
z1;|*|r3y<0HO1#0Rt)<+=#9)p_g7X-eE{bor@=y$9(BmtqMHBdK(MkDzd1hqhsJRi
zw@ihel2hV=A7~1^T{zC>fUDOH{3Xp2TqWR`)g!1oOZZ<L$LX&S#&wk4;iTx7KvmXL
zt72whIMk2-#5C1gT-Q&Umb4V;c7-Toq<Ny*rk54kNtPkt3_xjx^XA^wPZt}k9`qEF
zZbF2{YcKlskwKaIk5XrNK|w5l)$ukke-DOz+vE?WjzkLpw_!fJ&Cx;}JX&Ex+hg{O
zeuK{5$agT7`pC=R32jQzO>Xa1-05=YOcuw=LXMiY!(=~(B+x&#wh1s=5WfW86JUd=
zgmV_hI&mUsC44@BNbla+al=?*x5FVL-is4xLbOgoFnqxW|5OTC_9*#-|8X5TNH=Vp
zUogmQaq!R$iyy41B2OQ^r&Pu)2W~C1Al_>ybITk9u4!_`|07loA{f;*4vF2JQw<AG
zjr<=lQxp6TY_q!(BUVmTbR;UiX+*29d7>Hdih<L@1nv~8IF8{L%16uhn3{!Kq^o%u
zmb<ytuxE9^S#BKkRi%<0A8yeA_@!J;ql7q0rU_cPu4dX@@uDE$2y#vgm8fu-T8$U5
z5+%zjkiF?pG+Z>lr(k(t=I&R@Uu-@MPX@V9JCb!h`6u=SL<cO|D&NYT;FBLQe@81k
zw8=tCB%?LBN;&=yj8(8w|6#lWGcJ#+TMG+BVZKEUz4iLeMGh?sqyN=X50YI{Fq<xb
zKTuI|?gG(pepZ8j%^U~;qfo((CYB#(94+`uXtulpnAg9x3_i2QnIN}5qJ&qC8k6Y^
zs+Yc2Q@_}OFRgEj1tfJ-p-!rvIg{@4&ow_GYGak0QgcPj8RP;VW87l{|LFUA=qlK;
z3!VtApA!c{0XqNb1Qec5_sUhCToGoxVoZ>Y=<T4f3?y<@ZF^CCz3uG3&66c;AR}U8
zUxWJ&M9q`*)rjX(SG%6n;SKh@fX&Y)uXc{E1l^vLoc0ETup1(e0oD{S-X*okR4Kqi
zj0n2-@AVD~^}IdOIJnG;qjXQeB`p@vD#DK~mA+V9^U_phv87q3;B`~BjV>^4Km9C>
zeXv<u-xR-_tQv~KMNg}LkbysiO|+KwnAl<315v5>&8uuQQNNku^?9Xiz2uqNVZ}FG
zKhqGL(2r-ICuYudk@9te)(qL{D+qfGwHPEGV!4;)Aqv$wrKMSBFy4550CA<K)2^A)
z`?~}49I&lZN~{{{1^NIEZWR^*I6-a!VV7i6{v9?ZT2rMGm<2eYUFJ<-U{peMP%FrT
zlq9!8U?5;rH&->F8Ex-XQ%fDaY>p;uD|50(-o76wdI~m4R%Bs6R~ZbDj)F6jax5aD
zmQe^pYhkLGxFXw6bz}7g2P3e@oH>JmYMC|wMo!54OXfk<(k4gSVovV^p{P`8mQi6!
zz)H>v`l!LeDp8zvj_l3R^vi2+2|a<C`~ZJ5g{O=-vO*Id>^7Fn6BDJGGwDz?qG?wu
z3XxdhqXJib*kN5<%xCFMxh_Dc?wTB-6GVjmQBw$Pv5eABv&8|NweqI>6t(>V1`2eH
zTM#@BN}%5s*#~Z%T9DQ7^fm9`D?w9elrNMpA^j_tHS;DiWx3<~wS(k{O3p3%4iA9>
z*Boon*_scG_2c2FQyrMp&}xQ3(mzKR_2^*3jQDl?-(HeWxJoYXoUxHi)^HbQ9&G}e
zuo89K(rA+|@#RoATqW0<E-hX7yH3uuPMj`4qzu{zx*Ht$mpJMN+7it-YeG>cD01)i
z2sD-_NuUs^EoZdFj3~(I=%SgXi>csPD<-(ASy!cIJAptNEu{nXfC|#yVTp|Ymh}O%
zsI3oOHp6)P9IO7vp+=?Uyz!ZXH~rnnZW|G3Ze@~Jj+zWlbAM4HT7TNX9^z$*;$5Ik
z=GDWvwbfgI{qJ5OCY?1j!opG_4F5p}TKxaOOV(t<NIC<mua(US3e=NOoldJP(K3ra
zv{=4ZmMoEICP|t+buvkMfbT9j5=3x#88;R^7BiO4fJMys(9&`QT1+we*L=FrC>(fE
z&33zX{CBLT+d22Z9z%;+^ZsoDfEjS-E>(l&3+``ZpVa*&Y!?{wUM=kk9{T1p+XXRb
zSS|H$3=u;Q6N{`_+!-Xoi~3Wc3x>_3v!s@=NKM{Xqm-xP*a!=qR!hYl!X>R4gC~+x
zq=}5ucKAdco*7LvFD&vL6a8<=zQ|&RUd_=&qMn1qx+8uFPnFR`aCq*hn(raNc2krw
zz#ZxBljJrTi;8C?<MSDJ$c-Sd8X3cyQsoCe#7<-sSnm}m2{6g_p8-uu7ART>dGBh_
zeqZcFAWFhBxyWkuJ*FV24obfec{64iSw!L>2nJbQG(saF2OiJzA=l+_BJFN-VElw-
z&cyhmx)js$@pRM;PId>=I&|QkA~8Y2Mw<VX?RZ4<{lh2C>YLBbtGXdShVRR(`gG}U
zKF1C-q5lqa=Rk(A58#kMa40R)qE?hZAdAD$Kz#Niuj+sypD%yJh2!U+xY)^`rS<X;
z#(I7VX8HO0d^{%ygm~~1Vo@PCuWSmP*T67GcuMA&Xa#Da$w|dQCD^hqYWsjkO@Eo&
zD#bxK>Vb|GN}~+4vVn_aCb=jlH4663V&kxed%d{5CY7ldc4D*023_M2!-CCIqv{6_
z&Io|nq@W>x2_!^3p|P68I#PVoLP2R>tU^^qSrHy2uP)T5CuOj(=P4hY3?Fw)%}_>~
zLt_IqcrHQLX+Ce-!!?vR-_qY88s5TPR>xw4PG;f=kUMu%rSLtw<C$)ZzZ+a59?=I0
zj938FZ{z1gtYoI+!|t+Eu-mTXO;o5|#EMi(q;5hLT1BQg?_`$Ry<c|(osCddcX@yl
zPsV+{1O<1(dG*#8m`4F2Lt}yd-*>>Eqm#7LpFqHeDTr9V>beKJ5!ait2m=u_xW2G)
zbiJVt5DQ<PUGe`N=zT&Rq@WQCj%h>WqP(H#4Tw!twnfjZ^@+t8c>Q-?rARdX)|Ul%
zS~x;dt>~O=2Re1_du&8uu0x#t8k={e)E!B=>HM{SH{0deZ|I=O3>l0E8typB9MjNI
zm4Va68+M3JqjtEvk8XZGI^b+{r8!L;5yhI8DtGsHIU}~onbXKsSg5h9O88E1>J?eO
zLt$vnZOZxjICS=yf&A^J+T=Cij}ImFpND_&+gmSa9iQ!cB=4|^*b$TZPkM=R>#hr1
zzRq}7xsjPD^i`~K-1ie_Vi*Ycc^TR|^=z5Lio8Ks+-DHC%dk(}4cg<_`ZlIq>`o>l
z=Ig2Nr-g3YJLQu+wxBtKLnO}E`K2Uf9ZBc|C1vkgl~l1(r^2I|B&PMgi>HM_G+D#%
z!c@9Nh`_i;k^VVEs$uZrTQB`iN5QK>TJ<t}OYL=BNY4+)336Hjkk=K4Lru!22n&T?
zUKkZ+wc3h*6&B?U6MgzO4NNLjT;BF^^Rh{6h;4PcSAds@x#Yh;o1yYu@Juax4&yRK
z^?j)6NFRprU972KGPiOl;q52Sht(uGx}V$QvskFV&`st9n@Q!Jy>M^-;2e=M4jW>H
z`Wtw0B#a;*;l*oo`LH7WBl|bMT>9D@BoEEQTu~8CpU^9sx*tSm>U$l~&c$Dlr^r{o
z@JMFO1^JkZzor%F{<rA>uyf+6iZ-TIyMSAuu;naIAISb<4U>IdAPRAMIV(HJeARxz
zoCmCDfwRd%8p)n`z{#aA$Zn?VcR}gDiwni%BY527#a}pxmgyl2=$-&ak^=Kzs#8zv
zDf745lkxeZ?q<3E7Pi-nV7H<G{L}Q)>c2482`G8}lXdef)Hl%o9_f?+<n`-6q66Ub
zb$#%5CI3!&rakty=ni-z*cZ+Us!?<grc8Ds?q|$aJ+fkL(@qZ%5%wG9JOKZ)xj`=-
zy%8`Rv+@HIF#Pu}8x>zj>L=m#y<!54|9l?mcfM>5f5;uAsMRrXh#-~<Euv$n;GLys
z3{BrleW+@h`g?_G=VTweZQ-ckQ>z=QCRZr%O*f1nXoC}qW_t+mpA=Cn(mLH?bOfUw
zj-S1%Pf=*=G*|`Nzr~DYYyz!3leZ#4hgHdciHE6sdBzDvat<_e53NtfBCm94K8a)@
zpKF@`MWw};`Fm;Yr3(Ult&V3EleN9FS+L)c&|$@>56C_0(bwVztd{4}pyq0>tA4JR
z{%5K7YDzVR&>;4QQfd8G7o#D@F1Oi>9m?{4ME2hmsXVm1dIm*I&ww`l{?hAF{5r<K
zE&3FrC9{nGcJm{E&-jVXgvRQ>JSm?cdX6!~QK7YhmHKNB+$6&R4xU`SrKUZ~2ld$~
ztKrHRn7H(umI>lM+?U93|J92T9oYYu{u*(Lu$vP|W;s@o>{c|9^kj}ENu(QSvRd8%
z?+<n-!Bg2n3l`&iZp6LK6~VLlSSb<XD8Uv#fmt7oBWtHvBI}TZ&*OE&FI4op3(;|~
z6@j5TR8!i{H*v@537q-^O+KF`T(hb*-40d4xRf}U`<ivqGM*I9?JFe$Zn^$0v61g-
z-2ZCA$08<!{my;5QD)5-4(=mx-|1$ozjfwsh$?<WS9Y$roPaCrJ-9$BF$p7YY<Y)T
z;2B<eCwN`Qa`82YG>db^GRv(Bc<-1~g=qZF#Oy^PUuC~%s-)@u_?SYlHG+Bo?}5_B
z{E@MFIE6n=*f+u7itmEI=kt26^`h=a)TH$cyf5bG6~LiFM&~axZ>TR6bOUF^vhb9c
zpa6%VpfUAd%*G+YlavdX==7|ha{gNa6508w+&-`{1DuCF{Ov3{$;MXc3dVQPVnil^
zOn#AltD0F-2iZ+Drh3$ta?Pb_Ji2Z|XG4Vb&OZLdc$Gs@V81@k3VdpzD&Rf?8-14v
zXe&(Rm9J?sjT-xU-UYjam&Hx(O#ZJu@Ne{=rSPAT@E>w8bFjnw-#Hfc|K&HZaQwd+
z4qIttoT<KyeuV^0X3Bv#n7cO4*mK5VI)O$;1P*Ps(@wZ&&6aQ8acDe?8et^46<2n+
z8OM<3RVfAZ=N7lVXi?*RyV2si(N6~NKTVkKd!ORnKTjT%H-bH%m$}pa-HbopFMqyw
zC@Y12Qp^@cD?ZB<Z&vLmy|H&tiaTGG>6I6L>u-PE+zkXb%BFnWtqc`uRnP0c4;ie^
z$xx*W(Dr5I4;>t;RrkCu5a<i=Ssh~_uN*L=(_+_re=YqKuc9Al7e=tO*d3ziGKK7)
zbGN)ge&nr2FWlTUZAYP}F?{U?YsK8BF}!{c73qek?3O~P9-loQK&lDT$l4Noa}FuZ
z;<|cJt}w}hd=0^ojv{RzL_ck4k?V5#$n2p}(AXXyG`ZNC1|jODF2=ch-%0mIp~qSM
zybFhl7hm@1W*7M3`GKL=PyDW~mxtR9e!A!Eh-dct4S5=_=q}i&Dhu^mX942xBPl8^
zihJV=m1p)glAEf}81?h@zQcGsO+vR)%bu&y1gtJAT<NV{LWD`X(^F=YPoP`^_|seh
zJ<;MOO1nKq&T|YGrjc*4B(m!*TZ7#Zw#wUaE1`5f^mxj+)MpZ*Jc=TvLPL%?_QZ{Z
zr6b6DpodRi(GBQ^Xm2sWsg*;va6#k@|E0unmNAql!7$2}ZtU2cQb=1b9)rBUuve}F
z6)$^o(9yoW@9puEj)OTXZH`_;GFTSe@O?)TyvRWhjJI}seBUYoDa)K46<p%_q<w>k
z?rb0Q`f%q-@B6LPRa$}=-8SC>VA)}>p~{QB?s~bwp<46;ee0F;jZwiFay+0O#^K2-
z7nc+pBY!;0DF{XKa#2K7rpqflxQiE40eGXL(pu4=1O9MvI4pFG7|yRISvp7{IhH50
zzXY?>G^dZcvcibEd87$=lPIQVRwGzrTVtz)8@9`KJZ6GAV@;IPE1O*t-NPVPgd?n?
zoEaXI0(PkFa0pX_)^sC1W724;@mO%rF=PARl{L*X<-<c)cX=+1;lV1t4sM^6-=z|R
zOFG4)tO&)w+D+SFu;1)ZoJ`w*kH8MQ`mvmVBHycot?)QuBeCI$k4<k2(KxCB2O@9)
zLcc@-$%-HjCNFpcHZhTR0C53{5A#A&1v%<lp(4)m;pelcQgq^x+AeT$^&{Am=7vZ+
zk&PpvN+SCDgE_vG;qpXa_1{P#;>}GTf?mWWzKHJ0G<dyV%i1o}zawhp%8>13<7=)Z
zGLmiUp2|O3_ofC<rH~@W7m?=S$R8*qtIDa3pH>WcV*P(iT)o+<wvhA$(*?*8%T-KS
zmOHzK-5ZO+YpBBF3F{n<C)N@wk1_DM=jBF@JST|pWzY{or@~rv&9qOMl(uau+7Jj5
z9PztlGBz{F3(@Jo(=}=EE<og!o5w3R&yE!A4b@@Htr^JFS&bK+i#L%#XB0?ki#x@A
zz{@}iM;n_WGE{+;CzDd<c|MZJJ&C2-1N8zWERij;dvBH|W!bDM#8#59ameZQ>zIO;
zxgPGOx&^x7g$GD%sgshLCEx;7hufQL?SUjUwVE$~At0Ih%VV}ROF35b@t%CM`s`6)
zqZv9S(e8m-__L3J7LI=W``{sM;SeOEqW?uCK8}r=;D#aj%YFAT->$0Dt?{O=)J7{t
z@djbdv52zD?<HJRa8rq#%TFrmHB0q`fcUw9dRSRl+Ci%r`DT#|*Z5+e_bER3QPsiU
zuW&I*wMDPLtd>h%s;BkMURk^Wo|<Ne(t_w^nJGyUDm#K-M>pqve(rDQIHifJH14_g
z=GcX!^`{YUQzX_YNTu?*2^Sf)hm6`&gF1NG$v346K{oyf>Ed|)QaH6mEL|)gKG$}e
zz8=qGxw}3MtH=r%c_@jG<;mX<Z_A|&w{=Z#;(02RBy;EHNO@!IeAEC0C>&DUGW;@2
z`||o(ZqWq{daamFiw#;OdK|T#ZV*ge{Ydyw)ZtQOIPjIivuI8%m{a`3kltNo-Lz8n
z@qK0r;-(@j;oeadHmMntX%U*V!eF)o>a$O>MFc+Z^l9%X1k}=qDvX4s)hik*D{`6P
zrOC`mBbY&By>P@MZ>Kk{YTk0wV*o0R5iO(Wt{i~WiFOTM74=SAPP~>^ZV1@u+%A@r
z`L|6@i8q^j3gU}c^beJG5~bcd5wb5_agG6S{8!0O&TtIQR#c{b5Vccbu4ZTw{6AOC
z2JY;ANc6i2UHPmL$A-z3oeGt1FI?&~P|sR@Ik8!o1POduf<};;(f5bW^H2M3GLZxe
zjhi(PGJ&9v#m>5uf3B-iFwbS`s%=cPi_m4s{)5c)lZv!R%(gu#`Ei@g0=KAt^S9T{
zTDqfusIF`&vE~h-P;b~LE*V2+`0#do0+YPxX(X`bxR{i+FqF-%paa@~n4dP3Mb@~k
z!$b^HNHdvNfDpyj)dLNFxu~{mX?WuW5J~PrU|6K=33CGiQE3y;JkDv-`Dhh_;h~`4
znY|!-Cn(0S7zF&?>2YbDI^U9sh=Tjw`U%QbQUDjsrslg_ZN2pk(|mwj8QMa9m%Bni
z#*iYP>+iY)4G=4#4NtV;={g8YaWij=!$T)fo*R4In*m+{2S6yX2TA@A8AyZSX1Fsj
zywNlMF|VIHzA5S_A6(d-$>$PQR&semZmx-4{&s@oAA&1zKsPie3(Bgoi4bBRj=`;1
z^()G*e)wf&6?y$d<**EP6~H`_{=X`(wC*X;V7sVK$y@PK2^Y@_y)^Y`@9RoD2y2Ia
zr29iNq%*8p&O}t%$rl@;j)erDgfHzA1ok07Bt8RSJIYs~tIV_DRYca%UG$EL7RX@V
zQ3@)mu$B}_uL;9-`c%%@M(c+AQhLxdq>qjppa8`oREZ)09|?|2l)GeY(M9uSv!z})
z_UM**QT?fP1Ls`#S$~s+Yt+8ww(UfBD^Y7cu<Z4Z!o-QvF)L)dMnHSC7;QqO&5U<o
zO0KNzX<gW{C1Ce1!6$(!>~oe?FuzyZ@5C&v82dIYpEJZ0F-rLk>6t_{1q(akF}q@2
z?cAP_`=FM<2o=rDn$U0D*?pVvtyHEBuDxe%U0X*ML)z#uG?e}nwf9q)y}y>IYZ>Pf
zJqB#$s7)+QwhJ5A$d-{DKjT<4F0I3KRNT;+tga&;S&Ov_S2ps(S47xARunfYKrd0>
zWW4~WgKu60f4q;4X{!%;$eMeSV&4GN*=|PVjxfS~yPI%og;o>OHS#hx59qD<Bvtrb
z$uthAO};jFbe65x``VM6SMqm@X8o2>{p)vHC*F1Y@-KE+L-Y2UZn4R&t2#MKSMB1Z
z&rLMAbz54povGPl=!$$tRq4*iu9nMyorePeUQG>#1lt-(n8MbPQnYOTYHE=JJ_&JO
z8wbAO&duLlrVG7(QN9)(aQeK6^hhLVF{xysgOoUuGD~W5RD9?2l;~UDFhE4PdklGd
zW)nfvtR#JA9^y*|zD@fz7-65_(Qxoq-w>iuhY5j&V;+!;Lo^}aDCrzy!~SOW?7rQc
z@{LbDhgtpaS^wSD+A%M}eyv|hYZ?`~vp4T&F6#(6xNDq2EkUGMS&sTv4<1%+&vkU|
z$V2>o@pC#s`o80-ZSf!PP*tNN*Y{Fz#G7!&rS4%QMFb1BGaZz=hLweni9j~g2$EDW
zFNMA2(xOw1DpH$T^>HjKVk74okx?aB=&t3gV)KfnL+U*ye%VRaY7s96a?W{HH#kL2
zVMs&R!(5+Djm)@&Is7K8<b{UkWfL_!iD^5zar0SiV6vlQZmH=(_{D(G0`a5c3Jh9U
zL<)xR6$QKACVbtbaiSe-6EkBk%<rLc?DMxGCx@wvx{VLNN3tD}x}s|ouN)#(`i8tk
zMr@Y<==nTP!t(yDI}4fuX=W!uaw%s%VeInJVn2-4(<OL&*#d**bb)kS^JoF6LS~Px
zI7!ky0mfSvzms=V#}hCW9pWiH$kdr!T`Wak#$f?ux|_Djj8A@;lxb`EfO2m_MY&`u
zPfW+-(L9dnh{{Jw70f<l<hyh31RLI3t;6NeDc2%}16MqyyGXB81A6@4F0`~lEyN?J
zVeMKKV(qBZ3czaDjsDWA8X;G*o{h&&cjMN-q!@YjixCntw!izO%W^x`8P}8P(lPUY
zQlQlY-)20~U17i=jR91NArlf1g9C(*-LguOF4s0LVe2l@w{y<Yo>FG=A<DB2xyEPR
z^Y-_njfVCn-lrS<Qa!lh@E%2Ox);sDYv3uPH?0Kvyj=st&n^|_wN)lIPp3qrr$*)1
zDZnx*IudkT%4bM7Cy$Q>rK3G%yMJW8finSjtpms`FDH6(2nt*4<%3cIw|#W9X+Ogo
zw;lMk6#^GqkwdG(ohk6All!3$2HzkJx(^?rRv5fkH#iDar;@CzX<paClbqtwB~8M^
z&>7!0XY1_XN)yuQl|%RUSI{d@@)yUryp0*L^9yf~kN690LS?hOD9wgFB=m2L5jvEW
zv$l@e^JG?zTssBKsrZf)&?RDi<{@%t$kHLNP>8$hs`3(#X9%xSyoR`R&L_E&=L1EM
zx0zx#dgH9tSqV5<OGsR|q^D<<&3SdlTnWu)wE5WP<`%6L*H&?irDPy{3nZF#p<rFl
zT1nX@g}`@Ocb~b|#5lSt27DoP?mR)qP{=F^5R>ez8pNXq&`B!sv74MFX$4LmC*9xv
z*NSTQq;=l`imiX%dAd1PH<lI-irRxs5cBHs1$bQlfPCi|@R=_~vnwd<-)}V6c~^7t
zfAIbac9X>6+dSJZ(72Qxj&k;_!@Jk~3=FP=#^&#$*x8rbxhpRr{yFYEC74|&K!9+Q
z-9fdJ^ufy)BYOTvtx${cqjO2Gb1rwP?sb#rf`#qC2B%|Ocg_cwe{+y?dIUG9b_GWw
zReQbt9Lx`XK{5eNIlDaB7TnSOY=DNxa%B74G;8PP%Y-Ara}B6Cc$OF=sPFQP_Gnd_
zF6rOEyD?9+wybT9I3()XBn${Ch}60{0=>g@K_z4b=7bKrArtS|U@np3uNLXik#nw#
zgT2jcjBGYpVU~GoT$8+9!C!SYI{?3OVKc1IlAL6BljK|9IAY*?kA&InqZf>v5K!t=
z>o>&D`lHr)bgA=sVoqoBmscO3@)tS0{O}+8N11PT)Coh64PDu7(z`tzy~x72l6U5g
z-A5n<LB5(UNZWDi+Y+QsF;=*B&6RgsvUV^h#L5n+XfT-COSQPrRP83fGSm%2B#D^}
zTuW4@s69dalrM7oRMo!5-?RLTM+_(_keGdPiTK3&R!3z0&JkDJ{uO?v@hXKmRd-=~
zlPG(#^9!=xAC29zWzK$W>Q<fnM$&-&OlY%livf&4O$*yq>AgQ{GX9|r)U+YZFlNe7
zjpyRw58K5(gk_ZriiJlo8qKqFJf~EF3U#22GpE!NFMKH57OGr*S7ccY1R|3usLu+h
z+-m4ZN$HB$nuvCmH_M4G%VU6&?x0ubYVK4K@7hNDJ;(OS?>Pj{?0bYg!-J-Vu+j}5
zMNYjOx3#BC%?pvGt3mT@v#A~SnOWKAY<bRXvt$AbUu#DE?T@xVS!|mwkp95Eb&0De
z6iu~AEm%Qfp)|4LCYK}UXPSEnTAIWb6XTm^lCIiCbPVc`C7X>uhHePF))vVQq;?KI
ztp2-Xj}w(`dCD=yZH3Z^K)Z`IQu@X{BA2U|8&W39=C99KrpB3{l5xpTm*nP2ohxn0
zCEI=J-5<^^ufq=#7n=Y9<UWOAIlzg>G^M}%tKvX2Ah-7Xn;NS;bg=ZZ%rGB=W^4Ok
zn8aie7eF8Eof6DCyhfWQeY$9BHB3+Zd!gk#T(8~mB%)St&?^w)(`=yfa8r7HxxLZY
z6===|L3pd&$k`Ti;$l;PQoF_$t)Ze-yQ#A^k|=2?qL?X{Nw?8dEU;N?vU#tatt2cb
zc@Kg(i2?r<7@r3GkwpwMKjCh#7_LPD9X;z-4Y{CJ^{1CaP1BrGwzH2~yhZLcTJ;}g
zl@#g^r2MW02~<4~g?_|EOiB_#rO102kmhfCR3Z-ER1i7I4M#qrbtAwjEWK)qn4A?2
zFW+lCdd=m)5<c$ARlA@XM~xE#lS_-2rEmc28h(cPg?G2VAY_x`K%yugS}gXVSB8s3
zJ&<b?&{;Er$G52>1IESKXmASS(|@F^z9K2bxx;3)pQW4uKGvEah`Y^d1<&Emv|;!R
z!i952-*;0Y>ZR1(oAq_MXSrh9dj{USZrS`PQt<q@2;wc=c9+N5hU@;vj!;2?rcN<B
zhgTs-KN-DnU=J;z=8Ix*H)LeNNm_8fU<nowQ|3`deV7!9{o)6Gi9TM8P7Z;$f2?3<
zp6h9Fc+SeI9sYR2JF@u86(Ais_BuYPsN0)rpuDfc;LSQ-V6(FRVFzl3_yBZ&awECs
z1mBWtbYVBNI(5xH=gUrsf50(+XXwoo;lpWt>Vp)*!qLsZlzao8yUkF&H_WU3W3kfU
zaoUExc|jB@-;F8amF&5x&aUt=?5)evz_WO0v^tM&u`|)GjX&4Ps_QL?Jy_Sig*7y}
zypKk!+H?$?LZx$<eS8?CR`D0f%GapEDO%ORAPRQYEmub6FCbEnQa|D{_!!OdS)Dh2
z(MlM&^Cra_-G|D4xtIU~CoD&TD%EbXw=XUzaiNURab=R>Je>140f=6I1xvJw@?_eL
zS=m#t1Y;9BdMRdJ9fKGQWzm(@kL}mFZj|CfmUgJP((zk{dkFQ$g5-DP7SD}f-zTLz
znUdT8QWO7=O!%Li$j;2f@jr4REA#)76IogQU*yCZje$5kak!pW&8LPFrqo6~)ASB<
zG9n}*6bTS$N2o3nbZXEEJD~g5?#hds>qsaOTDI^CNAJlwzd5(QY}I1!mbnLC*F1ur
zyY~}2na7zYyTWe={odNy$7EEzyJV-7YQEq4@`u0KlC7(Uo46&-`FIos!)_6E3zI|k
z5XaDDQGUVNk7c4-^EQp>mV_B(X-`kfw)5kKvQwNo*qBi;J}jM2VdMr<dO2AwM2}Un
zgASbI-0T1GCt;2bv-eI(f~oy}N-&<)aM-~NCmk8KDFL^cwL{4p3~VPdd+QuBY?(fA
zd>n5G^jkG*U#mP=bDIrKUUdleFTJ7*9lUhoJhgfrG>sIsI#BN`Aq#!Ahpc68`LvV7
zIzkrM;=U5~V>dLfvxc~S6P;Vwsm46ru=EmetaklI1@jXqNTIULt<eA-I;}{MK6-x5
zKJTI)Q`_(HtYqVGtBo#r|K=={X-Jq2V<95tvc*UR5$Rl$EKxU)udViEtbu8fF5G}A
zG{sr;+IF6U-aYvEX#Fa@Q0Rq<jL00+<JAnH-qKD!*Pu5(v}g?O(k6gQ&jM-E9lUm`
z)~)nNC#5;vZI@GCkg0{eI=bQY@Ca*uxzm^$I;a>^xklOLr1wxEr;lL(5vb_U;&N9~
z0l;2eew43unN)yh*`5IACF{HenCdpvSYhlrE}xBL<;Sg>8)a>q__)}O&bZU3mh<2W
zlp4B+UVD$v#JKA^nWU}=@FSxBc)L^`ADpojAzzSpE)A%%l@tG6`Dfmn+N&xX%8PvR
z>;^lpWV{yc&>=#kqe4LX&;L>krx~WY1TX4J3axVEfK$9qwxPXLv96>q(CfhL0{jlt
zlQ>dgVrc_o)?|{hP2V@$Aa9=`b#Y&s`Qih>{nRZ<UQYa|DVj+PwhLQ{Y1WvmapcL`
zMOVt-1c43B^#lw`xHiMYL{+q2#YW*Q^>7Gq<2f@l$j9{3L1p**(7_thMcZmd#JrQJ
zENI(mH`5;?sh2^2A}u-3XECECznHd$oYR>qTD&^E_8O=zZmvatUUw3BPD9i2if8MQ
zy}G@TL3MK$@|38IJ!#A%fH7`jZz|<1h3yZ8wmOA~NFMKS=)nI>BGaMuplv#vVD#u^
zS`TqjT^Ir-rg=(Mr*QpU(3i>|At8>(1haX^GcHq*4V4wy(8eEfMQUnyF=qJ~wPXr*
zM?4sidy%ut#qOqTS%3}AcbRMy*X-u+xr+JYJE2ohAvIV;6uhnW?q|gJ`x^p?>>K-k
zF~k3<`2U!Jo1OFjCx-vs*TDM!)Y!11w~<EH=ICqxBi2{3DVaOiyRKL)74=k56G}hD
zlSEjKJ*y<ZdK57u{^1ST+AnUVA&+jAm4rZ;5i9|M85n^JOa}6tuG28CllWcr<Nxw;
zV%`_o#z<&Cd_9%jw>|!MZ0n=Xyv}~lKOg2v(GtTpr_<NNQFS+^jir-Z%Js4cP*^C~
z)B8Sj;O;)jpnNgp?*3}rAmV+DXg}G6GFf7NjEU;P<&zlI@+z=7#OeMD=nxlkZC4zT
zk*NB4JrGp6jk=>#7R&T%bA)EV61ls}`S{G)UGiey#P>_}ZWvTq66`~bX5LkC{=L03
zB~Ep0d%>h0r%x!552K=e@p$(eJIrafe8{^ZOdI74ku?TO)FHfXv8h3(-N8fJ9tJhF
z_2KSxxs_!gyg=q!lE>d8QKw;0`MT~_O-sz`HhU31_V5l_t)D@V{-4WW3wD0*|A6)D
zNdWeAC_LL>ubez&i}0Vz;3!&1wh*R67*vMs=liQ<E!yhG&-HO*f;&yNS>q3$r0nBK
zg|MuDv}x3yB34ekh)fb@q{1Z<VxM%0ic&bFr@LaKf!tI0nC==*R_ajOIrsc<Cml@N
zTYe+e@SoTP4vf%*N$$npW6Kdb&GnL1-E^p@Jxi7pXB*PLh87g=^=w$(#X(DGew!;N
z=Z&sLaj;3h{dH9~Bp*7!W{Ut)p{+4T561EG)AxcMB=j5SK|NDOxLwl}U%tOHtrBQ`
zBX52aM@AoztrVe`D3eo`s)%`(EKnmZhQ`b53+Ay8GgA?ym>hboU`yHzV``2-8Bkt+
z=g9kzj;e}a?OvUUO7HR}gvT!B=0n3XiTMm>W0(<I<VjpUHF#i+RBAU$qoFcYKWk^t
zBu%wC48|ie^-VPiRB!W*QEzdkRBy{IPHb`t=P~8L;eN^;b+0pR{3oa@4qL`Vn&wbx
zEvwMg(Uh+os4GJoHQUc=^M9wcFEY*!2UAf9fteuq(>oi3EzC+P_1f3Hos`VU=8>8Q
zD@}`-A*X3YU`ttEumORu1Pu$Hs>3)nEbe$Aiy61C^@t(IdwFNg4%+IDBjgD4z*5Lp
zbU&+*J2U#c=%1*OmcJalKiWXD3{%l=SV&uuO}T*E2OXPJb|2o$9w*Cy>M~@8(I%U-
zdWC#e8EbGPXp?hFCXw3Cgx!vdOKKWLW0Ce3^)1vR>C<M0pZ+lpCOr7kywFXc;H{EM
zPwog6BdCjB*gOI#*9uNO{AcX|{#iTEsR|Q3F1Ye{Vo7!FPw)7M^0L1n=fg+ImqeGM
zo;KDUEp9Qz6Vogz4?j6#nPn{HmS7cWRu>k;=y^ywHCW<ju~|Zx3ccH-O;U>ChY^oJ
zn_q?zhj&es^(`WuuJ#K_^~Fk#|8sWAj~pZ&2+?Zh^>H|-sUoVm5EX?ptBi!vty5dz
zEQN?}05?8yHH|p`d>u~#f`7gaxCH^kI60Hh2`P*#6&Q#>CHSdkCE9Tz@luNvKn!De
zwhX-T1xzMKmbk8j;|rx_h=@+5KXnlP0b=;PK`M4opM=#(O&*qG@$9VAho_T6sZxAi
zozQ}ot4}3a0?95BrTBn~is&XI5dvCA33+6;7_w995S1uhPADow2zXW0Q$xXpLTw?*
z_yod&dLK$HDjEtsT`nLh(Aa}J=)*pv5HUKqY+S&(HEq+gB^NVI^>+mWC59(bW_#DT
z^}u`TCLI@M)K6%piird&iAC+odox_6EV#0Zk_ynHrk7gY)0$z$nOe(dyJH<bOS+t>
z-Hj`vQ_#KYd?miM6#^PjOOmJ5N(n-OTXS}h#9DJUp3N9qj)9Ng9z8=Qc_@pTak~kK
zY6Kc(-EgzI-NPx`#EpeJ09XmzP(>wCCXALz8Ftx(kHb}G>JK-F&LMZ9=aiddi|zyT
zj0H(LoW?J9`Y?Wgyj5;--j<csL<_`<ZA(_^6QEqaMx&6Xre1?K5P&J8FdnMQ)*?+y
zKt8uvpD0KzBwHJVLxg<JmMgOe!tOKyMkO8;V+5wIHldsn!8K`2Cj&USBZyqhHmr}1
zku4Qp4H^K}qYMeYi0>8r#vNySF>X^|#6SW$`Ik8zVG#lEcU@iKKe&eiXAP-xuUdb4
zQKM2h$*AU4Yj33HdH7bZK}C&n?nM6v&Fu|T*^innO5lpS3-O`BQXnU{#g2Upw-&rq
zHF_I|F6%%TDZ}Teh>ytS7wM&l+SLP;`&pbo*Faj&T5wRG0J-#DHKSlX8s@OI>=W?R
zd~9nD!3)~i0k~EZ=-UDL0#Z5^EH&$UT}AsdQmJlnBAYNRrPXKA&YLKpQ?TX6D*AG8
zQ1CW8H%-E20m0k@5cn3S;oAAJ@I3SU9B2G)ei>JZ8~YhF{PeiR*S_Rp#VaSLo+|_`
z^9Z~_e`;!)i26UYk!&_5A%db>_4jaXa;<A>{Oh0ftxdmzYhD4gmwkh)>T+Zp_7`F~
zmTHC<Ek`h_kaC7oTvf-)muEUcMEDgD)lC9Zs8_a7Fu&VE`bG3qhtWfTK-XJd0ukN*
zXmGqE*onhxCIAI*9R}<kM4{L22awXdG@}JXJ>pgFvvNf42ng7<C3KpsvN2b8rMe#a
zNzwl+1yWRLF{JR3jz+d%M=Spzx{x4D$}bX;%*fCna{wKVy+*vPUn`2C7!j((aB#BN
zzb=w}tAELT;j!dCJMxDDXGI41hkXlGqzrK*-Cog0En@_C<%Lr2$*oCnfthtj@z=>$
zB>pt!l_F5_iOvae%NKMATazGhGr4&GS0un`<QvR5YvZ?}T(=-|Y~(8?Qu1~*9H+)=
z8SGVDS(UB261@(G>ZXP`EjAq$R|6O*Mv4w)HCEvhAQ+<!ARp^RuU4&51wp1%l`2%z
zfi$uRHs-Nwwr&?=OzKHeKqO&F{>VHDbWvFo#lXUliJ=WE1mU7suMV7{Gr@O)lMZx}
z+YjbtL%zh{+J97T{N-$~?(%=LXuqkJh;#WB!fqd6-HO#-um)%t>4)!GjiRsJhqzs=
z2%&8s;O*LfD8bMjfP=F1D=L(=mPgZ^2NChs#6<^k89~r=5Qgj=1Me9_=hYnmA45AQ
z^eifbHMac>E>RtpC=!-cyp`q$@T}q3^sQLA?N}FFw*h8qz3H2MxlJ`@7IOhPJ;r50
zI8c@iAf@`Uwe_xG&qq4wdexxPa*?Ujz>*Ft`r!GZW*MSu9{ELEhk?7KEJJGX)1YI^
zSHG>>3Zu>TqiC{P;mQCU@@R}hI{$)(QzUhu%2=1^Dg|xUOV-lT$!?M>oY$cdPW9Sd
z1cuL|v`==_s<s#GGojKWdf~$hTfwjbDTONQ>b19quBTVomh@io5l|5(ItwoW1exPd
zq7ZE$BsOK!2IUW4*sL@s78enab<ehd>`~tu%-MD{;|%K}z}+Ud8}Tt7bc6QGT`G6T
zoS1#|CgBCE>vf&mr%}@E>KDv+bBx}~DkAdcfua)jss%kc8UA727cAp8Py>hP@X2cy
zvI@;`o*ApTP5D;1qCLU)GomO~U~aX8!#gVy*!bz({N8J>m9h=gxjlJ0dB|I>q=d2x
z?&gxBwYAw?dgEe{yJ?9jr?jq+Z9sN4xVdI08<=`?eK7{uL|QvyM@m|n`bi{q_H=4`
z!6cu@DH=@)&N!)QPI2;)>(bWzGBGvC!Ac~wm?bqgwWY|i6QkM$;J(p4*y=@#q92CU
z>Wq^fUpDpea1flbh7jVlbQxJ6u~>H^Ycoc4o!@KEb$7|viHf@CYPVb*Tz!#aH|xGw
z%7nxh+ELSt4;qFv<XxF1Z_!a{jm0?SR+@)=QO=*T&z<EwVxEVe;wxo4CyC+#J>?e*
zQYntnmuAVtKKhA~5$&9HSuuq+VNL^}H6%Z2AbiE$sq%r#4KhkfU+sN<*)K<Z#*<N=
zdqja0gRD&v7EOGoF2DGyKBeagFTp2WTReG!=Ru48vf}6|<!?bOiPG&V0=0xetUA{U
z^d;Y3Cb8)%w5jE2Xfy%kJ!yi`MDY`IQixw7Cv7P4%mubG`N%}E7<Pw@qX;ucCNASz
zXRuWTKe+wERYg`yV)6r9%Y%BQEj3*%`;yv7k4?*8zyw<f&>TTa@bS$qL^{c9&igra
z1{t85k><LpRLO;ziXKG#ye>sBHX6>lM!Q1mtSGEyl4i-%!0O6B9L4F7Hzk4nNbK7H
zgfth&g{zFQH_%IP!uQmNF-+{EKH1)#4jM^Jecx6r^#K!KeZnm%e&ExLa=S$Tk`ra9
z76=)}>|aNO7JCA7LL=T3vRq-*Fo;ekt#%Nvi}{4~&AeX4Po<0WWdX=FEt4FUVnYi}
z;=-yMmxE|GFJ8oVxl=yLraCSRS*Hx~R@{73;0oD%jzh!_Uoelgeo<UEzW0Y6ZCead
zF2GixOr(}OPN_CocP);_cNX1jJgOQ`a-eb7DF=oD@4IJmq^2>bNo!mZ|2gNJoV!wU
zW@h@m(X-L<Vt$!vt_faY48NCA-7QPHaoswU>_b~Y6LEC$ELu>eE2DN}gR;bI-cOTQ
zmbUd63m4mYf9T`yqe>{>xH4-E&S6QK<cv!Rv!w^~Z&~S2&4n#h?7^a$<pum#MRv~&
zwdyjDF|N*N`>7Ey;q@X^g_^|d2J_HJYDdj>cGWV1ZJK+WG9OAoE^1PR$9L#KZD@Ct
z5Y<KJkU#FZ#M`Sv5=suJ&S|PJ#3=_Ty3{=-8apP%6HU?m(=3&#jA9vz2&?B+4)cnM
zc-sf9NuKStQ;q@NdQMFJRpgreRa^0(-F>1?^`#}%;fX6j?}6mD#Vdzg^&QKG_DcY?
zft4iM`9y50j>V|VWy9oWSl7bT>0r`yVUEtl$UYq_rUuqX7>IQlhk)3)@-zkBncO(Q
z1b7RM<$@a09*CtfeaCo(Uj7*rOXPByZSg@^n(D-WRTuU2gP{u%vBVhZ^J3bsJ-$VN
zlW+}(mlNu_eVwuh8fEYCV3x0E0<gF&Jy!>pWi+3Yk7mlnI>xcpS|oUAm)hKg9U6aO
zNZ$>IdI<iEhs~+yP63RYJt6Du<gs;-l$b)OlYD|SF6{dFWg^e5rzm>sED~LQkfWV>
zwkhJ{T;r){vjE)?TUt=lWwYl>7R{m9=`YMRAF|?5oQ;bPLTJWWPP1WMrq~Sp7lc2s
zy~u82HHHMrj|&myc4?LQGY(FzxX3;vF*J#|BjrA4$r?a|4ijJ$Rw~CBK&l;uQ?Lcs
zcjye^ybNHJ`=rF7{n}qxX!k`kS^V%(03ug)(sI)GFNd=xzl4P_A3D2~9~OREQQ6po
z&LH{uMYGN?Z?8gbGvU8oV~Kko=k+6WF*WGZ!Pdl6aTqvUV?)T&mszl-T_*u1WtT3*
zTQ5uE0zTTF9R5n)eBaPCys$-CFEjuGxILJy^k}Kdl)qt%2jzrb!ve5vyek>G8Ei}8
z3S%o1nVASJC(a4!j?euDG`sJEFCb4%9z?XScuJ8=aXIR|B+(Z@OS5pjZ(0I^iB6jG
zQxTlQxw1x5N<48EE;jPAon@DRL4oL$>>yGXK+91OU?sKU?D79&?3<!2TbixQc9(72
zt}eT}Y}>YNb=kIUSC?&c*|z!bbH4xHm+$4itQ=#FJyz@(xiVJfjF>Yx`d3aa&G}7V
zLbEf+p&v2cTQ(aRakiI{gIY61CZ}zpUO=`X!aG_sMHjPh2nXseQ^$_NyB2p8X5W*8
zyoRYJ(U=n8h}kUX>%|=D2PVMkk|%NPA5e8NfhmV0J}HS_+8lKd`{W0TNn+Ic<j0bS
zfg#9Q2uUAC#HX2380K6L>u!hmj&=BSR)VfzD3<(n2;ijMhN3aN`1_W9c4^y=-heis
zXI45P^f=epI(tZtfZHKlRQ4aYD##(Wl}UKg5i6ATgKA5s*;_%Os4pK*l7K0Vl(_r1
z1<oT)Ie~9PZ(VK6fUoSmxFH}Ru`uCl8?XO>m-s5#^@eTv_Okio!tUH3b=muVps1F;
z@B;PwS#Rj8VcADlF;5LD&}m#*nd8?aYs*)@3jTPk8&+asx<%7v)bS?|>fV4qyWh|U
z-T2%i-8lk#2GZLD$3p5H()hUqiQuo#0mg+li7?||vH*PQqhl8X7d++Azkw`&DJG&H
z+r}zn7BJJ6w9a>v+mm7mT9&*_U+g|TSb{ErM5EI+$!D9TooVXonb^3Yz2Se!O)xUM
zdOBrTiErEIIzH5#(>arQ(azPDf9!U#+ftHb#hZjp)v_`3_;>$$Z)G++M%U!QRD9aX
z)~85P>tppfYR@Sf_7cck*LrVm)M$jlLAI4UBs~*u_oTnqF@6z~<4O^*k)`Drix;>|
zICP=0P)7SRBq-*~EilYjL~np+k^~wyA`An!I^xkuJv=HU+CLy5V?P(&ERJJch0B^o
zIWpu#)1IdqMVh2y@d#cYLgePSgrapS@qk2+NI&V(4=#MQJ4|bgz~D-#hob8&fom@#
zYzYh@lmX4iYN7bGz6}zs$w;72NG!uN#$(g@cWnx>(R)>4CNhkVCD5T0_^dsrJ;+Fp
zFQ(?zRK#tZ!)bd{YvyTc3+uh+9Wp@TO&#WA+L0k~c|z)P9$xqI^7ZkB>Qa5b?YU#6
zykV8tk*cjPn{S2Urq?b`!gFiftLwbW2u|jd_cZ(Qy@<uH^txu5&~GIH){;$*;x$z>
z`&lV+1`dmHLb@}Yvp%@#xSm(|s!ISiUBeghj*NDji0S&5uqAC=ys5fw0==lV*m9sp
zinz$H=4j)^uIQ}LsR@ZcF<ha&bmaJdU^qiFItg$%bfb@RH`gy78Zm@tcRCIZb*qAm
zdf8)YJeU9uMLBG5)=oW5=~|m{JL%FjydkN5pI-5QfB8q8|MFccOq+^~8U35ve<hx^
zT~~wUf5E_Mg}pJZx9bRo!CcB8Q1Zb1yzkio|2?Zn?9<yT+se53d7tJxNMS$rXL01g
zful9P0X&rR-g<kyVzt{wP4p?FNm~myC)kDzW3eJf83Jcv7yqVO=%roH(7Z=QEQ6Zo
zHhDh#P>bi_%EpX{W4i<L6=WMGe)X@Ma7B-cKkKg6sgIi7D@{)`n#}t$@Z88x%NIdJ
zfmgxoW{xuyqi0r{x}z8fcQp?Zpph>NY@J6j(2gHlWzU<R3)b6HIPgCE88B+(oteK}
z;!Yoi$*ERm=k1I3Cwk1@MuctGA}O7-^Dd&4-C)z;Vxy|g<eP48Z$>(|CPM`~U${$M
zZ`o{RN{2DxI;E=tVJt?lTXCNWF&zQv7aolf#3Q>2oX&LK7cYd#XkU3^e7c|;q253q
zp5pPMhD3}Y^86EJ;0%ZR0naL{t~+z^hP@%;w0BV^b(u%1Zwf2HYxpav0!=#ConVee
zE#b8;Nnoq^r7pb6Yx3b5w3}kqWNr9mV5cZ{xvNOFDPZfZcp1NAi(I03g*@ugAYP(~
zI&d*ULb%|0L>9JN`6EB#hlQg8yuFD(h9IA9Bj(#q0Jg`CjDv2(Ev)Z9OQw)d`T@-$
zQ_NG6{xHJ^`&5~m+>Z-6^gcYE$jve<WKyIJ^c98pB0defl!%R3L?X}IJp%`$Ts`)9
zoAKd-V`G4bwR`~s`%G?W=3-tx;xHjSXj$^d1t(aWPV2?FdSt*zW%^B#OY!@yTr){8
zOsn*ZwIDCY=J?x2Q)XKEvOe``ZcsL+<~&uGk3z}&!XNi{!&>n1bt|^blje@E2hGPC
z(Xu6}yycC{sDzA%qmREBaarLbnd-CG0~&q1e=n#~M+&XM7lMpa!-BluZ(r;O-d*gg
zjq!EveZ#L769V6l5>9*85Dm9~we^QY#SmQQl$vhRa_R%2<7(BWJYq0F!q%GEu6edE
z>+fpDJZ)IzhXBrOo`5sk&Dh}ZQVtvZ<ZDXc7}L@3g|46d`ctEzJm^^-KyG`_N=EFV
zQ-h+?x!80#?6I!IDVO21J;#J>R$>yRRq5~e7hV;1Ev6Um(A8K7nLAo>GsEP|1a9}}
zeaMZ~W51_k*O+*Q0j2qqT5-L9h?^xKRr`EW0h<d}n7sO=&JZ5iBA@W|+^JoCby;J!
z;d>B+(7&&i==6{SmfXe2JB&<ngBF7JK|ycb_b#&3ZIDC>ju9U<Qcbzg97W#4s?3Qq
z5~%1lBSFDX0@N&DxRR_u#NW7*NoxM10^%rw#r`t_p`$PAf1+pJpMi4roN{!?U)IJ<
z_|;OkJ=W7Y3k_UzLl@e#yZNl@pZ})&wCEk(^5OY){EBV+R&Wcnsfw;DK5b<~h}?{(
zhqTUP+Gi-J>S0Cbo5=QLx8%DB?7NkDx%0C&!&V}TBm3I-De|HZDXrs4=MTA{7kMi;
z2>U8XDbn=N`2hVuQGWwIc#GOTOzo5Emn=qdH!)F)bmr0M;iOPd-~8YpHL6?y&mRmC
zSPO=qvP?3;PP)^C$KWaM<W1I@Hvw(Rk>en&xV0p23k@faf-%xI?z|WsTUyfFPUVS}
zXo5~OR})*Z3WWNhN03BMXgv1)cJvK=zj*5V-^r5yWo59ivHVZAg!R8!8La;|Rz|j#
z6Kyl{$o41IN1NOsT!-Er1gW-Su)PC{Vmzdy9HFuUp*>-+UG8%9;+r%N{<Wp`1+_MB
zR`W@^ZL?;tt%X?Uwoas^ZsddB*YoQ{)CzxOs$NeAQmUS=w;R#%w@3Q7$JgCmj1@|r
zKyiAL!`a<iRMerA2UTHapmHjI1r_z19s>hE-^ZoY8kLmK%k5cu+P|a>zm)%wG6d2A
zqzt`s4X^7$K5xHA85)j=GK3k$#)P>uKkaWO3pL{zRM8~K&KM>8{&?^e28r!s=j`P~
z04bxtY6wQU)%RXXX4qZ2)$92zJqtj}AP<q`^z?b*gO)InJl_4)h&Ap}h)_!b%|cfA
z&6tU71a);I`(|B*LXX>1L=zGrrRDKLy{VPHBTSO;C~=IaH|bVC@cW@vjar6y^ZH#B
z{&D{X9V&198NE>!t{C!kpTdd2=)u=@nxKY(ze`>TDpg<(sK-aJhIA!V8jvkP_Vq9*
zUP+|(^YgjA4M`6svq*8~juArvq#|)_B?l;^z_~O|>G=RKuZZFXfR#a%UTh0jV~<sO
zlEi|k9k3@0>v2lAW_N(1T|3jw5m<!CpzEFcl|Uqh<wPl2p2XWFl?#ex^xF7itG>7B
z&hid>@-W4~$s|&+P|NAqA8s51@7TYnKAb70Bn?-#<fLJZco^zv?z_-7-=o-MgBODW
z$J=V_U9#O?j=Rzv|F;h}<~bsRSz(iGBGBjC`h}g9a~*1PIhT5dq~6qL{?UBqPB*Xj
zR5e3Qn-%K4>)mYQJmW?^YRuN379%@a1LA59{Y}L3Fa;?iDP?z<I?K#fRzD`{Hj@qR
z3<xP#1qUCrG1JJ9!5L^p&BV`$$PCSploL_*>B-v=rhdA?VJt}|qRzCJPm~|)()$^=
zV5xXHr87A@r=|(@T8*_P5_lkP$F9d_5YvLBg)PA{S9wd^@s)W&8z6YbxN{phU81;$
zDLkp;giZtV^6ch?sA8vfe{U^+*enWWct`CIp};D>4}PB%-YM_|RTn@^L<?dR*8yk5
zy{Oti?7^>3dTZ5lr9WuthiWrX{eY9HNWx(&XM~sabUI3K)iq%V!EEC9PU0802+sV`
zi=sSUW~t+BAQcjgK(r>ZtbkCW8LS0S6{TEC>sGd`GxNGhDen4#2XPxTw74UpZV6Og
z260lak*&tXeZn(Lu6cZBfA)6k#@Im9uSy6#tP&rqsa6-UyhOxXV7LUIg}XSV+(lHu
z-z&9LnP5OfkesF*D<7MA-j2x|;~)W!r4lT#;*k}(^;3qaU6DySULMYP8{+i1KgBUJ
z<?Q5{zQ*s~f;vbE`IL(M<H8LlGA&I13lk%N5WqPaRP>3YWQ_!pQnv9yAYWboSVP+g
zmSG{6DR;7?LzX;rIH*WETBJbg<G>mvU?FJIJgZw&5^p4Nd#oKR*F5at^LlIX$~j|-
zcy0%cYwvtY)4nu6u3zkRL-(GA89_^evbfy6q>)=_-Au{;wQ#OnJJxxV(JNj%HpN+a
zdke8~>T^Rz*(uvEb+dIQ;DYf5`+Oqcvi+qL^UYmaje|1nn9&EjVyg6n#idlX$AULS
z#osyB!)N_Ik-!tYc_PqJRsP$mBd8*ML8sg*o6$j0eI+G@rO2_`$|p(wEkWXJK6ZXZ
zOe)1U?*W{^R=H<wOA{(?U2A-c&1;6zD~eAW9Pw|W)z&b6-?t*~yr<5pX{ezWeUb8P
zsHHKrYIFfjJ*y6tU^0p?G0Lh${V|D)Sa}mLYWv~G^eo;H@k7b9@rHTEOWM_211C>v
z)PcfwO2$iQJ%5FJlrFu07}~L8t3sE;mFZCP8=i``<))a!_*24gM!|cj-wdn|UDCbm
z=9_Fnz9+j)MG>^VH(Z#Udr!my4JfzLqi1`FqQ%In(xJ7il7e54wX<dZW`j@Gbry{U
znYIzKc8B8LBn}ce43*0Is6;WhsNKTHR6)?q^3i6k;E{OU{!qOYt&V~!2=VII(>+K)
zTk|fnEDfZT6wPyvjYsmedC1~DyYn>Kw(@JCF@TeF6pKwu2C4olY9l<ev-l9MJb}u3
zeONJ`RsukIzmP<4;*LW-F{6kwDX(?W)@gTa&`-2_!(>apABr41K8UhJF^e}ylp6n;
z_|>(;Dt10`6qLA(F)3A{`V)*@iW1Wh`?o|;W`aKw6Q9p0JSf*sq{AZ|f0wi<W=Jv>
z<zi}SXkZj2Pv*luQWM3E3r5LwR{Cm5u5^@hANnnAq=UM{wci**1jl6fB67-wMx*7>
zvUg_JbN-@PgQ2q2lCZMtQkqqrCG`rMS*@yK=Jakziwq9p@44sijN8XUp<Lct<!?iQ
z*4W18f0V%p#TNy_to=1E&S9>TO+Yp-N?4)N1iA)rLtY{2UhTk1BX>TJt<5PU5i}&#
z2JB2}sJ9C`|5^_zDBi2ZpvZ}`!xNhp6z>|RCuAi3vJ#R}Rc();&c_=YFACc|KBlgk
zZB0PS)LvLA8_TMSmF1^UQ;FjAg4a@ZL{kT&f`0Bg6GCcO$dWm+l(n9}XXg)5YT96K
zh^eKJ+zmtDl?VQ2Vpx12t<FHHSS2U37$ciP$W*S#46(7++}I^uT9DprIVhbis*W>D
za+mdF8pJQA!~ZpHR5-|0TD?4-{BuuR8O^B&dzsg))OEEb;YVf7sipE`LYC0VIazPn
zC7B9wO`>dCD^YJ(cY(8$iJZMOa9BEGDt($b(CIx6)$sV8kW|L`rJ)6x3h+cHepOAl
zt(2KQ#MaS}s^^YF1$1HTk3u~K9evUUfz~V{6%?UJ$bd{e(!=p62D51`in2d>&}4XY
z_m1XWut`H}FgQAO3=zc?Rkq7HSTNZc66v|f5{**vzSu+a%#4-6BznOupeh{nkGlah
zHEgrK-Y3x3-1yG;f!Gi(Q0|=2qsp~A_D6sfeTPJ$MRDvfTOI_x&T|HmuaxX!XdurN
zf#p$1Uq<ev!)VRC0!~AotAOb*S<HyZQH}~>K0m&gUirOWZdCsGP+nN1{lqOv{F&+4
zqVdG-G$Aof-nKsLx<g+g*St>u{-obrT&89jx3pa9#$^{7Tv)Cd)+M*aeSivKo6wk)
zlNBJTu9+bzag||@kdismN^PJQ{N@q=NEa|Fq+>iS^c#k?`ezxd|7^P#wj*=k6_TN+
zZ?ij{fI0KrNTwL3)tDP0n!cc$7INu)2Ip&}l!3(&VR!)jPReh>Jli53eK#OT%i<y}
zYVjwFSFhD#6VRf1q77|n)v00wZI`VRb7i~5gsKdjP?c1lSo-*+UkrO}UR!OVbJp%V
zG@yj{XJICrc4Pu9ipsh>s)V*(21)pQ0hKdt&<@HhH7jwCRCjz=9i`89)9b^Wo{V{t
zP<)P)ha_P;joS7*Ot{ke4^7r(X30e^Acl55v@NSf<><lnhq}p@5|~;yD&-I%!oMD1
zUbR8GJ&^9W08*IZcZVr>2ZL8Yuai>;My2eOxVKb=h%q-fCzTaAm<Xrz7s8ub7e<?O
z@Z!!aAM5I#(Kjr=ZaUF?ak1zSjHCrbCyMod`@VLB`qD1l*X8R05__w&wNfs;8-fCf
zTjlnMLIRfA$|7$7D-QrGpUdO5a6GP-VS{)N!Xz6IpA~1ZVLT^c9jzxL9bWiXd3rd~
zaiP8+o;hAZTe743_9sNEgbdyB$eAv-9rPLK9<)n883BU|43T;f%uWTW5F<i0JnY^4
z-=Ea{P<N!&u}wk;09&JjS9v~l4q%-PkGm7d&}%E<!$fK<&~xmaf&&%UYn&yW@klOq
zzvEpvta0b3NUeo0VkN_gCkUg=wRuJ-7BH}K!rgw{y079u47(crDy@!A6$cX8F!`P1
zJK8}!pN}Z0Cc=Fcqa?TBsk3O56<su}F~~`xSG=i^J;`>TXlS(H;9!fzn3eV;g-3bt
z*Luf9&p9KzT=j5Zvx}3d^4woRYg~<(J$Pf2A-?`$k0o$vE>wt*wLb~z)^)$UoO+Sk
z?@pcxt?Ep=B@vbN*a@W(m2n*FQ5&L*VY(_%cK}0g1u9at1U&Ji^j$%_S+IwN#RIZI
zy9wmL>`mg+PQ|;wh0&H#WInQIkf92jy^XvZFxJ@?2-dk+Q_QJpO0&YfKdslgWoNbt
zE|5ek3J@Ga<c8#)s#beVKbG{Pzm>geF?SyuXQd+Ej_Xb|r!0xn3@1y@ax$_*ik9mR
z9K3*qQguh|{$GTwd}OO;{QxzUO<-HdY58aLX>~WmHat$N!Xsb@^c;IvnrTiTPr#!R
ziMz!^IHgz0U2&?V*!-0)*|8;vc9c6~AQYiEo6T@y0E}n+Yb>+z(J`t&8n$ZzEUdV?
zx>|qBXC4fniMB(#MmV)N_At#<*g$M$-1-6D3}Btx0&x^&IxLG#F~qQN_FnyebJ%_5
zu5mKp;_QS|cP0`n=x4W~jFm!ooAD+pWkG)tlBPJ?uDh9uWX?GAXj?CSVqy1~UFT-6
zBiljl%r?b1`KmaHcj0*pFX4C_E%DvB?o`JVF?C(8ZtJ<{#Z5bHqcz+#4U&`01gA+h
z9_3ID4{jL2>$Ol2aank;^)vw@ssD+KwyCFRQOrT;?{xfykl$D7J^6&!mNKZ&(@NX2
z&-Gn~z89eE<(|bEe>U$$+<4h?apY2{HeCWoap!<heU(<7R2ABt$;%JNB+XEEGWXc0
zNtF}ZO;!&a$ql9sSf)t<k<nzM$eTQ$>9l8tG#<Vni$r^xG%4kl1zHyJ>QDwmJ$r`q
zqK-RP(!5Gn!|bN$fG8|tLRfd2G(JharOE^0D8&@T(u^W#255XUvm?6rEqxZ%RHXuT
zx`eq>u^7B)Sc)Y%GBA%|&m`u7Z)NQ9(IjS``Kv)}Av+P@R<$%G)F3xyzKY3kUmv85
zo^HRx$!7Uvt71x>qZRI)en<mDI_~JR=^o#&##dps)<Q*7xNyWYNI-IeK59FaQP0dI
zN+8A3AFzTiDo{b{CD2w?sK5&FUQN}8^|+aEFN1b|DNHc}Qg{0dtrvX+uE#}?g-hk5
zgG9N^U$fycgV1@{0e~gEgXm(n8|T9D6R?C|tc*^X_O6#HO6(iH)uIFtVj#j>KIwt5
z<~gKxSwbE0_G+abe~NqrC_{?;A&_wSxe*|cPk}rbv=f)YG1~KRjBsNhF6SAnxw-b+
z9`XfX#R)pELwFbACjSclMrD%^#?8Mrra&CDr_>3$b)Y6xIIb7Mbvk2g*LT4?+llT%
zy`n!CFjS!K)&A?xpIjVG9MjdYroksdiW|ws!g|n+<P?WJ1G^{Q^s*c=Mg*=7dnDPv
zoJl|#$k9d>ED#Eh=-?VIgF{41A%QmTd_5%4zl!nTyaokUY%e59eBPUz4?99#0b#Z_
zUnlwOWo5QT%H`v)AdhsS#@V~ik(87nzkY6RdrHc!Sxw9?h0U(Yvy05%07h2B{cw=7
ztFo3LgEB8z!>Z1ab%bz01aOw$RoIUP&4bhqV!@hMn<AQ?cgf!@t5k&F5$aTcRD^1q
zwOtHA1+hJ$NW(PKEIu}Vj%KM|yfea%Zro@9H8X#a)N)+%8Ew@OxLm@lcTfdZCfRZQ
zv{x(if;Fq^1m372xH>C1V#-x<r=B4M!5#>4J*LCfocH2o)2tEBQ7O-{A7zO@g9_sX
zEswS5aVH1aG(Y6Ix=u19UWMKcpMd8v4>iqgno-SO*|mY30!&FEOkM{d=41e-Bm*!d
z+pe=!G3lj+FmYI>Y(Sn}#XzG13sb#a#Ty5xA7XA6t8nO+k~J+TK|}8kEKs}iv%$}8
z;qif2-E9ig6ryjE{s5;I7`Tf|9~zHQ<zD$XuC0+QCUbw=7rkh;Og4;OFbn5II<5uD
zk6Sf&-53)ORsQOo$xqInpOI85L#fezt+4FKPvrLbY|H|SndrhHw()ER$W&H^TveTA
zz1{46BX?Me{}=M}FQW5LnJg<CBiH{RKWzV9K+pDnDxg2q(MZ&3M)u7;5TD%7R`%vx
zFYc-j+ldEC41_r#qGd;AhlmTfxn8J#T;Pj3Hn-H)h=kmpyQ~DjKHDpFr?|ddSriUj
z_kOS247s{pPYP|`-cC$<{5>zXLVp+-?gjMtyS?`_z)pVUQxsQsb@@8~_NAg1txLqX
zn+N9tm(=6yez|xUOJ-F<I(t}H3i4oXbezxjmB>A$rSnU%o!5O`SlOt`a_Y~@(c_b!
zmvf3Qh1{SOWjrHT@iV+kxJVzs1+(HXxLdYr*m<LwfByBBt(d6#^srJkG#T3AdvBT3
z<Qm%Hb$u3)W=fT95JHQa#oa9mB7{Bf@#e%TtXX<^>XI`11LxC~l?3ei^3?0ADkiI1
zfUiVvnp?u;&#BgxmgTiKMZzQ0xm=tfgJ}!t=Y`ap#nD#|1siMZ0uP}-H34~}%Z&-x
z$L#{=Fj7|3w8#6~-XJWOhu<r#>`o`ffs|u)uk#j9#%?Kw7$@7<-E(489K!=EPC`wB
z>aBHM*?f`q3OagEaS}!%^3(ip5_1_+6|E{}Rx$-kmbMR*w7_IlBYTfX4z?(1vr%6<
zMf+}i@mjM4exJuBr!VOjB$3g4(%_^$=fPY)ETc0s9v-bvvZBO9C4E~PEOs?}ILoC>
z9ME~`eU)%vNhf5GnIm2eO__ev#$zOqH1X}Zy4kLIX4@S2#M>H<Yfx2wEt;y|O|hf=
zhs_S9rE`=f?#Ow1dQo5OnjXRm6H{BWQg7_BVHp$VT~2!sMJ>KADq&(wcI1#GH1LC<
z`{vHv51?KoArXvnK`spL!GciL(#o7Lb*7mtO}<RjmJ@9*O>jk=QIY4BKiQRNvwThB
z6(cXql$95`X##YshEFl|Fn=_gta8#(lK;_==}I;f;>x$-$lYmJNc~ttc3%%SUaDSO
z8MycF+ic(L2oGQi7m*JoY($u)JRDPD>{|x`t+E%f@^XaOrEJBGr$!=cmQ@5YiVVdl
zre;>A`WZ*Af^ME@8Pz5UP7+}zU(w{hctkqhESc1p_8`L--)K&h3T1>nH$VOKkov#{
zrn%}TlS@^lW6Pm9^Y!4M%^+tH$^T+Qf+^cY21awsTUNSgm^NlP;lJB-<8zjeMZX?c
z_qY$jSMiRW1?O2?Fw%i6ZEaqGqZxfhzv|y1V0aCg-~Z~8ueJ#U5!7)$e_c52L@ro9
z*?0mh7$E_TB~?MozTG7O9Zf_XE*T}SST5qetR=L?jB0Q^3%;BAn=8qM*^ArN+-Zx<
zSO^x+lo(G4?<olB2VK&{c^Jk@?|aodKe<jUGZPL9wS}Q)<QoOyy;4H{#sV@%^Nb#a
zhj8zXt13Yk&vj0w<c?^t&?P1KJH*?GO^jsj{K;>(TIxVst^>PzL=GIyh@Cz%)@2}|
zx+-A#eybcRL02fV4<4yBo`3dqeQ4z%y0S39H4<nymWojJ8kR`YWgB@-S2?@U=HZ)A
z_9<FdKxXEg*rSi3S;;UprcbE&7EJ0=wdj;;-H~-12aA!8=6}0_9A(+|w$iQw9m~8B
z4=b(^o<p70lFoxH;11<Urw(zOE{~bfQU_`WKn{7HgSCr4i{(9#CRiD=-a#6<XYjfX
zJ!-HvczJAt;OGI9J<e#J{irkx6@5Rcg$DXtB~nQ`y-Te`OF^i8p_lWgw!EqljWI`!
zkG4iQcw*+5|KA|;R1lXbWA^=L`G;!bpCLefMPew0<c9@KET@UjeMmr4xS>LmC0J<D
zIo^2)V4tL_;{heZf7hAym2aA$WbBmJi3)zt6N{B-tWpF$A4_a$FX#Ep8i9{-kUIn%
z<aHRlmt934WMAQt+I0Z`g>=Cny><r09tzAo-f%bd9@hGy3LKL{R6ytd`3w}&d*}hr
zkHjuuivl$=^JDr}chQrWs&%#}kCn(Q;Ph8RS$YUJ<XaOC)k293)nx7vpYo4Ip{{6a
z;5Hj>pulryR7x@`NKWkUuBB%z#d13?EHDieCJ}BoU=3-D+LRGN_6->0%rT(OAab>M
z9i~^H(LhOfS8-Wt!YK0Y#J=%yja($Vd&iWV$h2q=QkS=b$TK)5uWWYxdm$?;Kll$)
z15xJ^GEi1Ex`c+Jv8B)eTrEYBte6A2(T$IdJkQxFwDdX7CDSj&okP4nh$!sfxC~_=
z9NBf?ixM0{Z+SQHi$kGsd8w<}0|%VN2?KASS4H$Hn4U<LArItu52Dg)=mDZ)zqA`Q
zEEY@fye{qLJ)#v77CYVpMHloUc<N{l$1VCH8=G(n>7)%m-^iylu1POjda2aIK|!Bh
z!u>~4G28$&E$=ANncDW~yM~{M3De7GQq=r+`z(xXG=Co(6k!71=el38xW!oF6BNeW
z>Yjb>UsaL{sB#bIea?yvp4q*J`_GIMQ-vKyIvy(k8y9|e0W-P|nssghpud3~d(7w>
zx9wQX#CE&x2?G)XRj@&qVco>5_!$uDpXO#-{6m9RO93z=fi$HdYqzhZ76ptfLxjW0
zAhyxRv+Ga~$HWHK&OlVg#X$*3^KM0gLUQVw2oi_N3O~r?q<-F+qheW#jkhK6qQJ@@
zF~{>7mNX{vv1e-Wb6Gl#;jdWp$MXeBvgP8kQ<=qOOa3Hl^Jel0w#-fe3u$g;?z5$+
z342Z~?M9F1oyKjgQoVHnm&51MwYI?;!+#BHA&?rz+K;eF`K!J>b4eI<uZ`K~s=D@}
zw=k*joLbe;sDV38-CvMlhLD-mI6hsJUDa@E>v2?em7r2X8}Ec``?qTpODla4{t8;3
zD~|O3J`lK2qHA1ON>u$XYB(Ri)NG~cN~_&ip@m*x#peeK!m@udY_<B*HH%;BSW1x{
zA<f_QeNHF&jpV#4=9p;1y~(-R{RJ7fqzS^xLNg*+|14<5H1fKl=f{JuZ-cLI3<{l^
zsqgBj^6xN>>q0;GuQ=Jg<*}uxL(C{I%Q#N@tjOkh!Nm-`C}mz{skPuJDi8XN7MjgT
z=|@Wwxk)FnJ>Cwx^xv3L?M@*WUb;o2F@H7ZH`=O6dr8SCun1r2=pc)vB59Kk|K|K+
zvM3>nCKVwQT|R(|yxFH>mYdU!)V2vN@|ZnJAg*2WCKa_MZ!Yp!EXpEwBNx;b)ZDnZ
zVU`yi>77$PY{c7=kE*5vb#)hp{rlwFr`ebE5tMW`Ja~~ZYFHB0IS~IS)YGL6zf@kw
zU!gQMm%^YEj|z;WJ5ED~d7M<5(S$usLSR?VT7IuSs6|WY!v5(V1zzL`D;2Y8m>=z?
zs9RkAk|ZcDdD!W5)}@yh1p9(TP2`$?R0Bs~tw>g6niC5MMLB!07u_zL+g#jx&B-qQ
zf9}j(O7S#jCJK*E6dB;(+mpmMB@SHiz$&CoSZjDz1hcQQxyw##lIQBK6d|*R)P#))
zZ?~JYsPur4_m}Y#IJG}cB+!)p;Czs<aNmmbJ_fIi)|M+>3#YnPU1*9roS2%heD8#s
z8{arL*9p9d4o+;Q_3;x!l-fG~b^qZG)s<XtV<Lc~WxqQ0)$@oEjWfUO<51Z`e<Kn^
z^$m~RP;|Lb<Kgi9mY7XBi3Dk1bP^Ebv!0w(o4dv=FR{#SnF#-Vd1=^U;wGnrw~1sR
zhq6%d!s8;wp;AFY2pD8xpe3^BWD|uzusa$QPsB6=l^7;OzhEY=`!fc;w18F^yDK@!
zx#nCl*n*uGB1fZAm2I%vnYhHYMG8mcoFa(Hen_)S&oS3s(GTA$DV2wrZqgi#V&h3<
z2=^;+HsFj+qq)h)RB@H?I2o6E#gSBA#j=GtW5jA__PZA}IykN}?ZfC<19^xHO66p_
z(avxiv>gRy^ZooKE?`d>-Tbo5M#|dd(lbC08Ry=5;$?LW0ugFzhYM<Vfspm)WWqd$
z624Luc($Oo7nH2Ls*h+jgP;%durORCaN1OyA7!Lw5fE`5!g(D>e-3j2rTpkjm3{1k
zQ6&A9?TDwtULN~1N|{_dUI>IvF&$SB23k30L$=(R>Sc~>ZZka61v9DNogC}{?2kk?
z)`(B}B%c&CKRX>A$zgg%FnhPpgc)9fg1dk7_(qDmrZj8(X4QZWT+>IF%L%-<%fYH4
z@R}nfDmB-hge$`QPqz8Pv@4<5GOsY)%&S=XFlRMK?ezX{AxB>2OF#pO3H)y;_kLrm
zs_g@_ukHbU{6qSua$@*>v_*BCuXa4En`C&ZPxQaT*VR3}$M>s_y`+Zd>y;5Rag#0V
zFt&_s1huA=uszmM4My@ydI`T}5`njK<N*N@?TSrP4%khM%neD59b^L9zbR)4j~~e2
ze{=2I4!w0!e{rwjyVvkG;L@+I%#>&l{qWMS2?|k+`4r2jH79VYRCb+ebB>GoY`gaC
z9KD4hr^!I21Q$<*NDLQEri;rP9NfB6o7-C3RF2g)j<fPithlfO)}g?XS4NQbT&l9G
z*8E|QmQ(j(@jPqp>FZ3&8@e;28Zr&IQjJ}uo?eqn+;uA_m&8uk(8UdO?C1){RV>Q6
zu`*D{mWxmYC_YO@8jfhL?5EWMeS8@-MiWnLMEum1fgxLa{z2Io+7C4uevrtiBACQM
zax{67#2ZtlK7xUbH(}oaIbwS~ODTq_*+qTn!BG-l<vHmEtyV`~&#w)31RysG2S2oa
z{c3!gQSEG<dauP5w2GBdzlcAwE<;BKo8S=&&-kcnoA6oUE^3rwo6qutJ0_=hmUaDL
zetzJ8Ue>xV8eN`(3*YmR;nmv{5>t(HV;X(t?tHv(AWE1q|H@Acin_N&t@t|@@0@K;
zAMbrgvD3=gOZ3^ay8j`yLrT!I%D$`Om2(ilrxn|fp?gb7<WM6~6rswe`IH8&(PFmL
zVT&>PTT!kp86;kCAYk|Cb0pJWN{KzwM=JY<dUba~-b*A8$BQ4Q-!)h;bue66NREO2
z&n_e)P&8RJjBcDX5*^rbrv%)#^iajJ7(-pN39mmLNJ;Z5<wWT=cIV<{>|~v}q4<oP
z&g*zrOY3HlNkBb+5|{f5QbeOW0j$>Uc5KB4NX&fMD-0Nu>2y&T4~VyW?J9|oFelM7
z^JDz3&`D3ihYuSg3n7DM0<m&BE4IRovb)@tRG^|mp1Z<VG}`wsog`XZzpn2^kZIoN
zc)rF4ZqNvf+RGjKUtcW>_2bkOvN_qhC^r%b$sE0lO{%eTsf(CYUrwHOS2Xh2&(w|Q
zDh^u@0STRv32_>Xr0H;9$x3(P_9tyEz0g|mKY<Qf@*D`Zf;yPVMK3;$KP!q4{gn;$
zDwx{JEH0!K`WehRVc#{e-Rnk9F$fH0P`Ei8i?%JDY_M9|=dUBD#9vy|l{JTDsXwB~
zH|xWTdyLoj=~S#Ouo#SZ-mhAtb-KT`R%<(YSmC^S8VF)9UX1MY6)dng%Ik<Tn#7}2
z+-$Ixbu5rww)boH{fyH!qpF^`n;#<|4^3X`&+e<rc>I$40uje*&92os)j7nMvy%zR
z5+vJUTv>unb4{em{ehTuJ>ZUWjvdFkTs9Zc)j5)tUJ=6jIC_#(KovvG%zB7Q;ypy6
z7G@{h$prTL@Q@(1V#u_-Jq;()$H<aM%9|f*w5wyKmnFJ#5;knku_~MguJLyi5evMc
zUp0i6wA8`o(~PzNc{8vfZhm$@bcR#H0q0a+jzxyVUURAO>!!;eUCopgPMTWlS<5#&
zHfX%IQ}DEjdgXe0UZOv&$hv;@vR({BH8av<2Qcm7_U;VmS^k<HRePoj2;3dvmXYdC
zdYC>AJE3AZ62*29z8hK&9^^)%;p};+ElPg@zgkht$&F8aWxEj4)EveY4hEc2(x8+`
z+KB`(#0QI;iW0xLK7V13-hRNKgm$k<XA;h8G(@lrn-hM|pj7-7=z8HeL_JdeFR<oc
z5awU7hLwf+f5DpnCN;DDpGeJ@I%}?^t-pPa)o$uJ#j}TdCNsNg8?5J8a`sse9iJr{
zic>C1tfjv^A>-9;jfo}EBa^D1aQU2(Bf;oF`nrJ$sn2It41cc>f2w`?yuO|B^v13b
zZ0kgv$JKs$lih!J(SLV&`+RO{F$2nUGyr8fZ%>7lkCGmAg<65iEBqB?fHIxhT3@%f
zYaEkQ%Ewa%4u(I5Ay4DEUroNMLhTP4uR~yXa^I={lA0GXGco=pHGBS!OpaFlzP|jW
zbp9Vwv*v$D&Gzq+>+kV7|B#xqw`Qu<4a(21H^YZ8?aDiHH@f1Z<j4Om>NCgc=Jx!8
zP}H!ze~5w;=CWHn-842x0egeQ5{o8kTR1-bm(={0mh-Q6>M3HmEkHYUvZ#q9TfisD
z3Q(r=h`~WkOT6@KY7_eA@J58GpD3VbkcnxDI6ty|#6NuE<2sFKTkGpmoPt#IEe85h
zNaIM>&aVBA#2a&SPD9hJtNi)iSczBzkWSU$kS<*?Csnd3b6Ai{xFx9$)UI+~$-x!$
zA+A*Yr%q=~H7t=v`(O1`xtgE%fI6K^x?K*(Z0+l#EgZq+QnlKxqJPy>nUjl@rE;$3
zkALc0zh4BqP3b9mG`qvwYmKpLryu3b;o9cJ3$EoE<;1h1GnLGtMimaB>IBdkMgzrt
z5xBtaE+u%l)6=uNBV2bcw*6bCgNMwI7yVeef|b-_0l;i}6F+TY5K>HSs1Z_B=LsDB
z%G#dD-5Gx6HqWbZR9mqgU+(3bHS2d6S+INl@EGaol>1@P4eYE}f-goDQ{FhR0Cv9G
zRs0y$2%tk07MkQ8n5u4Ui44d%?LN2=Q&mIz8H&ml3rRm1f|}iZ3~<d!mGomtItkex
z{dr#D%I{{4jiG3}cwqK<63L-w(QvZ5ZH6ls-nmk|@;>V0jWAwJp=@-SwZt1$neA5v
z!LxP-pf<PYr)5dJ?J8u<1>vF=MeGTTjsu^uYR`%|F7fEwXYTdW;N_pEG;d|zi_=-g
z3}`+LZ~|AS)q)TMnZX+;Hb7kc?vRwi2!tvi=keTQQ$iI<q4B;ToIR;jQarWX2zO`1
zcPvEBa-4w$C<{^mDH>6$KlZp9i^YyoX$!Rr$CN6wM-LM!7sYTL!Fak*n$QB%hp4qs
zdNxVOyAOh<%(d{h1DBSCStpwLl%ATD)aQ5wBfQ{H7|s%8QaV;Ac%I*(9g-?q^ZMAr
z)l$*L#UwVIpR~0%m@*LtMFp58#OU+uv7Dk&a>RRis4)j*;n11u#Pqft1IXnH8^x%v
zPkyRdimP=$irG0jrDWx%Xr)pH6@2PXzHS8!dJs|^mGWY%D;H_oswAy@6lZ<kM`C81
z&;>oowX{RX;lf6$1z8`dU?Q32++M^H$|W5rSxd{j;3uiXW*Uqsiwg67hGY_~%+H3f
z{UIALl_ehV))LL{Q7pghV_G8Ecta2^%A(@}fZMbjsBCYcl@XRL^oz9%il^phYHh#w
z-Kv@yxW85^;ULACCOf>SlYJm0q=!;+w(=^qFufZdL}Aydx`QbMWY0B1%r#njwDC^N
zjhqhRXI5NAct3MmoQax^#Ijw8m$#1e1Jq-Q-IuXdVfP#25Z0@L-Sel$OHP_<wOC=^
zG;ho^3vT|HHLZw@rIMnL>k+>OmQ7FGlj-qG-QgI91Ct|j3=t6W3*Yh-;DbyOR|Lj|
zNB)_e4zUohJg1gu3PWx&uZ;MevShamjS1$1Z&_K?m!?YHe4NN2SuW9%+O$SV_Ctyc
zw0eH@9f%u-Q@-y^!+*JxYz`OBs)#Es>k2(Rh8O&ranlMq^E!4|TRQEg_)c3T+i=R9
z<y6Y+yv&V+AF%;>jsP6VTv@tfF5MO_A@E0qe~y}Ft!>E913swQtxKZ54h7oW&bZw%
zoA_C#l|YSAm;G@<VdK2mLSP^T=@Nw`c<MY%FwpLPRp!6?o6Zfk69voK>jK+RYUttH
z0zwGa%h=7G;z@}Cag!kXK;->|Q+@%OZ-ufKqv@g-dAf^yi@WnVXo>``#kgpm?eO-Y
zvFp5C){l9Evm?ZpbE)^z1?ZB$fAaUTqSgyey~iK1+n@=JK2;Al^w$qGa2{VxG?U?2
zyS#Wl+=%j%k@sbQTV~z_vKV9*<JcPGmUfXSipEOEVNE+sh1cio;;zKRKf)ci*#=jc
z(eJhuN%b?nPIx->ewpC^sXi3GQ-iK~1fsGp0gbt>1NFq*<8{;mTaCvq?NcGX*bx(1
z#Wr5#T+k;GdKmVqlZrQ6ou`~@Vao#FLPcR~>*1%Kn8=l3Kpj$Cu0*Vrsk4sw>Q3XF
z82Fy?uN{YhUnI=%2IwzLK>*S9PBYaq$q}?Lt($A~Bn$ek+s$zY)nxYf=0m`_`mB8=
zPW#Tz=bYe^;I0N4A&(iMR!X1#;0DXzb&|MtlXe@-!TeigqHM7m8Xi4~LL3@id;nJ|
zRjxSl*aBs)_WRt_e5TYz3|1+@%y~l;aB#W`@Upk?DH8uMH0C#qB)UYg8k<B`>TuoY
zdzq8v!Dskg)WV8cXv84PWV#$48sX}$Y{<|j!WQpznQukT{=;TR|Eej#D$)O`<WvNo
zT#$-uutE+_kcY^^zJj#3t(?ixGvYU;%0ZuPE3E%6h#RgA^!;HrY(MlWI1~wnWs+vz
z(>>nr8|M6KvLA(%AH*xz+?Kf9V4PlZ8=;NuIH6tbo$%R6V`iMr|M<b2#omV6H30M%
zlVZl>oGLOVLVt<mmqMsG#dCIn^Juj(wj-YymLUH=CO9GByCHFi8zOj?O6ION=KzLg
z;aXy*tO<0w_eU|pii`z=B87F?0oOi<CGDAuo$K6}bWFU?U+Z_ug4{jGhVozm;ICYT
z74HN9ZX2Ok{5%U+6lw#rApX-F8v8&6<$#$KMY#Y`>gF$m2UD>Rj+ck6s5oF=AxEvP
zCs7TCuE@tgLZkWYuB$4I*^CTZQ)(G~@#3MuVfZjGGtmcy!7IQ3TBtc6bM%yu0wN6a
z_3T#KhD>D@P3)uc^h6~zWW>s=i&G;cJa8>*NncVp<}7{cjUB4V>?AEY3wqtpauuqg
z?8=1RiA0xNCf$K_X*gwyiqGG?aW(tiRR-R;P;fPiMflf(Cfe=9wJB`dW*OL&E`3;o
z{oLQFPU9NBC1DNX+%%i(=)~|A;VGm|X~>A1vH$aGg-l>Bba1`?Lm$-B+bZ1&fvf5r
zkv`W{&&xSfy_SlAzc3{6SMbA%Z?L^RVaIlJ;qH#F^Lg_xcO7k+bvUEDfnT`QMK&3I
zlldQ*qHi+f8E8LZEmc(VwelU6E2&`ty0V4pvee*1#EKI-mf*8XegVWk9hg#3w;8Fh
zv>PampiC{L6Q3JpUM_YtnMr=|XNx+0_#@c*K)j`vw;r^tjuzRE3{0I$Oepc&u|}s|
z+G7N<T8m+kdtqO3{!TmgSH-{jDbBm0X*|ihWJqG@Je8=6oi55XG<lm)QX&fGlQv=B
zCwb9yl~0NRBQf3U=8-0fj5t1xob~Hmuxvf!;7^<x#Z_s^IXf~|Kx%~gTKdL0C9QA|
z{S1+wTP0l(iC)lBX#elpe#^lfj2pI3s~9~DsNr7B*6)^UWrGo!i^OSl5pES3+$wGU
zHEQr5@kxcpBw=8goV*JIjNX&|bp|P{p}_v!iijo*Kp9P5)p?+JCE$cD)kqI>8<d0K
zLjL~#M@#BZp>CEuL_k?M7HvPFxMu^99?)Co?E{bi!iosYtN0~UuKl5c?`qj)5cM~f
zz3t_N3hGImJ+sHb+b6eae>kTQ?ViV5^9qhmJy*3vB5)!f2W(}J{7T<tnzEt=3yf7`
zcpesh>Ope2Codu@l0YEcABz_m<5Mu>jtl0-Y6bk{npGHchI!6_4c>R0txo&NNe`(X
z0<znN>yHK#+$n-!enuA{;hj2>%`a)PItPu!j9)bFyk(e`curLzqh|d&(@vtCP5`M6
zNkNc@>aL&I(<@#nBd!Pfj7z=SzvS6<`arLGjW0Hx<7i)OzWn1;zY4((<teQIm?Gf`
zcUEW)$oc17x2V{<5}j%!K3sz;X{k6(*LENQ(+s__F2gecw<#x!)OW`w`K2UgM|};s
zCjR?UQrze5*<Q9Im=nxtkmfuG21Vst9%B#8D^BzK!}+DD0jQVXQ?A>|`=B2KEnTbQ
zOYXEUgauv!3;mR%uvKKi_~5P==wkun9x3HnwyV$&4})|4W>7k=U<^;H3JgqG)8DN^
zf>S$^go{XE2&YmO#MoW^gkKXmd3jHJaP2)E=@1<;d$0N!0g-CCMv*I3E!d-|wOTf;
z@l?94>*hOMd#6qQ4`0);<ab~5R>>(foHu+lMv4g#OK0PAs7y}<!Zhi~g1?{!iRQ)e
zzuk^)7HxDVF^S`;S<oB3m>+;P#1@Xw;AUe`tD4)EnmoX9qG#p$@u5P`>Ge15N8b_B
z6eTJ{;x2i|%e*Li4nCOB)~0Uonh|?&)+7qJ?4@ziJ_J=e$P=nKikkoVATXec&?CGK
zALr+EC`P@$qpc4;sMNdsK_^xcx0=eF8sQFl<}VBHqk>mB&uVmVK;Ti6ny#zhBR|Ry
z$Mo5$%I##W)nLvj(+RitO^NnWPLT=QkSZZsp-o3VU}-Q0Qlv`{Q*JQEED|>zn`NOc
zMt-18*JREm8?@(DN|71SX*51^SfRxVeIEn-*kUZ9{hy10L@ufHFnn;m@z?{xB5nHX
zKbL;x?8$^v*m4#_Jqb4H&?@CmcGLV8#ep)}jGtB*<#bCmFP~<uh93nlpA_o532Gl-
zKdLOD)n!8y#ptH}lp>fHH7WJDXd{@Ljky&dcy8bi#(pA7<xGO}QF<`1hD30-Pep1n
z@=<AK!TIM<%R=ZcCwOGB8QOA+13OvOCChNEf|%M*YNe1bAo6_)$R7QEuJH+F@=@Rg
zQ_XST7FmpV$WoA@=s;5fggIs4DtWF76$S7*p+iQN>o#+4qWL3>Z<vJR(Zu|g#W$0z
z3<@RbqkCh_FTkBsIdR>bJC8zxKOf2-%>F;pJrK+fcM+U_{sFxDQ%ZE~#9}KSTE}D2
z5$|-8NgnKHS567P+jqBb^Rp@Ow->`O?P|%ju+55eWY}@1F+0<&p}ccy%4bfyi|#F$
zl>>e;EM9m3yyjO34e;y`!SdH+Hlg>|R5Za{Y*aECx3^6)(1pUxC>>8MC*<g0tOfCR
zECR7|(o#qRh;<~jatcF0ef`ZLc1l}$osmwVT5zm{Ed!aKhm46xJk1xiCpuB3grBO2
z7f=u2X006f)&~bss3u1foFG&8@hFL&m#W(Ks~P*pzFug+`_Y|AM{Ypd@y13Ow%48V
zE5O}RFBEf=a|GwU<!;e~>vnV;gKNlFtqcaxqz^h7K-TM}oz~?@k4+B(;ygEpVNmCr
zA)aetg$u?|=U5gvhKVZkh;2n=&`GotZQ-AxABJu2Mq8myNR~DAAo6H|ySEpzlh#SB
zB-Ij9B8v+A-h)M)lfZ*uRws;_<FK9D5^he^g9Qko*#<#Jf%zwgV#6}=LQ6S%Wdgj|
z$>mh$DS~m4!HbvK7<KPg$9DR10!tNjdy(1*r7@21u<cpp$#U_tjzTi|=lUQ^7q_@5
zOjL{{-6|WIM3e|;L-J%7H$@ztxQ=eOt@(;m@FUe$*A_%O0?1?#JCqIY0$0_*v*aS+
zk!OUZlS%%^Hq2yJC+Q)YN+HJUX>Ae8MBin~`3UXFGQu_GLL{SRMKHicn#_phMKDYf
zaWZHHX5Jq4za-Hr$nML9;6^@sMQ)tW1NQPCU=?PGlA#m;y*P^$Px4T&XAikVBo%-$
zh#m!te$2zhztur?r-RHqm1K7b{{g&hcj<%Pkn?tSW6hzLxH*$VXEbnS4b^oS!lX*v
zrhRa8nt@rzh54%ScZ!FH&CZuP$4lXJa%>f~1a@K)U`u6xW;e?qmh@S%l%qcO-t6`?
z(=wQ$oqN%1$nIALpuV|mLo!FKX!$R1(4#;N-}NbN#S&V+v0_6TAP(;tt>m}#V|{}Q
zTULbbPM<U-5Nuytdk}pyw=?UwracDUci2g=CQ`99e8cK`X_o2a`s0w61HH@`l8ff*
zQOs(erDar5dZFWJrL3tY#^8rI6-g1hXU7?)Ej1U*e^I~4H+*(C2FQTbr#Svb|AUQ(
zmN;qE6a?2aHTfrHzF<kpntUGQ?vz}ern6{!qO%)Xk}BD@nkNI9z*LBI<uKR&H~Y1G
zxZ+(Fs^FvhshZk1kWHoFf0rozi)Q_cdU3Eb{SWHJ&hlRxpX{vv7md$L?Y|A$&B#8v
zwP_<<R9$nQ2^?2M0i=DBLMqgVe})nIDg5EVzW6uSJ7_QGaC7HY5ildEyEd=ZG%cG|
zTNnB6r*vr@8D4rnjz2Ru&k0683rl(G{h9z09SZ_i_XN*&Pj<SAAfGB3qBx~bD~N9A
z6o<NEtzhL({{mj6nVZ{)ew~W05sCyoogEbDG)-z>H;->s!HyqQABKSlVKW;4_6o|;
z-dq@`Bd!?aL;t5&(8%MphqI`A3T45;oQ-xMY$%epK;A8X;fzH$<|TrkT%o$b>5`pJ
z1OV<jb-C8tOa#DPTKMtFg)3pLl7Lo0M)>?Pj7j%mcw74Ljf^L5DE~_Mec${?!cQdk
zKb?YLeOmz%esW}S0JMu&#twjXJ*lZt(*|xo8{>r@Ik;n>+K(ctu9Al`gZh53PBDpH
zCpv7kZ}~I<`UJgy&x7=|k|-ru3~q((ScKTQs(N;p-93+V{&EG#$Td}#EOcR4RlvjB
zRs<(sm!TaP7XS5P2Ahg#s`z6eEuGLL$E~*dFVw}YM(DR7bk$AvAyzZ}D3;NUT;$_^
zvjhDt8;WT%SwmW^cz2VO3mQ=WxCVV)(G6gv-D2;k0+6mT{(t%eaT)*9CkQuTnOIC$
zww`lcX3k_4KuEhe**4dNs+IVkK0$s5{UJ{C8lvyvH>dhl0vn|A>v!ZoJ9~02{sFqW
zQil5H<=%*=!v2`B_j`G`YZoKdoGp$5a4rK=QAR$dCowxifqD)#j^E2TKcDGl7y(*x
zw=e+hkU9_PcZ}`=siV0$c6rBrv=z1AjEM}mzQzgj88<96mI*L)bCGCSl3yYG3S<jA
z(WJTYIJxd#kI<LJ4x65VV+hZ$Yd<_X{unP+tt~U(1ov%dTzT*H9f&YnN+)Shm^ULB
zQ>W=C_kq#i&28y+iE@u8urx7?a-pSv{%)e=oO?)6H3%&MznE*fg8;8?iO5uKiu^`v
zY5;4Nqc6awD?o8%3f%fRTo9w}PC=QV*ylyUCuuTz&e?={7|iow>qGwhL>ErU@c$Tl
z%b+--c553aI0SchcXxMpcLs;x!QI{6-5K12yNBTJk_5NFJIQmtQ(x8d=TuR<g{h&6
z>b<+~)$6_%Ht~~WP|(gL1|uhajSSTQ;8<WIM4nZNF4~12ypHFyu<co-4RU}Uc`+MV
zDN9}G_l1TYStU}xKNKTAo?Z#UvP?B?97~s*Ue=4#X;#iu<qpQHL;(nwv^hV%kCBgp
z=f;It1!0W>L})3y-#wMd9VAH7C(!8Q2$-9-^cuX;7GRFF>0NN(M-M}d%LL}vWx$I8
z6xA#X@M{4#3)!mBFT3KuLQ++6i_@qXon8rGp7XcH@DJRml}aW3rL!c+Opi)D!m*_$
z!yXRbUqZI-ow@+EU!%Due&>H)TyL&OmhMY$=@#FGm5Zkp&GKEL<~7$OrJN1AGF6%>
zQy7Ti$sFub{+tyst+sEaHr+ilER*!Xe9;|RmY0!?jZGlAU_KOiI0KAH>BnC;WI9hl
zc!n~{Dbk17=^SdmkPxieG(ntn{OtbW(+E~#uHYI;%W)>WcU#E7hh)@&vy2#N;j{jA
zP0BVB7Tc4O8%=TQ0T9}*CI9KnS`_H+v&>RBSMuT8mQP~?>tzIWgLYv4qf2MqK(A=D
zA56kO3X3l<ZE=tKG=wM{%#W~O6}2!=8&X<m3Bv(JNb>9?PHNpIPnqm&z+%CNXKkz|
z2VpXCs$Ngo$9;b%&iE;oaWfs2I`ib}RKTU*_<<?P_6`}KS@xLyBqR5h8#+wwH#;u$
zyGqZHP6H^nzXW8#q|>AP4baPkx2)?N9#ef%9V9x!5;3+kMa;tc5|i!<WRSrh@;a>x
zS6<15_U4NUr^}iMJ}vC&GmXS(DRdifSB1xqZ_Wa}6?H1N*KW>2Mmwal%AJYSSIdM!
zPRZtV;|&9ME5Rs_|3S&APM(fo)hH%Si|FW&NFxK4W$Bxm2OmB>4W;)qE=^xfCpYU9
z^*gFfp+Jhj`$<*gCv7Wrl<hz~S2Oq&ZZyz>H=)kDl8jfpW<F-#_e*#vxngO^-gJ3%
z(zG-a13{gXQFB;uWyy@x*!z1^$FC+dx>Bojm?|aZ`C^%<r76%%x=D4294@wjyg}ll
zRQyqBkfiHl-uV2^>7*vR@D`E1mOeA1_T$8fs}0xTTI>naH4bbkaf);86C1gYgO?Xy
z7oJ_D9572d)oGMZA`$;EuPm4gNlGQj<1rr)*g*A3x{<;~lP!q+2W2@oSiI*d%^t>Y
zaImwsC!eoZVe?oh4~C_$6iMmSeMw$u^WXAiS6^93Eoo3~y$3@vq}9q^;0AFi_zT)3
zbTgrYxe<NUT)e;yLYHA*>^q>UP-5osu>-;Ue4TBxpz?DJQ15a9ygbd_xDpCwN1vS`
z^g7*c*@VIKa)o1kc?>Iew@{`F^LXREnj9OYQXN7T%D*Vsl}a?tK<;76N_*Y)Q}USf
z+#fZ`v*&2d@qsp?HRi!T%CZVIDGE6=tn}t+gLOeXN+|AU`bOGFR*n-t8%RQy9C&+i
z^z?D_XUQ%g!UShlZnK3Iw$G%VUjp&`VCSvhuF<sYN8{|2RK!#J8GzjfO<|*_w1Uv;
zb@~ot_}#4>o+kzr#xkn`eoCV5K&~}$7fGppr4W*}Pok+v{i?6F;&aF7U8&w)R+k&u
zRj9m8y}37eb%q_ddzwC1DqpDH?puK}NnKB6%XZBtkYK7+vFn@F5JH3Wqq0%wi60RT
zo8>7QT`G7GR-2^q`98{>h@9{E@jONFU@X2137Q@cwTeFgxvCrBFhNj-59G3lUq;fy
zXX_8_LdsG#Ho|R^nz+|0(~yd`=wl21VPs@ls_QMjjqS{-aOk}v33eRb!(4bC{bgX;
zVSv%ocwkwY{>@JFaJ71zxsGm8;RCc^4BBtRfS6@hMF8aX&G>aGNW-+ZMZ0w>3&pJ}
zRM@(ADlgDwDJ^~5tguN_r~sH=vwH?5u@jbHJi-W_=zZ$toTm!alS!Ih6;mSZHD@fv
zMPbkG>`uuYaEoNB4g=#+usEJS0z%Kn-K6uGWlSi<>D;6b!uNKyCR%~!374UDsbzZM
zZeNYe>^$9_*jsO#E;#hew(61ICl@MD*}3mxcoZ!1{OIXtaMDasitduG;ad64@so>U
z#b}{%zP<dWP!Pl=6`oy^T?a-jXY!kE{m^AM95iu-UqUJiQ;JeF*_!&HcP=J~IEiG?
zb}z5|b!V-v+YV<oEze|P7@{m||4vVaMwB4&aU`bBT>6p>+l=F(puX1ivTO~cthaPC
z?GOXXr9}BwJE-SaTWk?JkjU7%xgy$S-Welw&>^=xX(-8Ne0}b0agxRt`zAz)JX>u`
ztL*X(!cnp)I?IcQ+j#Tz9tr7@uv%|7!bfGrRblIMlA6&Jy)U>L)iCHcXJ4vp<6Mc+
zmK1ezz%1W}AAbkK&Er4e!;x#})!MekL8a<&tI^serC;=4s8JBM;s#qcB^GRN6XRs7
zNi+ZP22XV!dK`mRp-V33@YI`^fOeZSy5ggGPt8f!Yw9}wnl<35o|x@P``Xc_z}wF)
zkW0o=RV}#|nW4$1zT)ajzA*!~1#TU3@8TRl@!9Qe4}-@UFGf8wo#H&1R-#*{MK|nL
zS!{+m+T=Uqq-Z51lx91N(5IkLlF&4C)B%QMK<={)6c4O)F_%f&G_sD8M4l-OCHX!W
zU;jYw=<$oS+kKE`CGl+;)m4?clQeG+^hgDB{UV_Xik<U_>wfF=EI`n7&*k#&9yvkU
z;CF4zS%!*ui(G#op5B)xrat?49t+FkKRH6q9ettSfnDQ!FQ4&jOi!Kt;E;eU9v$*>
zHmUOH4;hPZBH{~4GUB)5Nz>fIByZRYuk#A=wE~vEC%=KM#UnFS=n=!LS(a_WpaZ;-
ztxfl$zes#@ABaz{fT?9b41bp77K-J4#XR@*60J4#Yd&yUU4=|VpuO$qC37vkDsQE9
zEp?b*N9A4QvT=y?&2Q5@!~^tceiOjCeN^6v!c>}-5D!k#NI`ay7>#Z&z^S%?z{rC%
zj})Zgnccg>qB=C^3omIw+<b>qA%y;H$sBb4)c5^Cg;Xzg>CQpJhQ139{Z_RI5~{Re
z)KqkbmK!!VM}(Ca3+$AH35eF7WG2MqR(=Mb7uFS}Tri_&=zxj-M2zQzbhEEXjJ}KS
zUvY$$mttCh0+z<8jJ_8BKzi?=x#VE%k3xAq&-r=R4UH<FsZU|<V)ez?P71wqw^+%8
zO~6lzl!kUMS)dm$@h$4}E!dUn>U0!OAOiI0`fxTA2;#a4gNTU2HXq)m(<@uB<mY9h
zXE-qL=LN=yh|`6FQWyp<Zj3?1pg8|J#eZ-rDUmsklL39}=Ryd~SjAbbjU^DWJd|7G
zLH{$Y@a~xB5{NGAi)>SL3F9WR<}e&TTp?AP>emD6O4;skv0Iy~;&uG7<*DnmsoX^F
z*rFpGb=+a~@}cZxfc>#9^pmW3dsvuF*m!314sGFC=v}jpiHvV&tiL=At;r_sX%)yq
z)|J)pmi9y~2u<r^fZJVbD91*QfN-TI$+pAf4u3`%12yA?a{{{Mf76RNE%u-Vi&y~Z
z0P&WAju=ztk%n$Lcum92e^;g}wV6Od2Mfq_EVM<}vMr0c608Yay)YyDMuhF+lw{g1
zsxMn=i?r*Ku5J3&WDq5p4jG8FXOaip6fuX0b!_J5Z+gB^B{JL0x4j&TP<T|iJMtme
zGVL5o9MhU?ah)7Xu`C4(3XqA>7DQ@}<!2;YO<7Vm$_vn^WfQ^ATG_}W2)1QamGaax
z9OsKNOGW;UaU4k2*CknFSsONdxpQT4qey0EjwMyU<gsTs-WDa;ofjskvfF|J;V)q5
z6giSxRx6X1noAEj_Oe2gmO9{RUYN4Wp?emAlU$B^4mI3$$(&quWZ-oZ0&4p2NJ$yX
z(&8m9!Wef87!z*9NJ_huz=Z)sSNs`h_HhRep0FsWP9I4$1%64zMDY3B^J4H!Nw$QR
z(n-t{8ufZe&@C~LQypfgN6(Psp!-t0Jmz@B7rnO=2P*U2?73p*LYaZKod>$#&Iqc#
zi(J;ry;i~#bZcGY#<XE5=r6#hIoD;hfxlq>X@lv@%d_B3KVQTEEj1gyJQ+`wZ2Xm}
z*p`g@oz%h1(<exMEnkgS+VNQzzS1ISTuDTXFYGW@^lw%Nu-`ZUu|O*lc^R-Lsp2S@
z;3b`jsBJ0nzVKqZl;H6JDP1G)_Fwjue+ByALY|$MgXez>dEWmj<hfb?PlddpYzol`
zTCehx^mNl$Q1&|Z&X3+*XdHa9MiiVN2K^8bHkkKb_8L3yl_`dMq;KEgk!{PGyNxV0
z`=%!^8=j91rf&>>1w7BqHOv})u;dH-_*2ai=lOl1S`yAb5f<v_3%E_jIBi&*UgvT5
ze?D4stq{mqm=Uf1LcBtN`DbZmtzu~mdvaFw{A?oz)~pRg<F9DQu%k>pusgCwcgyTE
zX?oa}<`?YtDoo3f)L4T2SIBQ1IsGl<kN*|&xgmcGd7)BJA^!@@kMme6SftU?@wwB-
zHsc!A_t|t}A{y7FIbuW^pIo>&b5Kj1T+CehiH>;Gqq>A&Yib4aM=2OYmvMZFZiv(T
z7IucVMXR8(K6%C}{1+}$kvx_(t883ep|dCRdKL!XvU?(^A713G@G}?!`S~4UV_3y%
zAfup^7i3yto8`~2CeE$m4ZZzo1HQxmua56T8C-%l&eJ`+ubtc}$n|rxvNDzJw&k0t
z8LVEP-z}HZc}~|8oz?34q8whxo1~S-;{cFN{=Rn%f8r9a#2b=BKkvUJmj6}$Db9dv
z6(8^*mH2S?Ymfas2a$C$vpqRgZsLsB7#70(q7{8x^Q-L9$|dJOU9xFM@?+tG;3kkX
zq*-(fND5#yJ6yqNA-9fZ8p2|g3Y7kkS`zb;WJ8`CS%cXbdWA$`%1xQ2yCMG@`0L*#
zR|vGE{@+60gE1zAQrRc>SbU!i#Ffd-*Ek!B;p1Gt^>|=uA;ZbX`T3thKEnaTl?lAw
z5g4K=W3|-wS~o$;X+P}mps%(dAnXiEt}%4+MuTI&3Oj?P0{K;hTZ*Zw=0Dfz3}ylQ
zos~5vSa`?*%Hu2fUSHS#a%H;ip;8nJZd<8u+@dC`bzABzcj1CMTQ;AEg8=CNvVQaa
za%HMaf;Az~YuzOJ1i!|(rBZs%(_v7CSwr-S;VohONY=6pul`%fM~86L9S<wggDlf9
zt<g|GWNg)|zm+^!aK6YWnY0nsZ}B#lRH+WiVi`#^%NKdQFq$wVn%T+4C?3C5g0T%$
zj7p%0xk4s+0;ZRvHpMSfE4_(i@V9Wqy{~V9^%A0W*xS><)XJFa)^E!F`p1@0O5h*i
zU#b`I;gHsQT#?P%W-=tZ-!M(M6l*Vz(*5yfrDRG(5dLr!rWP2!e;J{5moUj1M5C`L
z1bmLefgdEJR+Au0b#OT!?Tk%WR}O&nh9{(gkLEivlJ{hXc3CW?c`A81klccwASn3E
zjD07j02HUuKSh0uuy5#LRq`~`2zbXqCE1te<;O6cxQHyKDD$UnV3%<Gg=(ZjYwh~$
zcIt7CDd3sbyo5itg5jF@*K{uZOEQv$fU=2}wDWuGK$KCPrj7zuxA(X-fI7Kh<QfeY
z^vpl?7RfaUrFwf2;=U~nM1piz7Bi{*+KkExo2n~adhJWB=DDPo!mGw@Q#bzi>0isj
zAHsd9K<wmT$rbCjn2MJ#<fqq^BR%~E8gW`OGNq(23Yzd|9o2kn@ifH1Vs;(GCfIu|
zxd#HuprwW&uaBNuPt=FVM0^cu04AiEJBEC!Aapf*uEC=UsgVc<xZ6d?yp|g#jZ!4S
zr%yV@S7TvVh*aJL*kC7gYPqP`c=LH3^HK)V(dhdS*~h$}rA!@*mvVG3;9HgznDuP9
z{dT+%&T5xFu=2e}=724qUs%rX-i+67GRJ+s2dq0jyY5+D$S;tcHy$po!SLuyWRao{
zN*=#uf9?NnPn9k|xj{q}I(lMLk>{L~>MRLzp*jmF;FBLCue-&y@PC5rP%^32tUN0g
z-^jY~3R)PHI<vAS;Y)pG;p3=t?-@7+)2jiU1_x^WHWT3Ev9>03qosk8RGVuGYA9uM
z%T?GcC&<G2fm<A!(Sq_u!Vf#PEN{&t1dTZX#xpa5xDm2(qK`-vU?69R(I!^ipR{py
zDsNVxR^?lP`Cakn@4Um-9D}|!Z^`|G+^rdjoy;lj;_%^sp|!v-BcM}ABAz_maUE8_
zU1qm+E$V3ch@PL9G<I9Lh}0IS%Bc2>@e|a})e?Knu|CArqSmuUC%b;(Oq;vV-6Yqk
z!vP#zs)3ZoQ|=qIzrwOR?|7an6I>BhNYjQP@rMZ%ts<gKe}JegSuo1CG2K}>4ihUq
zjfXx}3}?Y99@IurQiH%fq)u$hya@VdM4}i`^hfddOJAGwedldz)yD)_1Qxzg3QyKB
zsu5?H$>Jp$>9Rs8ky?cYkKeodOqW!}E}2PvtvX^d!Vtv>K{E@hqD=@v2JkU>VkTV)
z!3Nk6HCoshF<NjziU=WQ3v{H708^D|J#*6e&kr&Zz<9RCgr>tV)pF<pER<H(!>l_E
z$=K{~Mcfk3k}RK*1RWxrBhgDpbI-U`>#~uDHWQEJG%ip@r`cXr6%EiQ@pup&%}-rv
zAl<$e)yDsTZZATUr`gagQl#l7Rb)B|IiewJsF8392fgNOb+%mQ)F>z}JS&;73UKoB
zTiXRCLzG2=)E16raQUQMU}IgzyH8C3bLf67*%#6gub+|YQUnuSygqtV(i6UCGJ)g{
z2L`vEh#)%!Sq?J~IOe6yL}L*k5#GsceKuHEN^4@k0}C+dv;32(5{b|=zR|1-c8YG?
zxU*34RmQBi-|(UfzVXE!^IVT_NO|aJI+@=lO|zVbTR!6Ay5p4JY;f_SHGMyN{5oqw
zW)blFHG3ir4_>|~_l8Pi(nF>;yfwO0E}S(Z{3nrB_}%(7z;x*lS_X9Apyl@Fmx&{p
z6w(mQ2`8_V5g2_`F-wNe8B8A5oB)eAnTRt?Am<NNANB=1+!hqex+{lQkimt2(#vNd
z9l;Gz`x6=%d-HIxZ=Z2JguHe3M1x!!7?bF;PlBl=dOpN(XN#~28*+UNBPY)^(GF*G
zq*iC@3-N}WXprByJyaDMxPqK1D&O3`tRq;PWO>VS!-vNLIMW4C^fH{uB-D=cUTG?a
zOg=ojt(-?z*kB4f>5W56)>zCb*2sw1u@oF%{u%*BKVMFkJJ#)!;W}ZGzY&+HZz>o;
z4PiBS^N6%@m+z50tXdo*StZ3DFyMNRbY>*3g4bAh4P+;Vm>RW41(ZANf4xR(_xqvR
zb8dXGkjmux<*u6AX{rDF5|HnP>yMpaVc4O=%c_qE!o)`IjULJvi7vrm>bbCBr1t0P
z4@owoKR*Y0JI(`I*grocX3+~DC<OX%(XIpxM5PMC08!l_yN2dL6-P^iR4rn!;bBJG
z%g1NzkDtB!C`Xqct;qrXI>O$+KPqaT8ot8?|5E+#imJq2Cgn<77r!s0CDK3HZ&9cq
z`*R)4lgH>WY{Fmmr%m*+*A_mxF~@Zv+1Nr2J}fiSt^sS&v{CDuZOQEG#vj=2K0pNf
zG`c5W@lX53JgIX-2#Xi(Jzzr8$CYggnERR1iXOFyVb>B+3r;2Dnxjq=f+PRh#(!+?
z$Jgt-QxQ|4KVD@(pJ<C7(5u9|^xk}{9!)j&{2{kUrSp5R4oy1t{0^|L-r=(N4kgP`
z^o%^rm*)qcZ17cJP@3Q3O)7?HQjN@Yq2}p`_Y{<C7={Jx&LPFG>~@wsO&Sjdc`kJf
z_e1;bTBa$iZM-5eh!zbQcc0lMTe)1L^i9zkg|$VVJR}0*_BS{|)NDM;q?>EOL<5sY
zskF^82`6sBMEFklaMBE{aiT+hpa@<u8IE)+KM7&6zK6&uQM*Q<JZ!1VDCk5y_7*q*
zosKZ^H(VOB40qAji$Ji&O>katz;&RT%+E4NGY>MW>p&n}D)RUflpL&uH42J{NOcIf
zsunCg5~`Xj@HX*Rb1AvN*{Ma0;m*<CAO2Rw8tc6GJiYnz%))>QEFkFd17=BK$Wr-M
zjE{sP-Aun7StcpgQ-tqLSPG#OxA#-HgV7Rb>KF^64(L)Gh{%_(2VPrNyARXh5;a*>
z+hl*-GDZ5Yz;s%IqD6-DPhPo2EK5^m^eF86<{k(y%2!l7uK2$fhG96q#&g<`hV9&;
z-(SG#^EBU|8KU~c>l;PU8zz$B{tPB*!(N2~Xh}^HvAJ5>WRCmYi-1w7J>blW&10S*
z3%7&wj}qZE-rQP+8LuOcPrbcHXfr1M=O?29n(fD<jl#C2KwH2}h*p>@;<VGGpP|2c
z>vvq`j2+O`F}ifbt!S6_JNAS-xqsHOU3M7YhvGwL`S^sM0s8A-2}pY_cS>-b(#B#d
z7zvA0UWmXP)B0km=>r{^^{dG1Z!><|TUv+t_xUAQ4RAV`uoeKJ_$6u_FeeCs@Vy;2
zuaA=!yBZFaSvUliHiz$rX~l2fu;{t@*|irIQx*m3K63fPc_MTu$^T@qjN$kYJRx8S
z<oA3Py(E0X@KZ_MW>VwS)o-(Ew)KZEbAy+@wFZ6fWU`Jt8chG0q+YVHFVA)+X@Q(#
z^#-R^px^NJQ91JxiS`7`;bP`mh;PIwB1l$qk?CY#674drk?*f%*fO`y*$zU9rYM(_
z;$t7io<hfqzu;%ray|EC&cnSDjM(8K?TSP1N2eOz0lS$(=R)tw`}s}UCpFI!HlZJt
zR4Mr6bQGBJ(7qopt&v>0ff8Bj*uU6L!l>{6DG!cRo=<F7LEa;DK-sfT8NEj9ByIK)
zV73HO`t>Mj?e!!f^g;l|d+Gj;aDF{YAnc?jVfUx-h<aTt<h&(VJ263%`7GgDI%Ge`
z6%xz|p7LaU(sIFo8qmjj<icSa09eTJ#^>JzE+Tv%kUU<H6AW|&u2EFa60y6TNCX|q
z(nFT$gph9<OVDrHqI-QwY`*5oOOIdd*t{acj<LO^94*Pso|lABZ^LEox<Zo^uE%|w
zqyCd=)!RlD(x7h<O-b#HAFW7xnZ9uzfB9qf9-!a`Ol+Rwy!9K`Q?#2gAJ;2v-DkC6
zoY(vP1Fn{Gf=9`L9Gz>u$OO1FG0X9NzAo|_%1GRK@5%m?cmvKA)cd!ljo<#_#txU9
zMR_8|e~N$Q-}ilRt&e7{J-^+pT2J4&9XtD-Rbn)+yi?CPI@bRw7Hn?_U9C7G%XIh9
zRH4Ju=l)}>-JofGZ-@)UIeA$eR(&zLx^Yw2OYA4;tcWO(_j9pk)iVa!r_ZRjN8f$m
z=l%K0RyCwigR+lH26FSPu4W&pVg>)ij?Ea8$nM;-{?f=~NZacAPXwdFAl8nN3;vGx
z*|6eh*l|--m2wC$pgI-Z0V!#0oc3lwt5K_C0y5I;N6Yt`wX^z)pP$XRziN^zG2=?~
z&LMF7{248X=A7@pJ6Bv4p~gLEQc$6&P5X#|lgx^hs9<~Qr^Fa+eV~M6k4v*L=G&3<
zFNJe+UKzLqZduOKoEFkT_jCLT{2ZQ2oW<dS={wHc;bRS1^(i`ZQc|y(($Oj{^$DL*
zELFZyBU&G~Uso$U{m1QzS?m5`%nmjJuvF=cuZvvlg8^DsuV}pP6JSYNp}eCu@Kg2k
zF0Azj^mriS=zj?l{{)AB4g*%s|4k9){%`y(_x}xlYp9<=Aqj$@93*6p5hds!|Jlc{
zq#^1_fE750430TP{uEREu{~bf<C|o-<z{^qTkGsqNX1>fKPB&rhKsBDXRS`>uukS9
z?IYlq^X;FV;f(~N?Z}M;N1^VdXJV(R4<WA(zuSBe1?68*U~hnb%Qmf(rJH=>`FH77
z3CWK;=i7*(?*9Y@3jfTH8iBt`6Mi%A1vS;U)%`OW^p{#)^(H+0dfsBM+axRI6Of<z
zn-u8w(Nb!NmQNW?lj4D&u^UVZ^+=rD_jo2$hh4I@r>z@<nNIX)h`$NvfKl-Js@vKX
zP0l+9gKF>eeovZ$B#kmJqQDyGFZ<Ss0<MK(UU-(p3~`W9NOpCUZtvS3d6#--B`_@_
zVW}kzPKYI`_-{~Pet}sS`I++Hpg^DPlN!A9u{}mqk+h$+prF83*t477%`u$bxscm~
z*Z&C$WClP4xQdI*isL)_eag3b+8dQ?z}Ecmce&lbZ}}v@sJM8>fiJ6pujZ&H|G7_v
zZhS<}^8!FpsZ6n=m)picse69758v(*1=1&C=;wWw#I}9@>J!+j_??eZiFbQLD8kAC
z6R0JzmbCxaw+gF413x^jLOmUNO8+?x+R_0XY;~d~yqi5Fe+7yj5&)B#LJKXH^yvTg
z)Wmg#{j!}B5WvR97l?R_DK+4s&eODz4poLV|FcILvdD!C9)Iz8>!n{3Ql^=c9YQJp
z!t)rBZRZsHc=M~c)!%EiOUiDWiO5F_znni^tAsnr^K!;LOXdQp@RjWTuh+m3GapN5
z?3i(lxjy<kTSEM_$b_lp=8ohy1-rdp1rlm{=<mb-(6>C%NVyXi2&)oySuoZVb#T13
zQN(q2VqXCSIm$TAL$GJ-Ah*G^g@ewN&Qu~F)R5tN-W)+Dc2ey6XUjHZy*fxFOt;2X
z5m<b}BnRegGQzZUZ6?hqg^l4w8HdAfHmI{GJ$&*Y_$^zX`|d~=R_Q}Tz)~3qe)~nG
zcjaz`?6u4$W9;rb3FMxlnB!d=IPc&SkxKYxpaxv6_&EhPLX{exm=C`58;g3Xhmku0
zM|I5jV`ym}sGWwfyj5ZMQ?xy(tLAYnDJ3p^h<SAiCrUS<%Sb(PU#?qIDs;$+-PGG*
z{B5dkGV1zsDw#Ds=dKn;J%cN(y$@Its&t+>nyijrEjcbl7?;zQ>M&NZ_NT58c3>eP
zq&2Oc+t&fz&cpzL&P^^Yzmk}?;Xt+Z&n1>8SXreCg^4I}eZaznZkZ`cmJ(&<RVzQO
zrfQ}Uh6cH`Fl03wL`^!qG+>AZK(vY;R&|~P-UkBua5BOjH6VqGMUH$E&mI)iaC}<8
zAAMd>A<FR)OR{Yoq9bq6A3kG5&n*5BLI0c3+cHq2_eP-nJPmCreNB^iBaOR(zplsI
zP$U094+IS^LjL5CCV(WfE&U0;qi;Gs-Gc+;Pd)OAgs2N{80UmaT!Q6>Xu5R9{0qRg
zj1W(9V*W*2B_!c%r%;I0ejwf#8WWn>@~~UgHX%J;q)1HQ-q(pF3Kx0qUGv3pIoS}h
z{i{Fx+>bkdhW6w&-XVw{$-tX1xNJiGY%##l4Uk!GW@jtAtYi6dFU@s}fjENDjnA_j
z2sFXyVfz8$57=O61IsRra;q+0$i6X!LRY=sIxaSr%8C|io<8fkzSL`KAoJhD>3awH
z@nub}3qbcM4!zeM(Esc_oE(duvpfzOyO#(4XS~fl6*I_<uDN$7W2gdShLWuCemu6J
zS3>S;3`zV><lm1+AEzilHVfl!vgo~UAv4spV+XaSiIU2zoT%XWNHF&H!!03}cP;^+
zy)^Cn#WB!P<sIDaBL<_C=S0kM=Jakap}>=!hO0VE2_s!HSnSoOkE>j|2jW$Z)rE}Z
zfGq@Q?TlccTbMjRo#P6ps6~I*>Klgf?CU_)uP=7zfMxTA_Xffoyh4o+QkP^4ThKa)
zYQ(EZPBZ7H+Bkw{^}26{m=TRy3d1e~FSw>>Uv%+Gs;<vLyqJ%;3rm)t%mk-hWt<i^
z<G{fF!%iJ2=4<3Z1Wg(^-$<^kAnF7RocBwJ4FJP0jHBsv5tj~qV}-41h8E)+!&2lv
zNTA({V|-Frl=y@eXU>JfWe5p2g7{DntC-D_JWY~Zmu!}nBr!hu77Oc0K2+NjtDvaE
zLk%dx`_a9ePG4HRl6`7Z0b`}GQCI5qt<tO%yd*7aQMRo#CB54^nX7s<XRn!Q@qo25
z@}<yCqV5ZoTINOLm$D=Hs&#XRgW?}JnII&QP|E=aqdXeZ^ks)*-^haOI$W;&mD_Zk
zCEgdsD|^#EvR24M&~jIryl$Gvq;NGa2(lx7wXJBIuJFK#Po^MM=S(}&m@j{UrVX(&
zwU~HDoq4%%6<SvpC@B<o%b{l5SRhU78G8vWK{m{}cL7yYi1{rZXx+PLF&jPUVEO0r
zss&1~cSo=ii|NLSuZgL~HgnLiDtb8NpF};IcgqFxpt%)ofpS=zZdHRSI?hXFwg@tc
zFx-=r#?3^N`4jgXE3Kw_Ut$zRZWXF4$g3G|6K(Aw5@)S^vai3h)pd_h6~5-ApPuRG
ze7NMFuu5Zw+mjD!o~Hfc@S#L^mcGkY{uJ+B$2gvJme^EFeQd#-<%<7V3mp#^@wplS
z2`b!eB@E_0+nOFfiYis$L8fD*ni5TK=t#x}X;Z}c)_1fqPj=941~O&l7E9gFN_j;i
zL4r$Je<-$e<Q%ZJk9;~Fo3i#CRVu&D+}oUsAULz8o%y9RnZJIn6S#(7m%rAb&KjnT
z60#!gc&Hei<9DdFU6#2e?Rab$jqAT@elp7_dNHNOw54rk@Dh{8v_yKIbj3X!i)aPL
zeIPxsO05TmW*$W=lZL@p3VJGd48X4}1RNf+lr*`37qrqZ(%T@8EZUrnHpo?XJWVFk
z`3ZD%N~Y73(5?eb>I>TpNHwn(B|47@2Rt13`U~c0bl60k{@mk2BMN_i9j{w2i4_||
zRA7thw8Cg(F-}qilgVqdB29~h2yru&3c8L0Y;3W^w^|C73^)s@HOY(_MoS)>W|*Oc
z`xrNU3e=C0^a!LMAw%4<)D7ty4rE;aT%!xQ-ZN@}W^!K^rrTR;h&V-lT=CVPWxXu(
z$lIR<9<=!86UPQ?IDl8le$+;t9WEs8WSJ$!7jr3u*a%^ZDp;U`sX1hgY2-1P@nwje
zPU=qqZ;k_0pSc+$emOLauQuwGHn@(KiLWp$Q2fE)Xi0rd1g%8l$>UKZoQ)K&WHLw$
zogwI9N;ys12K0+b$P!&4+~B8K0-yxfPhVgKgU{K$qw9T2rw9+dI0Qc$!pH_fjYH&;
zgfhr!S>S)gGqG@I{agxC7fCTIRVkx`cB7>Tqtm1<lqaP<tr9yF1E-qTyTLAJ^w5ZQ
zyBz<lK2J}}a=J>*0*1CYMo=n-g}BJuX48cmpm0`i&pLf*r$@Ue9j;q)>~vN3NW4_T
z?suT3U!Pd5b%CKv@cmnghEQStT2qo$Hv9<|shqp8&l38Yko`~mNs_3j+o9#`W7R>G
z7yu*sliGJnKQY)(`5)AtSFJQZ$o94gX9&$g91WLCB;`dEbMW?O8zDIbO`9&z`OY~T
z_Da<{0HQzesc9V)TX}z8)X#bA3oBLKIW_vrO<}6JD`q8b(~fGU+ZHd!j;t<cky01t
z_OZCbAbP)tr5sn{9e!s+>a?0|BQZ|mg_LQvBvPBmWtGt>2d42N03FS)rDz9|4{<Yo
z;8}gP#vqZ5-%mT4G0-uCT8^#-<<A5vD(ifE37vrxyd_gFkYE|(isIz9R1=z!=BQZ=
zwkjjPn&CJuKVM?~bfI>zK1zaE8h#WmiX*cE+M^0#!3(dv<cqZAawwc9kU>r+XKDII
z#UO=F)!HX09aR0j&eF>4-s~0~OdaJ<;>XTLutfHf2^fWV`0)^t3{_e*4D#6wdW205
zOrp_QJB%o=G@~mDjjNQ9@ZRud?^kS&Xa4md6C;GjrK5FOyeajh!Skd%Epw5rRa2zO
ziVRbP$|m}Ew?o8gyF-D7ZmC(r$I%7j)gSvufH+2@(d}rW-o=N(`M~m!E_ZQ<9zNeJ
zVP{dLy`?sXZ5Ob}PS$C{^hkXKVphn`UQuQ{#`&GyYN`BPN^oh9+%LgD(`K5}?1ldz
z&YQ@&mK=0a2N)Bhi_0;VGZ&4Q90LA8z+KB4Z97<idv%fcX^-#`u0Gx(2oP)}f7Vqz
z)9|>FFvHR;3k@TqkQ4JKX^4~{*)GsSV`H%TV>8(*UrfT6Umdd=S>E60I$+Gz!E+Z$
z@7GIJ>O}nOjwyCFiTtM)Z<oh$G2HY^80Ik$Nm%GyZ5n{@?+c}N`u7Ne)@|Kt?spP$
z5?7JSy5v&t*@ftCvE5Wpj8$g6=K@$&$0=cAbc|J^iMg(UUOlF?XQUB9^sW-|<b9{4
zFb`+z$`$MMb?nAP^#a=L8IvQ5n^R|Rc@pn8+PIY~hiR2rI;Y$Ni};RRf{JIly4mtL
z%qyH}-2Sv)*w*|zH9*ym4$YY8_`QZP!3kc|%ROoW{ch~HP1dc}H4`WsFFx4{g2Z_l
z&m$ozPeoe_5h+hYTay2b1B7lTYEY$2bkwTJRN_ZpX^Q1!F)8B=EbJN4c_`dH{lvyx
zG{+kxwU7$kke?m`<(}0@c`GXrpEo2>EILA78|fbqAKh0V@vnFL>c7UkW{)Nzc{&q6
zJa8gDH%;c_lO+%m3q~uKm`2d_nGh$u<g<A+ge(z++J`~kTnKDbz~~_|8{gsj=X&*J
zZJyp_hNRG~loW=@Kgx~}8l;#pDbro=c42h&&}nYzW{71_S18$3hom?rJv1lSal%TI
z$ODYsoy#mELc|FYKC`qBiZiouddvVwtiFr_+*VGdj@`5?7Tle9<I0mdlW5~+00eXM
zOEA;9_fu7M{@ID`anuK&Ci<z$bFq4G=ypz?SBJYW4nEEGQ^BZ>qvZ!EB9<nSzvVYX
zEsQgW4o9h|t2HcbYoA(#c*ih*8PRdbTH8nOhZ+(UG_72N#Q|8@`r_M}XmiPB&`Sr}
z9wzZ_rEzv$BYi)`!%a{C*w+U2`XPxcl5{zd&)g;*e<*svJ*P@XF?Z*&e(XPI=%>c}
z{0TlfHO}M)sFehmZkNg<y@z?HFmXO*csms@j+ylc;jfCG<0Ra!t~1shAUd_zBT5L(
zZPZf#dVot`b4a!4;QJW~6I@X7B@ifiqIe+l>(*@+2D~|4BwvP1<q^jH$!4Y^TF1Da
zvSl$W1@RtyUd^-@715lA0=lOa>(3%O@0IyIuJuzxJ)~8=nV)({$1&}<C7~tq5f(97
zQacVAnlqsxJBcog5**M*1fF*E7am%oSTyTbTM4znxch_-)yu*p`EnNSbCGFlITumR
z=F!~iNi@SpENPCoSk7_|u6UmOqKYjVB78HTa*Oii(1gO$FFD!dZ^Y~40Y-N}SL`R$
z%Zu}1IUMA{599IVD6c*v%EK9JiF>bAoby}kX337XhPxu%U~;B{Ri&&ml&XnOkQnNS
zSCyil{i<D2`5u0TCl|i|wmSVicvAa@ll^8lOIXkI=9$lM<}o5)g#1ux_AYCGQmES`
z$HBwSg;KUmsi-Lp3&@=%3?7)Z{pR)_4>BRx<@fKyh;@M4`y5F$dabat-LioQ?cWr%
z9p?WC_0sOtaM@1zuD}er`K`c+&}riHlcZH6!2rq|KZ~S&n<OlhGd-H<{Cc>oZ5+;t
zoNXA!i1;mkc*zvirrvZOc#a3{zJjl+qWz<|P&bV6)3?69aPNkx8ah(_n|r33%deeI
zW|~KKYVvD}N#3sSlU2*Cl4ZMzw+_+7KsVas5=>zma9X!T&`}_U51{p=P;xP(IFGq3
zRcq?5TFY~?)pDDbU160URAuGfAj^!<vdbhi?fv``lka3}TT@}4IoDH(QoZmvbH-GU
z3|eTqKnqPjXrWnkn4Z7TlUwr}Rxd?(v0!;oe<KO>kwF7bHta;2sE3QYj4)UYgSio}
zz7T_ayfWd#JOjU}CPVKUV|dO{XdN$Yo5s#!Az;@`1*_Ijy#~{)ropYGj{UhIfWpxc
za^Fa8y`6KO4U4xa>SK5hbH*7)j&QvzaCu>evs1ua6q@<Ik#e=b-t%C+nc&g5b!qSB
zd^)=r!5BMu>E6Y7qh84rip+E)PJ;>oi~Wp?@hK=I=WA!h`!<<m+oa*6T42I3Hn=f-
zCFAXN?4DQbct--^Q*2d{UH|8(#JL`GsO&+&bXC1D%$lZCM`S%}-e~H#2gt;+rQ0~#
z%*&yuO_lJLw_LwhOyVP(h)?~N#`v?yf~^!<O8w%?5!<hn$4*J1?{Fkp(xTABh`i_4
zi=h@t7o9SI*%WaNykY|+aav{aw}*An>^aV;G&_+cYb}Vl6!oK%`7+n|r>8&mDjYJ;
zQ@+bG$A*1G<Y6hQY-a&wW1ScugwuTTWHjyXj>(>(wC0LndE9x5{(iT{$?Ej~?IZdp
z!~K)%csc%8uH*S{W--tIiCGN7;G`2sgBpT{q^Hf1#^_G^o)kyZS#d(Hz^8xj4a(@?
z2y8>)yyuTs8#r2Mx3B1C50amqiVE^3VRC15aMRIOpLEN!PRS>|AAJz-81y{5^!UD9
ziWvy?K3$73_4iDF2=;aQzGfqyN&~DMa=Uuo_sy<onJwMEB%Uuyb4pA0cXdA5zZ|4B
ze@XRuSzUo-)i3J3S!%zqrc9MDNGnjBH+`|Q{l5KbQ}MHb$mYljZRL)H^KVno?R`VC
z=RYrz!nVVIyhOsJ0R`&9b=D<Ycikf(xsK^i3_UCU0i)sdpBh+KERbABKy`3>e}{}K
zV>xkhmjfN{x?Oo@+RX9=;?Fe%`6%k<o%_F}Dh1`L3KmrWeFgJU>tD4l<x-kY*ssZ8
z1YY|4jzJYmj9c$dZElYEGc=sSssHo@1>gN%vW#W*=~tNTUd1LhtmF-yA_kd>x(lmH
ztB^8;pp@x-zkq_OTIhrW+<#AmWra~**q*uR#aUD%@p89P;tE%2CbpzqFD^;UXO365
z{dE&z)s*DW8;tVu9U(f_i@g3zssa&kwhT}*7C;1?r4;I&iUNyB)zO~61RSNge*~OA
zAOeniA4sixz}x+vZrZ_i^;fO46o;`4iJuBDZH;8DE6*f^R(Hc~i)4imcp*eW*aN}P
zy_jbI5^#nN|EYBt|55AQS^^ijpuuM^a;AL$s&$4SwXRI&#T6HYGh+ej=h2;}gP$vi
zTKsi;mPkqqFB~@A1EkiWTwb~7{8Q_!@k~<}Gn?rJ92&!C^rMY8F<UJO2*ZMsx9U6k
zqC>MDzTNHo|JAw}%v{|E?CYp?HpE$(b@b*9^q;j~|EhKR%9+h)E-#l+0$blK-1Tk^
zrV?SGmhv|8jCq{b{?i-;3u+GH_#bYun?%z;OOgI;<0QsWq=WTQ5>O`B#w$kCG~5d0
zDXMi14Aw;0x5BI=%M-2H4AzpyR(1G~r>I^eMKTESbw`rcUS}=5TrF9`kom?e6+O-&
zwN&*rkqH$P0)PPTo<&s!L=03*=EFliPobpgMG{p_7CFF7@;W3HF+5#NdWd>iQ$=hc
z_3c0olGs-KNr_zCTkYcyK;Qgi?d(tAzpG*&w*mP8wi>4_<%j)X(+4=N|CpsM@`g&r
zxzhn9!qcZO$jQl<x7QQlWUFAK=cr+w#A+|0$ZL_?!(XM|&%gLDbuj=)nqbPbm#G;`
zf2WT5BFyB;c7<0!-7wGhutY{_$r#obhb2U%C3kY2-o$WrHuHw1=mC098`9UGE!*dj
zE9Sd<-goz3P73M-tZAfC9TkOcSNb6uaDzx_4b*#MFNtefaFRpIhtvK0oyjN*QY%w6
z5}N~4tIIbP5hgVY>b6pUmnK}<?l4R=xu>!Sz^L$ubJL4A6a|g<Z|9%cn@vP^`k2Sj
z)qS6)nTbSiQi$roj`6o2oysL(x^ICe(1r{udre7Po@rhStKvtk!wM!b=Y*=6Pkfu<
z3!Z2A13VB@ugko{s3GH+fiJ7j!7Ku3(Fm^DD%coAx*@c%4dEF@*Xw#^@ywm5d`V?j
z>Nj+9OeK|5_B4>QA(Y1HjfbN3w;>5za3LwDG;<QYp;!Lp3mG~s98PoVD-M*rT_GiZ
zCyfX@c7eweHX|Xgcw?wDMqC&<!tx?tPLSBcYfN{H7*hS_gUFC3%Q8RnJB8dW(6GP?
zrkLIpjYi)-WIHhR9VTPa;_7TRR(5QWF48(NwaJ_cow(KdN8d4agP*7_@>*#<$3aHV
zVj6$tBLj;A;~$(HjhcL8oE(mt`2QI_8lzfTkY6bBOrj&R9C%5JFl_}<B1?j=Gc90U
zNUU6f$+9ovIXqM(ZhJ@2R?O${_<10tze-d5K3I6BffL?}<MZGha0_{C>W7K~&#|6S
zmd9`_ioDmDr|+^9kP|evIzMxT#(75b;vwBRMr3;tnlQ)))VFs=BC&q4TF!Q{%0O_*
zuj($dChCczq8F5h<X!+pRn>e`33YFi(WP3<D<&XK!~q=9;A9j|M_{wF2A71+=Ukhs
z-VO26M4G7474z}rDa{EWGqvZMYD(399^}h~gs)gT3*x1bE?rjYre+aKrjYoMZIVeo
zoG#U~v+q>e)=oZkiy5!B(3ozu#QDNYz4a}Zq}PS-PxZ?(31~HvdA3g#{Z_Tt9#0=%
zNW-zjLNh^)I=Gl{p$rKYoVTPU_3>OOb)NXe2AzECCuxeQV;+k3DU7UR!3_=e={|G=
zSu_<vNnq70LHc!|POIc{1cLTK4U+-FV4E{AlSz_Tw<@a=$Bee!gkjB1VUKcDt&gu<
zWTtv&E)yb1vwegG8lol{B51_~p5p$JYj%8>37(BaN7YnaNoGqtyAN1NQJ}Y)p5(sL
zV%VTUDySeQzldJG)1dbm>S{>29Tit`LyU|}yy$3%NFv<hhfi4aY3<U?UFsy>@S#n&
zZYONYmlorO#!lyU-;T8hlL?!Mc9R(!Q;K&lF@$`1G5+f=3gF7Ul+XsjcVCYmrZd*2
zwUE>~_+x<*0>8)N+ZNpmUTx?J5voHVXP~-2`^{g<v{--gKXym`Hf8uwQA2NfbX5>g
zkFxyyY~4$r!Flxlkr!$!DU*7cAc*em6<{wt&XUs#v%AUY;=HPAwL3x(kPg?MHZ+Ts
z+FQ=<K=tFxeBq3I|3FzM9%~b_K~@f$t^4k`%~+M{2qGg(!?yTb)nit=>1E@Fh;Nda
z@>3lw><TCJ>>`tsJ&<ZzRxoE=O3v$x#bEKZ_DTFOYkBkC$GDiz<L>GF&b?)xE2QcJ
zXc3avT<O-l(jw6kt|AaG@R3NJEs?2O)XKIPTjZJO!L8#ktwd=RKxKP3d@&d7u|@}0
zoZH{g)byb_oLT~DAP{u5=A3WrX||@cU+h`7=9nLUWjw?XDgr~!p<f5&PRFfg@^>*t
zzfaP|p8<yq<^UWKQb6McG+uN|vM=MQ<9CQspo!{LU}`i}*kR%|+gL-)X3{S5;!sg8
zjS1K)A=0%;@A21|`|7cLSkHN)_y?l$XYaAnh}#BwY{y>Ly=$#kYPH6Bv3hNO3~qD*
z`CWDDgREvtbSk$IiWnVM(KLE0-N9%$xm;X1xt78OJ+&Lsxz8Nv>PftGdH~!Ej_?Dn
z<O6{(H2C7^+8V*&Tw|KWPm&8bUveoS2%KajJjO80#|;-E;=(#NfSKrTFIvHip2<Is
zCoBw1p490|{LJJ(y)Q?7CL(%Ufj=wMOM7zC8u+wQts>F_p+=(>!XT0X)Jj+#UW8u6
zETn1!#;y*tsd#3pwZXxh<K*nfVezEKSqr5xWZM-ci0*_jXa8jEt0YPi9Tm`;99Y;M
zO1-gQWH?lAP@fcn?ta8Qsyj+zoK-Q9%hkL}l)yojUy&0or&_6A#V)6ssb2cem_caU
ztgw@(-PcwGa`c9@yHNc4@y;u646Z8ui~qDobiMfYyovgW&@DdY7d%G4nB|6Y=Tj@A
z`y6YmYUnQ@!8lD-!ATnNOtecZXk!vaaNvCet3x{WB$!4lmFZTr(al?%nIs=hqJ0iF
zPAz9V$eui!c_D_ja*rjh5fRHCPM{Ueqm$p=D=C2Z&F1GRa?>mo!jwQx*=|y8I&5B@
zObsyCG;K&57JCWp9Vwir?m)&}k2YqRbxXgAefNYu#uq4+%1w9dPv!Gf1#683au3mr
z$udblbB-nkN+xbgiu9;i2Ih=+imWQ3K%72yS{NlmiVe}*JNL8I3y)vC8nlB>o(0o(
z`QU~cd*`n+UCxx!!zkHvlk~CE(fEXLCXtqdC+GYI$L2Z)D1hOb8n>*Lzu*wVpq8Fa
zV-l=ZxFJ*gzHZ#hRs8x|Y!_1G;##a6Bu*TywH#b({7@_I^3J>>`mz-$SZEzF{OoNV
zF)-DR-9u!u6&G<)W{o0n(lUi#<fwU~#LjaH*2En@y&%HVR5tvF%>HltN^d5lgZeLQ
zQ1#r+MdyuNi2Mu_sQ4Fx0)KqqE~qw=wl2CRzN&ERhBRlmQO%@A&ngvhF>gJEWLS-?
zWD9$N(^IX!4I=2U_HN(qN>*4V9F(Oj2Y3rYAM&<eCRz`3kjx>eC7rm)Namw0IuL*X
zTP^WAPO?yj2$XD$zRYMi&#@E#>ZSBqA<q~Tob%<<I_=X^=Bn?BK@V-i$$ftc0nc{l
zl=o#(c_H24tjYgKyc(!J5VqiNJb!Y6-GG~f3FWW(5&BYjmsyIL1NsM;p@*B7`hONV
zf&i7-uF#Z_?h$ML`ls;-o+`x1N5gh%yItf%gv+bGPAbN?KT`IP&xb%4b?ApX^w9<U
zq<(FoJ?W}E5jv0j^!abzl||*>CmJ)+vibXSRRi#pe~(PUk}DnrD%8H0LNtB3i|guG
zGiS8~;I49qy5O(5=I|{i7G=>V2PG=^*g)m0ijNvtkJ!|=LzVDZd}cdr{4~0E)5C_D
z=D>$5Nb?>W^NrR|nKN1R9Q%nsX(oC>e3w}=7;{m4*XiHp$E{we++M8*hGsc)YbGX^
zCMYzhSPtf#sb!jPaGX^9R-YC!!gXJe&^eBQ?YP@n>b6%BZ-u-hi8LXN!x`AnZ*!+9
z!)4s(gdMj0?BP0t=cV8b5rC=b^Yhe}hPjh?QoiLJ8ukdfmTyqh8@xuzdGtt9s#Ak-
z(<RLkUvBL3Kw?(P^&LAFqB#Ed*fSxC!>_NmKiJ=S`dehZD61KMiYHm>pXHn36zNcY
z|9N*o8;&N|dj5B8Ij8y0Xa!ruqudVL?z#Gd#Z#j>gcs~4icJ33rpqXDcx8S2r((WK
zT60*@0UhIj?o;`@t73<iyV{VIqQ%K0&pMMj(6rS2Aq&fSpzV^Fg#YTAqb8O;TOWLI
zKRYHblO&zpT<~Hw1K-(nMsuhp+6Da_3%?j%$@a)nY#=g;DjF+(MTM0(>XuA~bd`Va
zK5t@PEx^QR&ROcx39%dab9I_H44A`NC&$CiJVcY28o6xdA)+R4S+y3LimceycYAyJ
zvV!MnDXVYOM#-2~Vv+jZgA%UeXi7?zrvHJcgYCvZUMaQ3Weq3FzR#a<1UXX)<R}?*
z3v}$e99`8k9b{P!6#>Ypq2#>0-qabz>wzHYyuGexD2FZz3H3Ii3%pvS?0<az*xRj-
ztD#wz$&O@!&EmCuy5s3^%k4HQ3YsFdgZgG7vLpN0AN|eiMcvLp1X4Co?Gp!l1`*07
z7~aH;PuNVFpG%g}R$Q5>8~b+&6w>w+$cpVU7}PRL9Lb4iyy&{p2EJOx5@fqs&ki-u
z*UDYK5gnNTAX;)cI>2C(K=IdH4iGaCA3z-Ip?HwXM;-M=I)w*=mC43Vs=`P_O50Pc
zf}s+15aIp)xD1<4g7cgb60;cBJvj(|A(n6AM-eKSL-Nq-O};*9DAq*|o+hd56mpn|
zLN`Wn5IsCAay<=H@K;m#g+Qz@99TUJh@f}Unc}2L7H1MD9b6v+jB}Vv39|?q61jGF
z{K0tCJ&WHGyjCG=XXD6gHay%GC486e77_LYFvs#t_{<@;%W?BlGV7n7nXy-ei$wcf
z;NR_C06d+5O3In^v<^T|!NJW7ATw-rKoR4Zjhu=ESWzBOv5NC{SzB%*M=wc$aZ`Zw
zdlrTbO#)$3ay{Z=BVx@$7rR6<($x~VtVio&2^Jl&Q|}Z*!(Y+gD8CW$P_EwP;|YWl
zGRBE0cj47crjogl^E{OgjVUDXuWxx>82JEuMZ87%FXPldh2@_T!_Le7|8;cn{5O!8
z=l=#I&fQ5N_?sKvej|N(U>}Wt_X_LOHkg=78puphr9l)KM@Vge*cL<);McbpTY9~<
zHj_8%+2>mCWwN=-zLl(30E!NOJ<y7ErC$uV{U|W77kcA!Ea=~kyt<u9z|Z>V$oqIa
zH2eBN0RL3MQNpF<FjwGE&VQsO#SK%{CREKz_jYD>d$NbZZ#hPD|7+=5Ay&(#;qBLu
zTV1H@ncnjP6k+(!WF1gyIHA$iEYP(i(w0ZwjIv}UfcL|pxqf#JM>2JyE6&_`@c%LP
zR#9;UixMav9D=(BcXxMpcY+NX+}&M*1b26L5AG1$-8HzrA?M!rKF<5NKixBXvDTjM
zP517q?yBku{99b}KzA|c!McKAU`C^^$@|<ZA2sL}IrL`cN-mL`*gRsW9HWm!C?Z4+
zm)?K4i~!Vh^>-E+4es4iEJtIB{OA{$Ypj)SVdNdk>=P}l#M3V@r$O~Hq^`$5e!Zd<
z7=Zn9p2CAJ9-sAK$sFhcj}#8Z_GIAz)O2ab(SQ6}#mawZb>!#T^lDW44!vr?=$CCf
zopiKPb4TnJbu|DyGbN$+{`PioJs8y|43u<bij$UFg6|edC)|)V2H;gX>BPnerst@U
z$?L#NEpX%L8sQEB)52L>z<_Dtt#=sjxLlBnoogPXIefg{&(v=+Kx>u^5h>}yy(@0L
zFP#4rUGwh=qvbPJJGMzq?KCRzI1}p=pxB8B+y{QYHGlsSSBXOWN!7M&^Y#0;i)}j#
z4CiXe=XFQ>hF-^qF3G`9Z<b(phz}>m1tiR6Dx0})Id9KK7oS<-VJqJdF<6epY>|E!
zvh{j$c^MQcxHba9+<81^>qw4lH6z6BNbHv0sALQ7Lfjt68$ZuWg~P^zSarEaKFQ?g
zNk4b?vno0;P*1vk<tN1m@uiRiAbg;rvlR%-tVGUp{oIWl6issCG5=;Pd@=BQNJZ9y
zA^Q8SRGYP1LKWZEG4qIJ^Q1SOh$Zsz1<;(u%n*?TyAhJv3cPXwR8I^?ldQlWUE<ej
zelwax{T{3~q!M)@=_u_Uc>_I-8Y3E6Urdo|k+~W$kBdsIJkBIc`73f^K6ptolp+il
zjz2|CK4Z9yQz06Vaj_+t;EgZ-b{H(!eaK3RK0AKZPW3`b+IRJm(W{GW2;wd~#xgiI
z^JKzMaG+-$*F?mmHai2AUOT*cH9=o8BWX&$)ecmGu@PHN@Y+Ok^~fHdgk(-;RVC)?
zkFtB(w7-VkwwCUQjH+(pxOimJ!~rIAVR=4Y2)H7I0utl{(eWBMij1aV^MBG1`+=dW
zQBNs%=0Tp+ccZw=Bay?JB*U;kc_H%~k)w-C(_C@i6<n8iyo+CqH=;{tSs)h>&+}7u
z=^tc?qy65lr*cq&;->a_BVRY4x#7lCY+eqRBPWS#-DOA%1Y8y1F&Q);#<CxFn?-wP
z$>k7?5Qz?ip9HPEh4cOmEwzsq;7^?}t{!bboz-@EGo+?e8jxRZMSeD=FPiD5nyBNK
z=A-6K?Mx~rLxy&+B0_^|3oE79B;!~Y&s|EqXOzm7Oyne|LJLP3+JmK(rj@RdCF)J}
zm*A3QoFxivBY1*&unPA|Au7iERwm8u)z=3_lbg@)%@oZlxrKdw!7z8pd95wr08vFm
z;H-szh+R7l(;Q$vaSJw;*2~vdxwX7~wRU~B@V5f8k6;^X>gsler?1`t7hHROMFNjh
zdv4`Bo(QlE)D@V+v;1x|AC2x&lOl7eeuOUNn>)1fgATp|zx&I84>WW3J`6PboTuhl
zF;Q)&Xs@MxcH|qDE><oN{Du$wg5=T{(%qa|qlPpG#&t~EL&JGv6I$(H54p&MS_||O
zHah9S>4CUDAqu6MK`RqU=QQEMvBOd1lX}kHII}e6!YLOc$@<RUahCB)g=5C1!`slE
zi}3a&U6{zFxji)~k6kzoHCe=~mi1e++>m_QBd-Yo(<4z$=D}(k7zLfe4BygCm0z|E
zT2%Qh%|}VdrKm_(R?Gk{>WCOAFw{JH^mg#cy7Ky7f|hN;Y5B~}9)D`qPG?>d{M>Je
z>~5_>L0-At(F$Q#SUCbB@?(#)I3qf$v48CAB{@BQr3Krt7YMs%9{2`j`xp{MECpHL
z_WL&;f%&u>Zh*FNm-5WsN)tP1n7?S11z1+x2ILL-a3OO3$ng*U<X$q=21I(OwP<E4
z(6-r`R(6>qlX`N_-?*7JPuuaP??nTo{B`3B4Vq};$xq?xBd?<6EPrWuhmT7I2<5*6
z@?uRp5HLjMq=$Z6JQ*LcDC1AhPva5bDC+AXOppm$$(O>k>8MzNt)!uz!Wy^zfp}|@
zk(;(=_yaLvTnW=gw~)3~)5rzq)4;=Wq=@N^9pUFC{uga5o0Vn`B_J6=^-w@d0z1Fx
z86^1%&Dfw}tiH5r8tki>3`$!3rY!dI;C9L@HzEA!<=r)OKb|*t@x_n0nK?%$@Ll1%
zs~@2m_#Li8mQcw%9}+^}3k&uoeell%e*VHrjCJZmUK7ZAMH;Lt3yYZ{Xn(Xk(V#jU
zMAkF0abJ&U4!)0UZ?UkSdA@N8fz*=842u{W0vq#25aPuZPhO~D?~xAdDj9yr4Jiav
z0%}Dy8V<gRH(2D+Xgi{iYO~HvXnO-D^87*7{`L+M;0Go>l|RX$YNxD{`7$*tQ~<&O
zX*18(Q%{jg`ojhjBx4qO7&7n1m3or~e{ZF-9h7AGh|4vpi#eZLD;2xayM_9fHk9%7
zyqysg0`w#@M^lh2=jc;YHXPX7aQD;k_s0rTJ9AHmIT3JZf!UgrJh+3Y!`;->2{aZ-
zXQp%Jjmahq7Q{0TUP($#f>Dh}w2QTnc?^w^vtG8#jf;nMU+VV$qTdUK$*?84wzJv=
zFs9JA!UTAt1;khfph<m@L;u}XN{c6XZUd!s9yVa6F{<g%UXxK&_W0BKn~Q9Qi@fTG
ze4#5s$cy+Gh6mqW=1*z16jpd0DZSa_$m*KGLe}r+8!d8r`OXE|;YwL+V+Ltd450#<
zOCf;kdBvHX^0R(H8t+DNAb)D4qQ3=`cG16AjQb9dn=_u9yt1<j!^ngQ@zoV+hzPQ{
zL$4T=G~~nU@PBgB5WwQABNf@?n_4F3-0KOp+eh<*pEsf1lA@B06L%EilHtYyfnGg^
zi9~pGfQ6>byO@tf2C&YNtWB)Gd`WUSzalxhxKswPxW0u;x2Mu4_^dh>%2_k0t;`!I
zIqA?Q-S=%(xMv%uiBnPYmMi-ZJ<L>szo${HQ)Xm_^6}Z`o@wf1PUiuP>_}2VrVdDn
zDYp+;=)V5&6^4~6<Lh37>19mv9k+e{UhTCc(k0gp3x&<#8_tjZCDe4w;j64cSZBsY
zyV!UVn;Dm*l`a!3?z>)W6QXGTW&L085QdL*jNS6>G2c9~C(Fm1Yzw8XG`2Bc{JFSw
zWH}>vH9r4i+9UI0ribc2WzV3(%62N<c8~U&#MaZ8`ov#FPUrP}^@&URhJgCvqZQNI
z8YiP4wqIq=L1l1VH{cSxc>J0SjU_V!OTmCx6)sHgM*)t{YaxIMSiJ{ZC;=;w5MY(Y
zMq$`s4{hWt0>U<F?<XIC4#Kzdt_ETm1KQxiL1w98r{SmUdJf~qK8IH7-2^9?eop46
z9tmHnhe0EV^yLr(^iRhnNavrJv&Q;{LG=9c?NGDCpKf5^kG%*@0dp(GG^SKDEBXH^
ztpsF*vYZT`%`_wI(Ex`Py$zDj0FMe#joeax;kkuvPq*`8D#wT4;X=k9vDI&^WKEbB
zE3_AX8?%ex0v#hQ%03t@BK&=eS#9har!>S>Qu*%-vqKMF8unN#wWF%V%BLFuN?jP0
z!gEDpPi5`**l==mW@*hFqH_%*I{<+8HyR6%g3r5giqEZVqgCs@=>eqI=P4{!WL3KE
zm+4t;no=yZ>6p7*v?^Hn@G^8nRqO@l+M{Q!asbgZgBlYCJW}S@9I$gvxSQma-0)Ri
zKO2q}8*b=ki$r?c%mP(m__!a~soH`<!iDBNVN2OwqQ{?5B}&qbMoZY*qkrFk#g*}i
zm#}$8!}T{nNj$gNn<V*E|G2#%QvDvT6mG{R9nLKz-j{Ps?*o<#u&2F7g%*wU56>1P
z(uS9_k|&ZpMV1Tg-ky%lBl|W^S@zDtUdiRqvnF7_=l9FZP1|wLZ%MyTsc{&z@nE>O
z&#)3Sq0NVA{rc$qsssv^;t!haUnKH{`iRkJ`FH~v+JK+_U!-uDXaHO80)wwl27;UO
z`SgG*wJTz8cUN+`o@h(%PS!|AXzVT0(c{x^KwvaC#r-SH;{G_4uu=GM*$J7|a4$1$
zN?3A#&FMfW223vn30x3sm$P0H=z(FfBA_79j2$dGpN;2lzaPSc<QJ#_Me3^VgHeBf
zsgeEx#`g9^@!yE)6A67HCKhH+w*N&;T>oum$@PCTv&>v}z;FH<a;fDGtxr&t$8<m7
zdXokG|1_ema@ZG+ryUC4my^%v@Wx@$e21FC{t2S6tSya+6TlZp_-k-68r$#hTcPG&
zq2$NSM{KrU$F)?)>&;Ve%j?a~3ta2_4fDst<MO`FqKTk@biKvypZ&YJ$a7hDs?P+M
zlz)bn^YxKaNWPu_3@zV*5<J>Z#I)Uht_ib0TYD1;!;RM^Ik4hMc)lH4{^ehQi(A~k
z0GIP;q*50#k7UX`*~Zlt2uygY79Zg|zP!H;`cW>Su?yN2HBQIP)xV9Snw?rV4fy^A
zxTqQd16&9<h`~!~=iMK7sXqf;wt>6XGAM5s)StW8+v!f$6)5z0T?H(`;nF(pkJNfV
zD-3X!kvqvlB!$It&F$gmRT^}R_^O9~b%e&<D*`OTBtqLdIru`z<E#3{XyEp>%fIbw
zgA%f!vFszLE?*%%DLq_zpxET%svhn$z~$-bG*W@#Gr&ay7~rxlS+t_KUzGf9S4SJN
zRr8ph&l#dIyuvbkF4=a((s{PE&r~;0WirPR@`i(Mudumbb9kbapsJE1paMxD>ov|N
zfmj^7p5{|*!mBXk|9i;;xP9H&{ZDMNw~%1e%KVfwqkD1k8Q`*e66eHVEbFR58v~`{
zqy^l*4ghXnv%&2rCD^-v2Ds2)DwH~GXE>?PaFTd)W1S*RsVl7%3HiM}53X!392t&S
zso2-BCUj}9iVS>$C-=v;Wd7DNInwOr$k61Zfb9@6yIb;`kj}=|@P-Y)HbND+{KP@D
z>Z?6Nx%6gMpBWm<krr+oC}|gcdvA0pmZ!dLUl?WWpYNjo@>|XZs3$8voh@ZT2C$|0
ziFvZ!%>RBmTb}s{r{EJ5e^<D2q5-0(gRW#^Ht05<6rOJ8AI?DZL}s!81=N~2qqEi|
z3xd<cSXcwKCNT~p_!Y2uK{+_+!jS=}Y<7)hCMD{Ev1V#e7WI~aEm~sbl#@AXRG{TQ
zIh63*!=;A@pxeNlF%b67$*YV+sbNV&AF5CAU%w@Z1AyL^?$Ipd;yCBNTD!?`0Wlhe
zToL>vcqY!1xc$v1cDwG1KKNaf26&~d@B`}3xK;ViDZR@lMQ8iZ+3R8DY<*aG6}2%f
z=^QdNm5bv6DA<h%)T8>8von5D!A=~z$;va(1*)cyP_3E;BFnTs%}Ls$&RcDpz3#D1
z5W;ZMzkGCuny(WzUcd9i*Tt>99Xpi16zUF3F;ok5w7j1mYR;!?Ai=MDW8Q5CPGnO4
zbvS#rf(N=?N`J{dh>}=Js1uW(Be0vK=-67=tdhz@NF>Z1>8_Jj5GrIljzq<DcS=H$
zgY^ckmSR^@XTMPx1AcO@6xrnj*r_y94Y8@*IZUf{gWalimqDZUVc?ks?I-YPnpU)K
z9lO%<pbBH+ZG7d~P=wnp%vU^cICArpgTX6=^-D`GP>$)4Or6a6U@KKTmJHHn&LM%E
z9aaOmT443NC@fTR>TE5ETVO9y{E^2B`VA1F{)YuM;Ru!$CQf#iy<0NVhQ*zZ3P$I>
z5g{G-V#XGOGwOmgBR>@x!La4Ja1T*^fRDG;t!9hBWMA2-&66Q<Z{0m5!7jwP^liJ_
zSr<>1#%4{x$BoqAF2QP~W-p<K@aOo+q(A-%J=w1F;aSFfCj!N}7rs38(co8X)o+Kz
zj$SwrQW80(wXTgOf?rG}>^s4ZhDk#YplEFb5As!f+io_kNG!S3xc2<=V5shN<|--G
zr)dGg9C}7Gr|5zYQ-NFN9Z@DKdMHWU_;b3R!ln5w&HA8;h}XGo2BhMS0uEBmeaR$h
zmS9n@x_#nZ(Gak)qyl<;ILV+KH0-YtYS<7HuwSHWN1y05lTd1K#{BS|E9Z?UFlr|U
z8Zf<gI_X^R#rIP^vY@+D0zAT4aki-^G-wfw0107e&p)dR$cuF1WT0@w`?*}sM`7CX
zOV3L~*t&<7WF}FR^w3my%>E3ZW#gr!@JxvkYJEvD*Gi0R>i5x}$;d;4e-TYpXS8pM
zzW?D70!l4C(h|kn56rvT6E`)RIjJJ+cTQ=AD(C6vQhc7MTYT+cri|iV?n!_d3o%;o
z`<qCAZBQ+-OU2>p`ReXO4!$TN_w=<i#m8H{JSc21DcnDrlc7awXeB->rFP6MN(Lz-
z-t(CEl=Gnsoz$q7I?+m9vd>tJa<L*VXxTE(bFXlJnMWK2FB02C=s8XZBj)?KWS{Vf
zfKYt}E0@xsV!8+&_OujLqx6W}99L-gO6YKOE`7x>i&+p9pD!qK;Ktu&Mg2ulYeU1d
zSpo+YC(yrE9%w)zH{xP5J8-Du6=-#*K!-VH!Q&y%M-b<0qT{jBh>F)zb6Ti}MOm{!
zLytlR&T}}*6@cxfX(9qVcPJ3hxjFcv$(Si6$f}azp;c{_fRB62o3}j(Z6kF6pn6V{
zG#*wYI^~o`X!{qA%33fabM(~LHll#6Hrdjq4A7H$%W0&`u1DONvDbFUsjG+H%ez;a
z)K7^hdFCRyrb?7PWP*m0AH|2U*oK`MdQwF@*k*u@vlwIImHhft7|jY$f6Ob&2xYZZ
z=gW>CG@QT22EeQ1XH!^IP!guxL!I%r!CEp!JfCfQi1wpwD`qvv{e0mi$gs?isiKi>
zYf*@na!)Tn(UK9rVQ(Eihn2hH`za4eHs=5_jc?s{6m?-pRcBS@8R0a6z<nzhhd2Ow
zymck#_GoC!<_wvMk58c)ChV%YbaHXb+1sa*70RHqFaWzB%tmzj6#Jy6*|3U}k#R&c
zdc|$f94z4b<!5!zx1S1d4ta;^Tu&_O&kY?ur}~xno~BNJ_i;LE$5?a551|kiid6}%
ze-{DI%LUi~#a;`tXW=GRDbFMuqQr&NOaYv29?BG3W*21mV$ta%DVXXI_qwCC525h4
z6EYsREYmy=Al0C^`@B%kmh<`9{#{zmN9H+p>iEw?LU!PC_3INHH?E+ae2^Zv0ZSW?
z=+h|)_R}oA=3snBlR4SbnL<-eQ~q6TLK5%5%k~FL{lO|Zn_7^d+&s6jfmtx?;NF$(
z5+9sL3LYC)(;sO<cCLa3odpsC;NBkiMeqUq*+YT`m7l+Zqa5)K_?yZ^J|K>cg{3F_
zH!>jju9Iwe0{By8{Rkkl;cn$zLEl;~z&!r&eR)2C!hfO=jNNhScjHC$s8Qs}u>;;x
z!H*#L!oO=_J>M7XwwU*_5R9*a?nO+h8xr4JbPVEl0`azd=++1%S3!HdiMkmH9Qw^{
zJ`^;FU(*?Q5lLMS>z7}b(;k`+?DO-t+rs=H=+tg>St7#yEVw5%XX5vo6EH7TKFHSt
zFg=K0Ap8;F8DqOWc8Q_iKA^6I%rU{p{bGe({Wec7M0y~u6rR?twz3f(AI3br(;g;_
zo}qi(>_+0*ZhZI{oI0x2X~BYG9wuN(1&`b|D;U9ous6~LJB#|zF6XI$pIqg^FCBY1
zFH_f8uDePnI|wy0-KSKiuDjYmp!|rDC_Bt|{0^QuFNxvLI=Ub-u|Nld%r;nZJv*wM
z>!BG{UANz)x;-aeFoPZ}Lm%diG$EkzFHQdd0LS&XU;VDTwC_GkE$9C!4FeuT5%zb{
zF88H2aIIz|TtR&3Q;GOt`7y84F9Kfe*Mpx*JY$~b5!#3td%e)UhzeY%xg4(3hMi6e
zfO@Dle|gq+jeUoi&UR={fxYcckhm}YJ_&KOk9btxe|sb`eVW5J10j?>B?5fT1aHtD
zH7C=tIbVQ}95n}%sS-J3yMuGY{i}#FOvV%$YQ~q&!#=N{dW-_}?S=#<3QAp1f}w9P
zKxI7YrKzj_U_egH9jeI}F&;xZwTF^ykQbN_kBK|Xpwk`J#q{pmf}!(16Vso#&2oXC
zZ#OdW7Oc6ZbaO1W+ohQLx>C&-C)Bfb4s;Q)=K9hWsSsp#%2sP?e)6ZKBIA;F$ibPm
zzeUFC4|E*pb6kK}WNT{RYc4$^H3knX0|hFW@yifn$o9QF@kE7hGdxZ~R4xkFOin}Y
z2;~4SYxgQAB+|5)w72F5L{E@1Ax16_Cf2ge+AE5p@>|^*J2}lOF4}1<Jk&yK!vK__
zAhRT~0#>;iolrS5eVQ7TP<a9)d$}^0`gfRomvV}YDHohJtYG>jxu0-@9CM8B=F75k
ztahG&K{7iXz^@$^o5IqxG5Iv38B<aO6~2@ibqYGjetvCcZSo5jD_9{hD(sZ_IZg8K
z46&8YiwWCpG6Z8MK5Fc<4KWpD)A31d(#qKKYh&(F{qtoMEW1DIPlm@i*DAP~6@DzW
z+;Rv_)t|-K!pSw;ALvUDnqSCXr50V}@F=WPI31Sq%<)OI0mtAoxn$_hh1D|t(b*Aa
zuP|EMP@lG|!VnBb)=fu>rSb=Jchahs^CDhREZDFY&bWtt)YCEVNa|*VCR$v^lVPxu
zpu<5Rx;QA;fY-_DNYVlY=6a<s!sIq}lrpow*R&`74##rv<8B??wa`7<RXUO(1eSw8
ztk<-QLHUIsxws!wX7EFf<Clj@OAL^ZvZ@w=ZRcvhM;>k6hL+PJUI-+}@^;qXX;W?+
z5(xameA#C?Q3m%v<!+0{#;mShmiY|69&drb@`9Uz^q~6`L^jN04iC7muqzZlu$iwX
zP<qf<6#S^J25^^x=9mzJ@l!z;Ox+9X68`oF*|!}ju+I#CJ=-&bU4dhqvgen=q_``7
zYWCFk@oIH%G{bQ_b-n*7e;Ib^`?_ZZyN-~~?iv~bhnV5#5c`{c(f40NjHB@i@wf9)
zHV9wY>VGCtpw&R@s?~Hi5paTa19qu|KtNWSaAs7-R59^kxe}7kZ!eaUme@o)ar}D<
zB8FL>N0!RA{K!>SLa^b(1eoFcEk~iQWBNG4MLnX}wqhcK&YZw^h+U=C^~H5IA^c;C
zmY4{a7X9)IAp!q86~ud-QwHb-*M)U8-(#*=xRYT?y3u~HG-Zb`<tW4%sH-AIVc5n$
zhCHL39CzEY#<l9*kAyRRWVQ7=g_`bdoCQ+Dcoa&PO*pdCRygbQR@z8AR?e<w69V*%
z^|XiCyDs9qn4bFS7V-N6BhCyG2LEpK^%u1!!&}kAA;kjto34=mv%U-tt+>#k=S^G~
zIp0>-a?_L^#3W$GH-Ao(n$zntW3FoVng}}X8hGx@j~z6;TexRKRZQzPW6ovuod}}B
z*D_dItKQCQHPAAURCky`l<=W95-|t`IyVIM3jQ4;nutIUm>>-FLq|mWtUggpExZ;^
z((6UaTN+IG)hg7-4ckv;=INSqEAk3GM*|j6=O-(2)RUV<*<T&4Uaj0>R9N@n35+cE
z%`a&%C6r{l%nr%pCTFH2jThR?x~@_`wbrXg%eoeTYc^oWqF}yDE$!i)(vKsxpCP@*
z%8v`O)Zmd){yHqBAmikL75Pim4V{N}zoymXPV(;&fOl@%6Y%a2qRQ+SIsZKxnaf{c
zEoj>O5(Xn#(ttIm*yD$D-=j!lTY^>Aq6|voV_Kr<S!+-7U<~Q^O%{6XhmyRpYKb}@
z1r}DCS}5#bh}ry%F&NTpk?Ot=yY94?exFO^-O%*}hU|(KyAE3Cs(PeS>#8_h(Y-7#
zUEN0Agt6j}Ws_dCsp}?gvoBjPdwDIZ-jxulPRLm~^rK7pww3LNR}gNs7aC9<jijDv
zq@Lc|fsv;+V}P&?%Rlv4Bdbx|XrylGN)kIT7qbNs&pW&Z)VYy|QwAw1tc518WC*BU
zMJcQ~JlzGU)0qadjO!|i<@(b~c3=)A=Ux1&vnDRO8978JYq^G%Z9_W{5DKm9GIu8j
zi;WxiJ_hS&UbO|!G72Ai4Agx5Z>8SmnRUX&3+`>V9!iadi_In9*hZvp=`K@#(F6KO
zmhv$iXj3m^RbzB7uLw_?M2X4)w}ml<;g-Xf#vPvlDxe~TbZhS7nQ{x0qyjKXwz5(R
z_4CR6>5>=cNZEyvI&Cd^t2kkC^2gfkq~@LtbzvFg<d`Ysl4W;9%{;UL4qw(|tS)`L
zu6?7nDV6_=bNa_6{oAEyXZe2vRJi`zca@3p|7N_JsaKEJY#(x|urHA@##j0y>N0js
zs>y_Bf4J6L*MWK;PND&g%oy)y9r}kShne3X+}ZdI?T>{bO<KJlFn5UhhHcrlhKyqZ
z8<Aogk+%l#e<L+q1s+X1K29bwTRu)MVqG2HvLBve8T8kEUnQY#HU9aW_`crQz7%z&
z%+Lvyc@oZ}pubgXxPI6_zX~mrNqaxf^~2R_0)-RBAFKQ{xw_B)(o~8!i~kv&+&*sy
ztJ{86%G56~1Mb&%d%vdqX(!>6K~pN)_!p;w#@ki!?jy1JFFp9(=6nEFCgpuQP&4W}
znf_re6!V|c3AgOv%;{Y0upqUJch<XeQf>yv$*s~q_G#oF`*iF(XZ}6u$M!9{_dlzX
z;_83m30Cq}!sFNDu{&yY&bs&hK-fshMbA!WkzG!2Sg57_DfVSxq6$zw!EuW9{njTl
zLxJGZ$G$ij`Jy`?_i>jeO*(Dm$EC=XQ>ei@(2F&1bhEqlWj<3Yh|H?ViF^H1KH+TJ
z0+dh8{4+bb1dv$Bnhem%>$H)T&*wS@zqE)JX9yj_?g5SDJnf{q<I^3z8)7z~>-amp
zp19xszf_f5a&N?MgE!_B9lKA!t@{D1xG}nZ2h$s&e4W<vf9i>Hk=f2LrgdPf3T)9)
zo%Pr65bn1h7MhgR4N+r`_O-puH~cc0aEAr;5j$bGpRp>P+G2q80FcAGFQ=dK39@Rr
ziC*Pz-kmX_X=4^Zvy)qd<}R<T-1w>0f&O9X{;Qq@4iD5-fA5a}&`)-A(Em$6siilg
zbswRxF;~U(364zC5D=08$PGB($b_V=X0uC5O4TgF>xXy7PYjMw*O+N1C2wGspMJ-(
zXHV<nEUp2?6LXly^%QPxNhZ9N?p3Ce-~q>z=abd&x|x#VHZZBYg5}ORr2(K0aPV>V
zqP3lFk({G+8k*>$N>>chqz#l@Ggs;~<ls7>d}V4d)0S$1E!sf#X(~qz09xLkUUF~y
zFIeTDbfRPZH(Ru%7$684?T<0q`grVuf-<&4Poim*Ltmy(oj19{14VmVz6x9Iw-0o3
z;QPO~qm5J`7h{ADJRn+k&n1}JZNDv2d8x-;;WXn{C-NSRz~*cLGf9R|<6!e*NFF4V
zit`sTm1OYsM7U&|G;!yjhhZf;>)E!bHhHnd2GviSkU|i_On+j{(M&i>H1Tf1iijdu
zlIXj9Ud{b@G@;+!5Pz4|lQ^hafRezznI@nW`tuAs?RNS51$whw#_-z7X_0FS&7acj
zZ4oYv<oZPvb8Olsr57gLjoDBK7M$2sy1>M!im@*~6)pVyfFU;s9BDo^jl$RywPSa@
zF+aN<TPtR0%A)T52pD_w`OCN6OjUqIR<kDXes*z92#3%>Vm{7Fl#x9nC~1X?pu8^a
zc#VWqii|KbVD^g>b!35l+!Ylv2}TF|td!lQR??p2!s6)~{4n_pBmv$rBOMFSpd;yS
zB(`Kpg^5RY2VYjO!|PkqFDPylN)_a~QS2vlaCk}lw_Gh7GVaN6-W1dXh_SQ`1D*mF
z^d=RUHu0FVrN0`Z5cyjDBH|zIM8DQ@1_i>aK2KG?ftM37J+NO}d=Ya=2lm+yhPg5B
zNZjr4jJ=*{9{vxFm;B(eSMS4@8!Q{A515Y!Sda7HpV6j4HYL+c;d&T0t^B6cy<si<
z3@Y1zSG%>HsSNQRC!ZRQvcS6d!T9yoijK>FGtli0+d*tXKjrYS09Se;KKY)A&u372
z7+9e`q=<3!54i?XNO$jCx8;-c2vH*4Up-)+*Icuq26KIn+%K_jH(?G02#@X{r|VO0
zkL&~$;#=K9bEY<}1gF&bo^hu&fY1ivs9Y@+h>28YPINQCI=LbE1_pL;rw@~1rt`OS
z{JT!*bAU@R!PvG#!71D6cpze>L}aXb5JGx&#S}DfcKKZU!FED;TmS(y#8E8oCHT>%
z>2x_<jyc2eOl(ebmS!n$0KYGi&?kT<J?bqJ5eOit;0EIE4RHz1RPat-Fg`u6BrPEW
z?LnbU=&r9vLatD6K^O4P;tyy?<+x6JgkQW#5gBbCga~7f5qG#ZV&^)QT>UQ^FS8zY
zodlekA0jSZUdVj2JJZ)?SwKD9;h#?!KU-%d@&JL9lz7ROV-7@f|7j=G?fgINjz6)r
zHW|&i$1Asrf-?zh>}24Ej#1Yr{V&24Jw~LuL&_=sX(Qi&o1F74|FhuY8lsZ#ZxG8u
zGh{W6i+m>(Jw96oge~uU+ifj9&i5%<w{F~_6@O6RGbX8kGeJ^RPLKgS|CC<k?(l*j
zmzyM`j8SW=1)?l4MEqk;?pldQ<z7XFhIWd@wL@uN6Owp1E0ez>jW`s+lD-(}ft6I2
z^K`z;$_($Ehmg+t`F-1iSCOYW;(KY1-27KnT`$?qS{U#G(xqR_z5wiLAu1wUjS}vg
z&L7fPXtQpd2TbG58vCsES+OCYR3bt-`@j8;V1r=h5ur)$cM;N8lz)>5xVT1?%_i~*
zVp84tcj1~P0)yz*TYHq;ua?Q@VNWMVdVOGMjk>=XI7jMMo&^j&16{Nrwh{IbzX`WB
z*(kWFmXlN4c=mx;F#1@3R?={q2<p+tYo5@DsLv{rUl?<j^e<axEyK~QnfLvjKN7(L
zxc8Gm{YRC|94ZA%tfo-m&@p)2P0NQJ^kL-vEDy(bSj$}Ewar9POJ$3nQmu68AOWm7
zX_S(54#J;In#S}=7LDz)noNI9v4ef&PL@j*vT9<oJ$7N97<P)Z_C>l*$jd<j#>-?3
zN=ZWhXiWz;3R=;ywIEw9L*c5nvT)&(2TlKMJJNAd$}M6T#)?fNonCB9c4&L2MIZW3
z_I-6|+RYfH{_|ZW7MZ9n+{JBHOrt%|g&xgn@)B=6LcfjYYu|JufIV*T$y0LIa{ljs
zIJtlHoO{L~nssD-GncKzA|hbn1gEPZs(M@LGJ4^Ph^Km+!sL*RcQmU{_s&1YbN82s
z&6M*7qKS(M-XYORhhOiCGt!_vJc0YVXJehOMApz-CDJMo%sg#Q>+?iNuGP|QT3G;x
z>j&<NMtRH!!g;yc&<D(lN;GXy$Al42TZ^<W*oEU5#D&sTrJI2>=0@x(eTT%lV~;t<
z5i^kAQCf4vZvxNMLj=xXu$@6(7wrmQsKWv`9Z2K8DN}~JoYopZrpp)HgLu|kWcu*`
zceEtwG^jOmSxXe1u9ky5uQ7vqpn1_uYpg@w?zX`lDLvpFEhBK>0BNlOzC|OR>E+zd
zS>hJusP&QnNS%D%E5|oQ$alL&?=In!S2>r}^p!855OBzf_P8QFC|4TtF7RSIsS!YQ
z?|eV#!`nkbTA3LjU6=Fo0$_)Fkv@vYeObr8L2YB%!(CU2GiNx;5tw`wU;V??URFu^
z#<_@VkJ$pW&d4Dgdd{ux)X?<JR`{;VX*%>iOWF<E5wYqyM|aKBgPEWFh`+U5LhSR!
zjomU#0MgCsr|ZT+5Wb!+-6ZiAuoJg{od^Q##2#QL`T;x9k3oML#25Ce<ouZhXr&nV
zL{*lbC!@-s*ZeCx?>RouJLmZ!=mc|eskXb}SM0<#SEVqmKv836;=frSNbm$>vj7_X
zbxEdeKP;160ezory_ujkPz6%4{XElw<~W>e5uTm8HKS(rXNJk^+{H%EaxYKZ?<WUM
zWq!9s$-XFmrjPWAN@EcCF-a|w7AMfgP9cTkU)rqA@L>^XRIC}|sxiE!B3wBQlc<)#
zQPJUuj-wau=^0#Z+FXrMlhnm1cs;8e?+m6%)G>d@YuXaj71s+J`n(rKSyng>lkk02
z$d?XuVS^YC{K(f0>D?Q14P;gc?RwGruk?JS=CLRgHY>YJsjVIJ_Dwr)<g@(t0tBa4
z*l%~{V-)7Bv2Sh<xtB<<9|!0o8+8wH)ujyVut;@~&(aOpX@S$AX_N}d%<&PmpWd(A
z{;t3wFtWRfJgq#B)A)HdNQtPo1A?<ZIH%F0j@ELN!2#&vfeIZP%uOmx*>w)eNy6Ww
z*ZzvApQ2yIp=*BMEW{!zAkn`LVBqLR-e3>nIU?Y@vS6e=nRr4nTUgc5E7|DUfi)2u
z`vy~`s9jY<jkue>si6oM8;;`Tr#1D<qZ!GePXcWMI(n}8mckEvJXQC5$RN}db1Z`B
zJe|-dfr!aJowj6TeBg4K_cj%I4fn*oiBZSTE#BRS1V^P|?8fVe*LCav0j{{H7W)#w
z3Spp!&z-la>4-sr43@=$x#$eKvfr77cKly#YY;)n>@R6{8&X3r>WD=@r6N3|ve#yR
z$Id4TUVkxPl-ZS+VFP4fJ!thn;KR9U^%OKbmNw6aYdFEls{E-;r)>GvyJ#?p$!mTI
z!n(-SLbqC~TA6<d*UkNVmXW<r`Hqcr4Q5BVSE#$tv}RX#T4<<vijd%=oo?6Cb&YvJ
zuXH=jzRwt)1vQzurD(|MS1ij5rR(f#8a5#ZWm-uM^{G|2HX1v6j{zrm219MFaC?!p
z<`6j9Rx+S+@B1`09h>m8(wht6*4!7dpBRbjrKswGf%&W1f`U%YU>K!z){h|^j{rvD
z%>r%+ri89<tDBWo>Iga}FYWV&!EW*Am+FD&DB_c^w$2_SQrfQARQYzM=;SrF1%q+c
z2N0uOHTQv4@p#|8F;)L`Jt+3_v0q`G!~^{E-}q<1FuZ*obzdPIben6_s+|e$ye@GN
z_dJKx+Axe)tG200n{|zn;)(MC*)NpRUEfzHbth<)nlr0Q53-v|haytV`y*PjHkDp)
zJ-T42kMJ;6sXfqG6=!JTv!8#6W$TbrdnB@|&eT&@9fgV|MG&y{3i}q%>Z*L<ujun0
zwh74$IrLe0yuBd~Ys%6#W3BGCo|W4>Y}^XQbZRsx80z9vvzi@ux>(;a<@ny>3(5d6
zp6KG^{VJUG?rm<GSWopuaHOjLeQFuqbYa(7Vf{yCfb=B3hN^?DTEkDrRuTEuetd_~
z0jfttxXHf=`8UFw&kWMem-pud7REKcIuVFeABDa}k!dx5@7aEv2-Zr5r;uo?94yBH
z0I;h0#;ZhZgU9a!B3&zm${&5G1K$=P*T<#ZTO|8=OD1!XTjlg>Z20?dQ?aaT%)3-d
z&el?$Yo5Gi3Guq(+@G`I%AxON=qY*_WO4<AE(t!8l4&ZWxxNMgd=q0U$O%!TpRbZb
zgI?}}Jhb_G6Rd)ns9Vv{JQJ!ZDa%OcnL^O_Bl47-yv2kJ4k?0k#FVrLX(GgDCB>A0
z{b;PKT1AN?H>IugL-J;#Qb^m*c3JWpjT-x%W5a$j`AP<+OwS_2Liwo+L#;L&ef8?O
zXpJz(pYs?J%_NK(oK?^`es{x<Z>aaLNBPn$=&FvtzUw(?)wi4LP@^X6;DpR4&qB-#
zn>t)2gWzek{P=}tlALUx*=o3)Jzsy=-)LovebJUkzr<$dHr}8ak$7m@%Wm}^$eaGr
zIVIWCCmX)(aY&_wZ;l$)wysQCqZl&acyan*{KBu&XemtFbjjk69-?WzX%0pMU(5NI
z9~GTp&(}0~CiySJ<Fys4!K?j{n)FePGJF+rE}je}n(+P>=l$G9(U(jt{qMpujB2@_
z^8yb`qVtNecp{u^8p$76oLGvF?iBQk288Z^&EOc$&(yXDRHh+x&&otZh$*gn7oR)n
z^=g$+?ev<;#IbDk>VTz<O6w@Hd)_)sWk$Z+#Op2I05#Kekuq9@*NYBf3dXD&uK1#=
ze%a2wa5#^3fE6|FwzV#{l!Ai9@up2DxxQP597L<ji0P~?<Hc6;=d;Zz1VBI5gjLK6
zNb=S*&i%>!xyUenJBMLLi#^RqnTlz~lTfYsOHC|EBtK%b4;~$XcKr6<^Lq{B7#%7c
zOJa~f4m4bS8y4#CmOUq0Q6=6=0zTTHDnU%eN@Px)s|85o2uV|=pDus$%vfjoWXr$H
z+3|?wRn!9{uvwQS=~xnITjS1muEb*%%TE^@*p8kuitfK(^TNzmS!v^(x0~dyJm_QW
z5D+sU+z$he*6hp(b9aQ&4MY$YyFBZ&${L$|XTGn?$VO6*+ou>*W4RNxSI%3v9J8M~
z^7&Lg;NP%ry2`iA4xgKkacv&}+v|WLj}|L!q@B!N6nrA8EsrbfvGsJiZ(u_!)goOL
z?xD(DypKPkpfHvbhI&_%myoP9BdCpGwyx{fkfB39%Pj5TplwE+ksKQQLtC7f6wqo(
z4RF_0ks2#Gb|-{<xlJrrI<Fi`=e^-fRxUYKz2t|BnWg*s5_4?boPEsm7G`_7J#|Of
z5<;?wkRLy6+Q*MOISO%<1{b>BC6lW7vO$Gv35-d=I*%WNz&qdEueEzY*?ZC|-sNCv
zZu`x5=R7mZp@Ea|0lG`EK<x?cdy%gv(cP}Ip@DM4MYyD%QpP6bT&k=i8sD^Vb*u<z
z?JIk2e`ug(`bvW=ZF_7ct4ie0nCvoERV8@#lRA#NwIND|9(_1#uULa9hq76gaui)M
z|L7U^8#K<ZKG=eWC=H_Mf?{<dHOW%J!Qe#Lg0IYq{(eQe{<0RIe|bzE8&jT=gmGKf
z0M_;qlb>xeyJE49k(d=SjDRU2Nq4*zox~~pKa^z${pzSxZ62G3huBLnEAe`ojbf{N
zCqqa_a&p;ef+|(@Ji9t7)%Me^a-DiZNIiAsc37qSKDh9nW+D=bJ%CE4BnqbG57usi
zL4Hc#h=Uh}&IgxX%n6xs-LhGt*K}qwdP@u|Hte}tPX0;c;RB|tFXT!fn#q4rm;a$8
zKe<abW+s;ZJuk<^_}|{lOpO0GbLPy|gm0hZ<ssEU9nfod+v^ISYL>)Cd%*}zHE16$
z)k?XJEAMxs=-=}sLC|4BwWAGys#VI$(iWs=&2n%zY^njd;!&Bv`hU2~rw>d8SAl0S
zAa^<ckGoWGW&YUpe%i!Tcp&6Y0DYsH$ct8ah&9-#+)R96ZX=U)zRcIo%k%YUdx@Pp
zL>i@6I+@ER)DQdT(fnrr1`L8euKzqYm+{WSW_9V^P;5u2Z?TW@b^ch)Qk_}*Ej#uj
z!9%0KJe*|oPkXe?kKVX|p_D(HcE_ID4C3u`Qw|L3nm{B@`0wCcivpqkuo&gO%?Ts6
zM7088yuWJlGw+*D@;~ZQ=pS`ypA3wUy0{I!UDhPi<@6TY{FeoN{BXQ93PH&Ib2irL
z{nnH}0zIap+g;J<>#^Hr)%vu1#yd8A&DfMWkh=7MI^M1R`<Wuu#Yrfz@%AcDN4(}M
z0si=tr!CP5(BBD+k%AWZM_rz58f?DZOw#rxIM+LIM~gGhhj4RL0;$Wn|4^65!qZ&w
zYF73uvvDTn^^@oqyC|6sP(Wo$H-PxElkDv?5L$@elXUp`_GZ8Nm;u{3v9{$iM{2J8
zmMacxm7ix@uU%i+z0nQ90ciWEo9Z=vq;KmooMS!B#Pcm$EDFFnutWJPkETv6>g(Q2
z_Y5GnV}+SvYG43rbL<6h@;}Zm1)o06$5fG@&M*3lg!`xeBB9yYzR1MizIgHf(VZf9
zV^A<?UPwVY|Cb59J#N{58~%B8?w;a)`-FVf1N`KjA@kGv<pezoFRIa9<~mY;M*Co1
z35&AzI$|hPGHnD?eiJA>l6wXUj~Zd=uBqAW$zL#^fj-R`=HH%z)*gRLlq&#*N2i0R
z^vlPQx67!!dgNN|75my_aq`ggt^ikl7Dk>=pJwJ&7~t7CJ!;P01u%-GAOB%5sp+Pg
z^Ku*_$N5GFhBm01oh;_qm2hUnsiNrnJSs+G%^;(U%5es*2XZIXXQ)TTE!1>+PR<m|
zXB26OF-d2c`h~akneP~86{n%OzG~EQ<DflfDCeVSOT-dJHh#6moRp&)dMKF2n56vU
z@XO-;Q9+QZe<II&O@jS&Pcs``n>0OG`S|anK>80GK4B1r`=vf~h6z`$SKzWHqa`FY
zJitP(Mn+@kQ8VIe8QamW-QtN5Tv@XI0nvhL!qWu{0TXzS;vTH8$DJjeWkY@O2iS{1
z|Di^ppKS_=aED$1hOD$Q-7!<g>_fwGe>srC)2Os$tVM^?Z7l2kt&a6$z>>M6<W`Lz
zzV9?&^@%DKoUUX*(v%V@NVQc<B~Sqt*QIve#JEPT)Vem9nmFf-vxy!OEwUduT}1;t
zVm=zI>~1M|Ni~)(=gLDDTM_v7*EQ55r=+SkKxID{Z5O1bSYgh{18DvE)edySRA<|Q
zNY#lZ{x!J!e7ZvIDiu28(pfbSS#V$cp};jDaW3oJ`e)SE#Q_trA>4fo$vb{zQ}`li
zH+vO(`a|bZ-hrr?Ib@$Pv}o^-GXDPSUM{+EYl|~6R3!{Cpi45hXDv<%*+BKV&A=&(
zPgKq;veHyoxd7Il4@_qCuX<?)O3`>uC-TZog(~t!LNyz4#15tBO#?DF7{1`0Fu#8A
zbOE+AkUT!9BC0T#qe7v=_9s6t5yPJmH7!ubmD8oo<=x^{Rp;5`P}sp^+?9-epghC6
z)WSCRqXMAc?^-ow-#5e%e;s#P`xT3iL`|>_*n^#hQEL^JMn>*+Ay+tcqT)=mA}=t<
zJ#7;))_2pEoBej;UYgH-i7MLuEsmLe4Rd2|G5!T;DqNlbml0{7YxNZL6mVerE*S7*
zhCyif6g9|KJ-~1zj+vZn5sxY1^%V~^g41f8ni`O&a=Y6>ou8?}mZmx#;tYX4_dA(7
zr6DNQ2||Xhs!{eR2itjE0t7#BP0&}!64?p=+Yu2;(HvJ!U`&lDswcj{*Z{lUZq?Z&
zmkJl`rozG$1k3Q(h!{aGu-|}vl^9MUV4l*H1c-ecmd_|Ry&Tk%FQ=Mpn}Qr-CW(vQ
z>*M$y$PTC8cp1$T=pO`f@HNuC@DTzlW31L%4(bI55{2N?Sza~6Z1fx*9mn1_r+c}s
zF`=^s{G+jGP{MU`^*NzLS3QTPetwZX-JrR!YNH6S`a4$c#GSnS$j8U!^<9AiT(aXP
zxKtzClfSCWip&{ZzB$-!MG&<P6SIYCnRVSJ!oX1T#VCoFt~E>h&JE_mI^iP7W$T@Q
z3o~u!On=K3ySjFzsNWaHg4uVXm1T!dLyL#=o3$hK)paUDMK<zkKZ|61cF4X>tT+U#
z$yF{Wc_XGKMNzhxcW7$0*%IS3AMiN-MZQ&{kMY}w5EBeBRAfenY%~?dbEcpBs<=k+
z{U*~VRbmpDAoo*z*y$2U6kt>$Mu;pXk#lS6p)~E@sVuA<)ZJA^C>I1hACm`Zn!crO
z_bb^33}H(Az8K`h*@HN5StDj*vu8iOn{AOSVmdtM^m8d&CV*@DJiupe^Gl}z(3o!@
zSrW;<_$OxDz480cHSHp@<`sLQr-+q!^!a3Wu|^kBF|tyvw9XvTq}ks^S`*z>S+>_^
zrmEa8am2;i8n|IXT6VU-w5)56CwG>|*s$2da+^7aND`s{<Q$4kgNCuDGqs1PNh^g(
zC>AW<b-0VA`QhnSA2sEWC{QRsP8?6>@aLtX6szM3c+wZ9b+a@tZJti>)G5Esn%NwK
ze4B+4g;3=7a9Ur7Y&xM-thD@7i!N@~Nd17)Q`Y1+zqSr3@e?|&_naK5h8=qM{j!|r
zw*?=4aHS`TF0?#^rp5XMYuft?BVG3dt74b;IddX5v8TYXVP>PLzD1@}&Gbw`{@s#x
zk*D>~n73g|F_zFq2Nl+u_EEafCkm@V+3nYK6#`?Lyy@bp+O&-ce%gtST}KL<%7%{#
zk!38hw<66=jV(>*ZVxp?f&i@tghcQi(Jk537+1ovXPs};-mV8t<Cmj1=|5M4>%W!d
zFk9%eOQ;^SJN-E3s2KmrelrTjkXdAzvNfMk(642m081?MSXlK{=Gc?TNz=F-QFXpd
z5V`{Se=B=>u`12F#Om*i9KRFzBFPqFGtv?aYX6LUIeJ_)KJL?oJ`z=&fT7a_%LS*k
zkHs%3@~F_ni8}M7T}PI2_ZR<Nb{UNl@mBa<{fxs&dv~^s8oA7;npCxZg}Iy*O~>Zf
z{MU#|_Hk}O%9Q?xkRawmnE6|~IA=daO2UiH0jAs@VLc+W(>2CZkX+59FvZFtx_U%p
zOMyBK6wBr-3yi`y9TP};*^9>{4OM5i{t^rD=3t3XSg{mIPSIfdAinkdJS|Y(rLqA3
zN-P3vwcZ|e_*gTxaM(0;?p?buSZ@M!If44`%042HqhF9STGRWzuuv)k{GFM3&4Sfc
zYo@<aYsZ4bju7Bd-;=&n<$_s;HxEXzI|#<IJ0!uQF{$ovd&s^K)CE*F-3qGv&4&;S
z!`7@!?}E^Lo0n;>pcX&&AOj!Utl0&j7UcV}H4bG3GHfwZ@&nYwcFEokP3{l`ZEYI^
z|BGNP?t*JHs$F-%mi=l#e!u9uDQs2j-sOmocTgqwk6|Bghzex9Is-yb8i-KdI(}ne
z-Ng3&y7Mi1rG3%4*sWg)23#{EU*I#enmTLL;dbIM9Zz8K1=pdGDu)oURQS|+;Y0rF
z?e-((#B9Z%#u2~l!{q17bboVSA<hREtzRL@2ZzwHiJJipsAM1J1c5fkJhj!5j8Z3u
zLrQx`U_t}|+t1cw>#R|QjbP8<6ogD>%@aPg9}5RpU5edq7l$mr#VrE6j5_kA1MlwU
zsuzNH9q`_~FGF~AF|yKbL(_h2n9O#DYMVBw;tsqudSmLYt$R-v$Q*LyfKOP|^1d^E
z=<B@nU(WD|R9veTQ7`(aw6XyLq&#)^PRUm>TCLKCR5!3-rrCM&<fGe+(3@3pZoT7I
z<Bnyo3e9DMAaWRbnV)~;WBY0kk{vug-#i|p%By5fWMvMjMB}Ph&Q?F;eRA<`$1CL&
z6LKFaH(6%>-p3@rr5ykb;ae|kZ~#8<8{~?rItS=UopPCZ-#yc1JwiepYg$WzW6Abp
z58-gLeO-<=-_|ZIMd^XVnVI~a!@sDm_t>wfuD^8dmScGvqHGMK7`$wZpjiHn<$<$y
zlcjg*@|2)hw-CbXUfM<o1)yNQb*-+)$Gy;J-B<{^&}Y~fu2>P=TL%2ZrUeI=+0@$|
z&nI8ez0fyzqeB;UW7jwY<Fo+lu5VEpr*)Jgd_bTR%)9=*Jnn(?<)Jw>=Y?xvm3o}v
zjC?DplY?8w+;=&lk~EPxoZu4q;4=6fkyyuh9QF-gxJKCQ^P47deP29J&`P26=%aWO
zPpyyWLgK4*iTrzI6OX^V$wJ1oFeNfKiRx+X_XXA1B*nn^w-?esNN(+O=|jqn35cq>
z-Pomt9Ke8Gf9fRynTaxRwHm(UC0HS~O6nkBg|7b>xq3`9Z)bV!hP?lYPM(&KiLJ0p
z3FgnHWhm;fsO-dXg`y?5gsdgLOJdqd4HJN);&P*IXSHjwXLrFrKT0P!AY$bqz47z`
z8P&QG@{2K81^bqutlvBo-WNt<-taauipfhm<2T$Ncv}#o-Sh9{)2%{7po%rqpj0hG
zbUcW0#sYPhO7>Q&S3Ne{Gr4^#4KS}_9Nu>Q;bvfaOM(G%Sh%>tH83o@&;+WIo{byh
zS>zZ~9h`k3@-LMxtp1Wk178{aM!#ZdyZS|ts$#((c~Y-sz}o!rL&g2Jrx(|9uhjiV
zDObyeYsTNdlD*_;sYe}G8?PsDZ1+mMiMjS2fdLU2Y@cvt6k>-xhf@$ror6RKI7%YG
ze=H##dUCGeA=+#;wH!SXm3q}bhDAMh#vn~t%0$H=SvL}k4}ED`LEZ%+oF#bSsE-fd
zw{x=xLCSf5_Le2G01pS3w1^NFwZON<w)cZ>2lYY<BHSV`a<x1}dAY_&ZNU$%u=b{1
z^g!)s(lt_>rp}k~#LSB8Vhv*1s?QRSK_nU`{@rSRSEVrTODlvjN8@0Df&F@aZMD2#
z3De~nqUB*ApTmS=`%=-!>#{#jqRm~ZYF{``=TtaPRl(SHic&GN3>BMin*uLhsbA-D
zJu-Ovf@TR^2|I_)inNj*h}0<`YlQuMDKq&IIgd$*z2D;Oc6d5Vny=|#m71*EtQP$(
zmR(V3v(dEcvd+Kk^yT?bVQz757wQri<k@IyHuvZG^;jN-SD@Bfcl4zNdGb+H?iIcf
z<}U&Pirl&uoOw=E9)|N4{1G&oZ<zqNTkBx4-(f;@*mj*K-B9QcGe1-QRGaAE`bxM(
znRyG6neU66{9TF+{w*ua)m49Fa%>eD?PDuV+lI6m5e8W(fUzol#%5P%lNn8?az<qp
z`osqu?3MU%#bb~O{|{sD5G6_zE{nFiciXnH+qP}nwr$(CZQHhO?zYX>|9NZOH#l!_
zCs~6UWv#Cw<3mP31V$xghcPZ_AefocaYMIA{z&76MU;XhYrdQ+N?DlZKt!Zk<&I{+
z@tIii%sD7+kfPrW^EdxOPDX1K^O<;tY})1$p$Yi{LwaHo-PcpP6c248^LX4QDM_mo
zOB~mf(H85!mh~P>(!m}whIGXOp2=#Xh6M-w#O!#dlk~YcXvR~xkUxO;=<Jlq^HsSe
z%=Fb<Qx~N~b7<1Fc;~WSHGj!*$|@<!qglzS$M<d6A)EU<g=V%O+L8*@uuZGgotZ`J
zJLF?5xCK@+F6)oUyKqk#(=_Syy_s`jUD!s28q>{>)@`^VAAYFK$2S{kbxs-Z@#;5q
zg0lxeG934PMtoW7ebi4523#s;k9VH<*9RuAL2e-n*xEA8KUBE=y)7&R;l?Xqyd^nC
zD!#2@{%7l9P8*FeNcs(kj+=X$77J%DFsr7dPhC?>$bvN)N?QzzI(KyR_N!SHCY?3m
ze>!r;B$W)Q6%2JKslo3N$p=!;sv?_HZewk0Fs8Ya79M92mdc%S`P+fdl|1O{E^Akn
z7R=+9!H^1SVNAaELDkpa&pQx>i;E)(F`Ryo>b#tmcW+HAXHu1YXYs1$u9SQ?@v1DK
z)TXJoC56i#Enc1rbRB#42vSsz808*|KYgT00>#=pnWV<z{22sl4YYQg6pbM;$y3$J
z?i}oKgAu0|9rgf=S-N|co)zYSd9k(WO&4r4<-#NvE)fW5zV^(BKzwPQ-mbelFWH*)
zH*7WPgS&OtqW@^Gro8o7QVe^?N|dh{TBcvuCo2S!i{D(~3X4ztn&KpmZkeUFqRhm$
zi|gHAXRwX860NY!=Tiq3Z(>y~sV|Q<+VkT?m~!xW9QTup-DfAu$EEQd%bXg4Wa4u9
z@QKu!_lXiD*gkvlsGZr^ERsMqtLLItBJn<%<3CT?ifE&Tao?{)B=TK`&x)i0mzdb0
zyb^Zv8~k*1ME1${aw-G*>0+Mv$5Prrc%f?e^VT*2;T89UG+MX8cQF<&(?w>-vP>(L
zIm|-rl-E!hw_hL_Ylh;unsQjh;kcbx5^REX@w<5RVHxG#WUlh1B%_YaKvBoxu$`!P
zH1D6gt<FLb-N~%g6iDkfes#{QR~%+v?K;D%KyYpLUSL<nxjm0{&KL^xrnWKlrZ+mt
zdz~!j8{%T3D3nAn8N#`Ps$ob{SL>VTPm}Uq3l%~qab!5NC%QvMWWk*oJA)IqKT<L+
zT6RG5;EEFOFVU&oJ9q1<RsJ@jf*rll9{G2HAAjj5bg4(xB^H}?PwpfAmRmnOtxjdx
z+?mZ7a_w-bIy#wwM-OXfm_0|yCdva%LN0VxY@O?76>#%CEau-g1CK^RGYQ(Fq`R<^
zM*#`;#|=lNqxefUb&&>|3gL_os9@U83hHsn?uoB&P9Me;2asd0u}8B%%a}Ad@XbXi
zk_kX$M_-5!NahMbmST~_3Mrbp3hWe1XS8s%3!RkN%Vde%fi(Q&vs3@0)|Awx<T0A9
zeRG16$i)^SQAxh~S(3DBF>Onl;AxV(FtO0T7y*^+yF#(;;d)U*JrNlYld}?0{3pSq
z*n*@~3?YeA{d)^+|KD#hHTGZ_=>Mmf`d^9ke~PJ$%>PHOiJty{D`(Tw|6fUGZ)<BO
z{uWccc6IAx9b?*5fTLqWc7)`Uj^m!(Q?B$*`A@`~u({$p3W+avJLjS+CUW{`rjcDR
zAi?q2A_tv}frF<&Fr(id4!PP#+rL-8e}+3lZ@wzHeBSo;eLnB^XW?u<Mn|7}X?M7N
z?n5Z&a%rR_#lG*R@o=AQJ496%nmB&aB6A~3eLY@o-_CY*-`G%Ev%c@opSXtI$9Zyl
z)_FhHOW)W3QB+NOyn9pYILwgb?DTqczcNh^=jyB)+(>Boe4OZ7ILW$|FrcXxjxto6
z!vyX9>NLM_zV9?h>5G@@TFD<^pDpluG0PGhiKTvwSFYM*bkEj<RV7R6$mA-3{v#UZ
z`nmucEXDpj18){dqddj4rjt-#b$VC)!Y;^N^mtF`VGlh>J(cmTT7A}^zQ~t|JXGNM
z((jbWLR$WQPu4AM*SYz^4Y@vi#8B#s<K5N|ZMer-iv6kIHl$#;jeaO(h3oaYUF_ib
zj^?->g<DEmYPvAgS(W1cye8=AlFIq{KHp!3)6XDUPRTGY@0PEm@ecr}C{MPnYVM%u
zcuXrNIL#?bNh%^yNVRItk*ayBmm+yXrfXN3Xf<|;Wk>wpdp>&acX`n-*(hzb-Cgb7
z(ukm<PR@GR$>v#_rScEIXP;&l_hirgN<#CaA~9*JInh&#v1<*|^43aiAR&Gdrf6pU
zM=`HQS>B%`NdXZ19c=@(Zy%1ML#e3sfUq-bam!AUT2N{)^qRsv<mJ)ouVH`#vR1RZ
zxxpD?9G%qM>=w<G@ZGizhw`vp#ooE7s__1tyoGt}mBp}yftF@lWnu_>>}HsIX?|b;
z>vz5B5jxxs&ql>Sl4dX&KSFB~oU}GSPj`F$mujbrGAP|)|M5jaVN&3gii$>wgBDg(
zzAu=%myWDx2%3g%;*V<zQtmZ-y%E|%K5zcGjPBBG`WU0N6zTNF#zys1NM5_9`vexa
zjrh?7VmQMw*kMD}l9FAZ0uU>?laQh31j1QaGM6VcDFY_lB4+%C*gzRV4Qp4ggtb$k
zqt;7E?u(Y4B+nPE_hb8CimZebFzFO!-kJNmgdGqD_#A|WIZg0;0v5*P5fzrk5tHkI
zHC`W*^!DiIrW9v&Gb?4l;`(~ZB8Cr&*LP>$;)?JjPalnlBy@ZfOok5><b_&`%Y)C3
zAng)G`^Vo=Pg|>`R6fH@;q1I`Bh&Tec-JzMq1j1=j0?M*zkcT*4U*M6{<?_CL;jq3
zRPeSVhd4|{_S)Qgd~<T|gVKPA6u_+=vW^{j9^xR!K8Cmu%S1-#DC)dEc*s?W#R8X*
zGUK}uG37e*@n}8|yr!Sj2>$cY2*JIa;%d{xb7B{2m;{qFQ~6UxXPoq*h^DH>9SQFO
zsN6T-$y&EvIXqT`@MC<_;k}*9qxZCX53L`rMCn1ZtwZBSej2>;Cw9Enc)f6jdN*VY
zF1_15%``MR6@g56r@>6Qbnhp3I1C<C?S-g~T;c0S*!Mj1cS<V=J1@)ip&#zOTO%Jp
z7)gB)>TbQj?UNsvn#p~bneKRoSZ=$t%We2KtqFiTqGGhO9}eIHA19pfJENRyWPR>A
z<B4AY*L$X(m58h-i@jHZUfJdWe$J0GG-bLD{LOu7kOE&}9#QWoSrPsyIEuXm7xKMA
zAoO%1$mcgl`#-AZsVBhnaVJO$u0~-e0Yy6!*JZ;(yhz%wgBBs07hA?b@BgHvFRn{{
zupL(0bm;;y(dnUHT<Kifbqy;q)eIS546T4)W|s~f`L1nAfVX1fn4bOL<NwYpwGx~!
zXuUe-**}<Tqd7UxKk_~iqB&7cSAJSpY3D0;CDU^#+ey@^I7yX8)QFZMB0#L5%bzwa
zHaA8hg#O!Uc8^KM-@Wl&LJ=?0JzDI&$rAhf1(Zc@4e*!38sCaFQC$tFLHqY>su=b)
z)i}pvvD=qyX^W)rE8IDe3^I(7wb~aLsH8L*n8;k}U)vK7p{6j6+GM$fdGnGjtU5}g
z91GFZJ01WoDEhPsMr5*r5eoTiiOtV4+0?`pwA9uWHM^%H!@V#FMF7Z{EkxDC6XspS
z6^JNhG>I4~Ts~c;k6LkKjf3vYgflJ6Og=aku=qC=u>dw|YdmlW!&!}zxGovUOgVi;
zNrEU*&CB8J4`qdWz(|68RA5UZeJM*Ik4Yq`j0uF}jA=_OtqCNYtVxBVZ}Nq+i%#ek
z4XH5jg+u(|-kWvBE{2EkX_0*w953z+J0C}uLAZ6l!GWm(^l}Ow4{js{h73W`AvP!P
zY1pXrruwwH(#SU)Viy^Ol!4fYiaAr8e>znoq~zBmg2ZvXx5(Uby`K>6Er?wn4JQb(
zz-F!&z(2pU$FKjr-kxH>T5n_vJTHBF?B_|V`^h6zsS0c91E?L>BUe1v13xm$b9Xp8
z@>+cqqfrGEU(<W=4!8{YsW)E+6)s}SP=(hgamcI<KbD6qGFAZfyD#mzwF=~wwJIp|
z%{-`aTE&55U>c0MU^4uDCnR*#UuWllT*YbQ;eQt$G{?C(xwX1mwRx{O(r87t%H&bn
zR2_b7qfY$&<qB%^^)%!iIW%HIa*&2X^TC@B79U%amGEX;K|t;(VzL$3YY!1Mbje?k
zXzow3_)rTd_{jTSu@K9Uiv8S#S`MPrsDOy$9iTTjkiy%1?1WGR(j-_ZavD^e5`mQ+
z_-XtUQE9?x9BG{$dG*Q^RrTs<bS?a70xpXY4RvVHWdlflc0)iIyabR=)dhQD^X2&K
z{mSBMEl>b$rimbDD$5XtwGqVlGxXwec9e)JPJjPI)R6r_L=YN4mnYtTE~=3F3?d{x
z(3GYucu>mCO-rme=ii{QOILb1?Z0P;#c0OkBPhZPIs=A*aaBXN_%CptUo3evc_5j_
zT?HpalT!{HdU3tLs(XEDldx%@_~-%!(CH&_o#<Sj_zWur)C?PO^{fJM|N3keKnBc7
zfFT1Cd>M?!V^3e{Q;D121iLzRlk*W?=O-8gAZqJVTCxdXt^i`_F67dI74w$IDtcea
zHXp1fbtYqvD0Htf@2Od+?$!*qQ#Q{$v<q)FN?2wa@2FG?>7pg)qo(pzb8fo3D5hO7
ztKM&!+y2wFkwy!zS@m4-qmgdu)TyXea?=&q-Wnl6<&-nOg5GId2Q(M`b&o4t102HW
z>^z-=b$U_N<p3TYCvnNUghn=-#Sjyspch3pwM*Ck7}&qUKpn$e!ePwNCzw;RkO9UJ
zQB5-#--gDz2a|#E$GQbuK>D{8jEyXL*Nm})qaKcq&Msz&qZV$-JmRl0CZSbH54$9w
zV$mFhEtD9ZG`Ry>x7<lGmT~e_h>U!A)Jj#oc+Lt*IN~BK@fW#CAriNr8x7c;ijX87
zQyv|{9#&cm02?tL24^v*;9@RDgbO~NqF`>uSixGB@<t!00a!AmXv2hD5?!o9!l<05
z?bK+Qw+}MeWyn8NL-bZF&_bDRFShOX2bt2dtg`I`3KYiVbUxZJCAOz#s_uC5XDNHy
z`f#HskRSU+zA-L>GyZF7G5nUf7Iv4+IBbJmUV{8uPYK1eIJ<Cf0S7;(S3b?VJ78;0
z9-ln#zL$A2a!Ch80c=T7mY_he;z(1r9P5-K@sh4Q@sZyexbS%FpeOCG8ikl^8?K0J
z=W~CqSoH&e%PpD4g%ut4XZXqiVwv#+S(2wwSB@p(!Nojq|8ixD2O2XT;rIk4Ns3qA
z*_jWh@P@DB!Gt98hKrV-4TlQ}INvNYEl`%00r&<qB)}C0ayU83Ntl%!tTbasyFg+%
ztLoZ+3pRkyqrJ{OpktaJaX<?+pm;n7tIO!_l}WfB?fht-oMgof3Bi&=i|H1yoFoD7
zKWO0Fr<U-H>-%O$C+&=58_{U4k01rb*Q^t`AnjepbbEid4;^+I3-fB=;MBtc)FlB(
z+EHQZvS4H#5!7abJOUBv_o*OhnZgn_co!-Rd@CW3x3wZp*Ca5(4VLEAI;H~jOioHR
zVoNNJ5)<LoLX&Ved`m^4d=sl!eT$SP1PhkZXgNlpuJjBP2*pMm2t`NJB5rVIDy0$=
zPNkBQ3&H4*>qD<kDMjn?O<=*?ZZ=#r-E&5HyY>I@Z|ca|C7HqD<A8D6RHS5siGVVH
zi+9<jRk|6pK_V8VL8_TEu2a!EnmWKFW?IoY$~w)$b0YoJzG7PftYibi+^K^4)u_UH
zgiO(T=%wD8LQ<<WMgdzgyKMvk+qv9UM)m+b%R(}Ng4QfyT&a`AcHrf>4P?}n+$r{r
zu4i+3zSF$=fj?vi#v&UA{Lw}(vKwoO!J{?(bc1^}NAAwXQQfjKz}1ojcn2nahkJdc
z#SqKXhNIpd;<TM~(=QPx8;6{e19ZdQ2~!?Bo4tUI!zx2xlx&<hW+qGO;1qsuI!4C7
zuCNhUUvw42cXt02nUa%(Q_0226|S$aorv2ly}iZcmVFlQm801DW2%!Vu=Z?hRpFv<
zPb>0G!;&D-k^024IvBbqW9Q1kOM6xba<*AE^elrWgOaP*lBRx<8K~R3q<T6y%i4mv
z#0-R<X&%f<Y>CA#It4{5HkoA4zf>A9J-MD)L|k1lM0`DmL24rGMnwh;J30~Ej!EV^
zs@^ouh;M`39l*Ts=pyj4Gsn<!1~;w!`0s2^`3lGMYhH%rFOPx7-R|Ih1WRd!M##|v
zFW}WZ_(HPsT?Em*3)o515w>Z(z}?xo#007gN(X8!e<Ha3QnY;cC<Es@Tgs5URJ;Ht
zK4Nm?SKu8m;t<J@q9VLmQ6pP)5poKq2x$}nqW*?SBO?!CT&HQ0f0rG05JN{;Ph+5D
zSe_tIS2E+)PO{5O5-fOCTG=Z{eZ?@|tHq)Yii-g+{W9NWWJ*PIi{!@wFnfv84~HQ0
zV$Da{(h6;ND*vd3Y_N37?{Q_~tLvAZdHeX|(jKh`${<&R&|qf;?vQH8+|p3P%(D1*
zgk|n;^7SHL{`xOjXoUC!D?V5ss40F&UfMm=bNIC(#iyZgHoF!l;Py-ZZjrMT@=$Ob
za|$l(bA&)pnM9B`IG+zR;4+E9-ZjF{uztO|1j`6R1$qFc$GL&;#<`&)5p<Wh3;7KH
zC)}-VNF{x56N2iWZvZxz-<V+zJJ)HpMqGd}US}cH*J^$ls|%*)+bm}0I;<hq+Kl2%
z73g|RGISk|z&YNZav6sbnXs_I&Il_IaP9mA3$)P|PzBc8!ROC+hQR<fuI5_~pumCD
zr!XL^Cs|!<)2fnhid3E<hT{OY0SOXw5IME-+rP#>iuxe`1{Eh0-DGgkZCCX_NVyV@
zDWyk<(MSY_3?+*8lrpPM)xwdrWy6UH%@XMg$mPizb9J7}ONR_i6hFn3IO4>gngdU3
z_vTtLkVUdu@k{}*<I%}tpzGy)D@j~N*R%u<=vz*%(V?EYPSX(NW0Vj@;EgRd;H3?%
zc!g0;BsK+*r<*8BQ_*z85|}_a3Ct;5@^}!!-ISP8x8y6;gN>`fE%9(^;BjOGE#)Qi
zeY@WH%<15^RsYgV1qz@(=!Hz3<b$`H;^MPpf_q+?;KJ6!oapCl-*K7K)MthQ7imrh
z?!iCkjr5=7haMK5@Y^#z=!O3GCgNi{@Ie1T4}58A5HNn3>y>Oe(1bk`+%ciioedwC
z0S+K$IuJ*j5zep15SOVS4ct+P1+IJQyUaaK3h_a2hL{CT_MpuK7a2SgoHND*m&5Q9
z_aQHAjcW~o`9TlEkTorI#w4I1gy6YbQ17PhyjQm#A*0TK2w#64U#?o3dl}zu9H)6r
zWJqWVgeHJ;x}?!<Na)C8E^+^O$|*BkuWygi%5PY}3BZ*)NJvFiKWYjLh-lXq|MUVY
zz07|v0T9?f&V~s-A`m7BCloylHYOGWqOTq+3=n;q4?m(424v41%m4r$3qr_<8GdpR
zEWl9<CYXA7m<NA{{sVt*Nfy1n-d)a$`=RfyPkQYj-1+qAakMJ%1YQ%|6E@u04RRFa
z0)Q>U8NIK6W#7SRTOS8Nz7ZBUY>fk5WR>~?Hpxnrg>V3I$U&b7H5Ump+9@-B?+Dbi
zf1C=8pkyf1G=tylKi;)kH#KRm3B4TA<vwCYEI{bp`-Hu)cAR1<B7h&*OecU!HSfRG
zjEJc@9n8#<`6tSn4r$ZA`&E)gi$RYRexce#z%DGE?hu}FzW+|1F`q3Xy>8IV1W10%
zmJ}H-^A9IJ3sTsa1?j+|i9mzab};?;unhhIJ!k&jlHM=L&6jiH79>FEH$W$fC_XiM
z7Nrh0N>GDzBn>bA-5|4MKHHkYntfey8@Az#8l>=0%0NsQb+CTax(ZRByq|8H*QCB9
zviApq4I^5@m>%oFo6knY{wG%EG+R>wr$v2wT56XkHw8gdVxt>(J(^F?;VNyPPF3qV
zl>c86lQ9EYj=0We0Yk@dZkA1`DC;`3C%(HNIL<bsJ{|m`=f>1_SZZ7&>r{Cz=+*~U
z4HS&tMGMi9n<m^iZhNn#vqn`OS<Cz5I&m1^=Id9*iKm(q2RGiA2_B$nKpMJIzcAXJ
z<+N8yHk$fE!#icCUn!a}1%nv!gU#{YBiaYr+U?InG)*SJw_#LIp!-PbZV;{)P_#d7
zH$_1&vKrs<+EJmM96cBOFWO@MI`0Ub&v{9Fa1l9E%ZGcJOTQGqx`aOG)p}reB~K!W
z132R_yxoAM>r&ok0UHpS&<J=cVi9;t5j(mH(I{%`@dW<Or#rv)AI0GXu9m@SCNOd1
z4mraL7AM0>CU8UQQf4V0w<K8E_Zp(#@`;_Sphe-Fl26oH#tJ}7%!(KnJf0?(N`}q}
zMv{nPZ1PBsM-@}|(uYjaNv9hw755*)A?|SvfyVN8z^0)8PN4{-e{Gt8%Xvu`LQZ^+
z=N8uVe+v(gpE6D%Op*zxOyaX)PiijBtPltttx$2Jtl@K#?mly_W&y|f>0HLw>BD!{
z=)%|VOTPWNt@~E#-w++Ku3QlCENde-_N1fBqXUq>=nD<I<(;^@<HIWWR>BI{vWFVU
zXL8&LSzD%%s?Use)?=l$jdtRef>Lvq%vQ^f%vQtQgfievw1^M?3yeIgSynrWXbbDm
zbZ9Z?V5k(;zr5}81|W=KF$j%fQs9oN223pxG0ZHI&?78j(Dhe$IFd9N@R&hHXqfz@
z8ipdI4uNAjayB(m*2JJnzEK$X4uP!9q^yuKpkom+$0GAjM<Rp)02o9OTTTnJgscg^
z1#BmuNb_e$yRHNrC2!Nx8AM;;l*C_T76;}gZ3l=Lzi1kXVxqI^ol09K*MzfAm2nu>
z*03+E`dXf5nLrfB9~2cQ?C=|}35E5_LBAQB!*9msfDOoARdNLi9Pm3&w}nMW?q(T=
z0eS<?p66DB=ezK&2uSaedkT7hy$A(b3TYrb>XCoy;X#1F&mV;#Ov|x8SSho~PrkEn
z=*-5yC;9a{dZq7~p8Zft`+Rfk&fdM7+b`+>5z45-vxnye&+qQf?%#w)=fcIymSItR
z80bjzUn#;6GqHTcJv2%>n(ZFbkID&Y_!bl3GOA->77_z}6C(Ys9lU*X7G4u$6SMQ~
zf#CV;Q%d(mk@MA_%#5a<@exqrP^6b;|4&2-mc8F#Vs8z2(x&|!3Tek3O^klb%CCR}
ziwl9{Bj7)HQD8P>YpRZsdB2*$c}xVgP4sy7j#b-fHd|zz9;gVN^L)P9+4&8fzKsQ)
zsU9WE%oOsEU*W;#v_eHvwbT5Uc%FN+zU4ve#C^LT7l2|S$To?E01UIuFm<txz;?+6
zOilAm%uI1iLoBh5oSt<1Naj@NI3|IPc@Zku=Xn=wt0GS}o|a)uyGzX~aJ}CJj@)j%
zloqnocZYZN0gm$@>q>SZS_gr7v4Fu8<va`scL^4xO!81fjI-eUlanA}K*BG|**Gbj
zZEgB?GK-BFbBhgizPsD-e!qJzLvcwX1eGZ3Hb9*{c1{Z>8S5bGGS1lS7ote6Ba1D8
zl0eYB?E@dYAq^=jSALC9aq)V)J;>(b`7^;pB7@&N^uoQ|Zv3NAG54G#<L&Vq6aN=*
z`S#GQRFji{Wf#%^AJmIOIdX5o2-6e~$&N=8qFv}Sg@htq>78G#O@DdjAGuLD1gIO&
zMyLzb1h!K-U}_r8VrL5favLEUg@vRr!c_?{Ay@(e*+Un1BS<Zq;Z>FQUW&wvHQ+s`
z`1Wg)R^VD7)v<S6zeC#_KV0rFD8h|SLY|cwlxluHLyf{cA@8YEHOpV7Vo6+35zAa)
z2GauGMeC5J#3lSuh7NxGMw@qD%Lf~`zN@+uqqvO(dVh!ZN@~ZO6XmW_EMw0wzGOUc
zGkEa#_}F<#+YJ_}q?Yfg4*TXu!L9Y&4yr0O>3FtQECHxOJ_D$LIlo6Q2#(~xth9=k
z(HQc(r@rzi?r$f$P+ZDH`I!j+c}|4A^TKc#e_m9Wa12LSrvq`ma&A?=dNf@Ve{>3a
z0;>SC1qrZ4P@LYxa^~5Ra(x#-l(qU_xV*ATgX1b~K54xtC)?_#ybUce=$AB!nEF8>
zefYJs0SK04Y$`TXbkJ2KbXbT8Lum5%fNFo<{rUNr?Jr-)avZEd9`5QjQ+6IawvVg)
z2>9z8*M7Cx)rp+wclOg`?0;~5Pr9pQp9CG+pstVE={k2mVT!FDjI*^?S)TaL37&-N
zjftvy8Ai<s8kN_vZl9S-B}qj$wv05X;q66x7A%V5SIwt%nT|OVE)2&KFIzsJ!`7^)
zt6eJX9#;brx{n`4<tVmINMUu7nrBZuNLXJ+w#Jx*nFP9A?RlpcuyE%?N18w!xnreE
zHN~WbI%^$SkQY?E9~KRniG@^#SG_Bf#UAfy&XX&E59f>K8}AGVC4AZSfKp7=i88Op
zgFk?=P3Cw1yJPh~eW?FBRxGTHZ2xEcpZ<S4jL_5n-&u@kjXPkEB6Q2X5}mBs!4Ges
zZHq}Jp{1vgvl)dBfTzP#Xau3cQmFghsCuqQy#OrYBSUNS17iheO%yXc7@IupjZ&K$
zOdj^$|14Hcf05~bc(v|t{>#K;CH!*G{Py%{_I3jV`Xpyk+?co;Zj}BmT8q$2M{~Fc
zFycQ@Rn4R=rJX6#=Px^(US~HAp(5LU6!b~a+Ianov#9fScF|Uq<=8J(J2R8q6a~(n
zJ!(u5Apg_x>Ucy$00+etHnt6NQoS0tcYyfWGw?6TKx6%EacI~su=>YtBz<K@uah@B
z8)~H3iIgE~sK5M75FWz3&+*+7QBb4w_z_>h3^n+hJRBk5#pRub$C9Z1Y0=Ru;Q~Jy
zVKK6;b!q3#zvvcWMS6CDCPY)t*O!$0(7snS9p*6&7XfJEKJjl0Y>x#z-cP&}bbq^1
zRxAxmt~K$4&j%yy?IDcRpT+m;hn@YPS{4zuVWY3N<CBMIlP$jvc?}d<q6A8qla6Yk
z11tsi{-`=la6vvYxn`6~=on&oYL3)hFx0B1435;$UJ9C)89pEC21jnO4NKVbv-^AH
zPw`iHA@UmHpd^EhVN*DLDbJRzbrV{GY$F*2`^^apd*Mg^Y7zTHK@amT$Z-ODRDz+!
zqbb6)0BVB=cpwD0-arkdu8syBF08aW8{`{%qnT=y6|o_NBSjr7R&A2}q;P(|D-YiF
zO|xT|E*2WkKrxT_$9{+95l*s_RO_evs&cSg)+vPP^8t(D0#*(jkzrgDR)i6A!tIMP
zeRzS3a}-$E6=O%RJIU^X>Z(*&ef;4ZRjn-0F=*X1pKx&riZQ&#f&og^0FC-TrHQ`s
zxn@+A!HYmV1kYXvgO^AXf2>0vh)FYZModGG%oRy3O{iN?c_@XZv{$Mn+3DEhAtvyR
zSwN!|a7zdwTTlx0Bt^rlPoSkmjgPAplZ8yWUnueuv`X~?4_#748L=J(FO54NE+GZl
z&wAaGXvizcHdU=(Q0DR6J-Nngqzw|TRV20&Hlv1sFA_>QE^;PT8fwBL8@^ww+KnNd
zF9KB|{ZZzT{P#PB{3T0_Kigsh_=@s~74xgB;3@ER?qRiyW;g|#U;?mm5dco=wq$)L
zi3#wBRqR5S;2_=-U$KKuSPF&22aFxf>%V8EYM|jh%$w7@OV^WCY}J!1$~rgOzh434
z9Y;tkV6PgUm^xM3GL$;)$~b7h8BHce;)-UHp*0J*oP7Nas5AHm_t=p2w5OsVoo)PO
zq9=e$Q!4n1QCtaBs_KIju}Lnl1ajdO!C~t_Rf>TY@?&D28e*9++Z*qShIF&@^Hl1~
zq{q>fX(@}coHkwZ>iV)k*xB2Mh!6un0|&kmr-yHP|GFFNl5~(MpOrQxuBgCFCV7ea
z+NVqI=xuANQdnQc(>A8><Cl#p)>r%gqC-XFaX#f2M{bm$wY2)Y;Cl@A!gU0Y5yF^V
z{;9~3{VY>?D_<u6l21zPMu9cHdvy>O+K80z)U27+<$gXl33LxZ04k{6l8u}nkK(=#
zqOwb9+txrhfHPDnWHJ4G{uzOshN?_ng&$QvyoHWD1X$XsCVq`Ggx;a7sFpk9kToF^
zv<`(s@D_W#DM9PQHGWr$YyX!2%UN1v?5LMGU29F%=oWubK*|CYH+To?lP{olpxAvY
z__ml2K*#hgPO$1mcfobu(Un{))T+H(wG*gIxRYbuCL1EY&UpR7wG?z0T3e+L@D6ms
zZd7Ti4E~xhF!C7VtGQaK*{7oHnInAEV-H+^27xv<k^}?ZBWLtH^)rM;>zd^)6<`ag
z+$%K|nH-9kNYvpccwEY!SuKVrHn=$;95+tEax{JiloX$5aUV<p97qnZ*+9GCv&IZc
z9_PP9n?EW62aK=_BeLLq`Xi=QWi1V`xz!j}@^dTboPKmy0p_lsarpOvNkK*!hgAB(
zNsGD+{;S$Z<fwH*OyO9CNj<@&Yk>KjYbg-?%<fstfORp$0_9{N4%-56^}2#iyQjj#
z602s+LTI`<S}gGH9t8C&c^WKA{w5J2GqFhsRBz1%`ahpZ&VswbiI)OMZej5xPe3rx
zNViIm*}J8Y)Q4Tgc%S}tZ!h}Svda*lO}11TlURWk;2E3<rO_UW)<CyTHV7FM1;rhL
zbV7H#<00(w6gLvanMDp?^Co4-TiaqknaYTg#{_T0$yq6!gZ+(K+3YnIkD`5e4w%zD
zXB=>Rg~pKGPKT~S8<Z<j^+K-2)v(hU>k~0pM;W5&j101#G756xImoOvs%*mh+Neq=
zNLKgr2Nt5;!5#?AD>{ER%&wmlxt;ckCrXow75+iW3~M&~`}x!%cWuFB<BtR7rVc1?
zTYtu=RPRWTka$`A16<vhzdj1RV^6usm_&q=I3++v1Cw3)yqx<SniX?p{z-EHhyb+>
zffze5;~e_OG&NDyuVb>>$Z?s@Q4yM;UtgauFOlR;kA0{W!RyrQ{`IU`FQ^Q+r4UGw
ze8)AJ>@kCFOK!YW75YwuJ5fkgq4oDN8xg|@xs>@ML@V<sc0)Yt0MXU7^s^@<5fghH
zzZ7h(RxkT43}Llhz8v~66L*QDlf;(S${>-CI4c(Rt~Pp4^iFM#aqHbLAob?@&$Q-I
zHe0?W@cE348T;xdBsh~4wa1<833~Nw?E{3|@EcUR=u$FL*+i5;Fnr`6hGqa1)14gy
zi~RP1L6}igqqxa`xNsw=+6D|`Y83W3np0w2tgZM}AH;^#7Ywa=RkFRReWfsp30qW4
z$xknkN`wx{vQQrk65>}p))$hm_>iDDz>fsM0)IzITf?IlFsV*Z4Gh7NWli8e=F?O2
znjG4;+`90c&BwX$G*bdkFGpn$nsIow1{mVYpk0XURaHaU8Wo0E-D^g~{?a0_=-Mg;
zzNl85r({QPSQHC%M5mA_IS0#Sn>o^;Q{GhHzZ%C4#!-<<SZoj0Clk=VCKJe_)zDO>
zRLgjSt&dH|>*hScQ=CtD&`(r_hS4)#onil;i!sg{jZWk^-KWssnn3EETdw;cDI5>&
zCu);`z>I8;WGNl=9pIoN#hzsi`ALnb<OSQ<)YJMou2s00FN0w8;ry-o#qSVLEK1_0
z7bxM!CVxXDHZ8J4`SBaIdRo-mS~b!Jk3-z6vRn?crLkb2sLFE}u~y(6TD0Cfnz9`}
zUkAo+C@ZUu_Ar9{aCa6^;F@`sAKh^bFSo0ic|5(}(~>knV9Y&ktgR@xU_*3fie}Zh
zi#BDLiT5Ow6R7eF{<JA1A4!y%0kv4t_-b}A2e>B!ck)%=(P^d|f%;n2?SK}ryC!o{
z4<owUIJ3$c6_&eY+cDp<?3>)%n6eoiC_`)RkgT+Yfrh=<gdsT4N~F5hP9|UI#5(eP
zETB*i?o5?Net6q1%>LcUq0uIT^XFmJH{>S6Jt12Npx)(et@bI`6~XW<OLv`6@O|lQ
zGra8&A#he)D(lY41gB<ZGGolX*WOa}wVTo_Fz)wgh2PQ_jiXv`r&Pu=G*l{FirDq{
zH^s8`2eCE|HpQB?+UU<Sr>T*KO^IPS?H=g{3gV9jaF1TS(iRk&o>A5tu$rwbr}@`W
zWRf_x1ik%3=d4Xb=TU~k=G{NdURCr$_Y|q%D6=e?gyVi@L~&WxipcA$;nozvF-=wW
zvb%?9YkR%*8Ye#sR6^T3(ykl7eO8I<Og^|PQzwR{yM;`wc0Td0*AFYTb|12WHq_9B
z^2Kxz7^4f=rJDG&37woYYEZRxWOHx)q~q>wCSR&f`R}|sR=ua03z52Lz<W0E7*^1z
zEY7o<acRoM3k6ciz-zp`CW}wG(mJL@d@jELnWj6k!KaMe9r5cZ|6sZYe~IL}yH6wh
zLe5^~pgo^Ze;YGuxoT1_zJbTPEKF7qRyZWW+4xH?UZROksmS#~@&;yJlKDLc;mq$e
ziPq=0N>LneK_o`CVCknVE>?B8XVabVTEA9(=EjONc1^|I)>*sshqz2`f5G-{83nUw
zfP)Pzo3CX1n9cuENw93p9!R{bOm*_Vhee`P*-G;eq1mIPtv=9taOKy%#k$2W9dc>>
zLmXewGIB9Y9!-Z}Sb}tot!dkpVR#jk3VZyO#rK?Z*ZSw^=MbbpbS|z$5+s>dY<`zS
zG?QRlx3COKv=D}B{!wJk%rPd|X&wrTQ@95Vqzs{$3)zp8#(wP|C2oZLn4mn;S@x<r
zm1#8i9}Y@*a1cAN1AzoT<w73_LeYjKneodg4*WfBFL1chfdf35Hns<H&1$7+&Wv5P
zDMH}BxijS8XCSS^E~1PiPqy-l+3Bua>u>N*BudZ!pG@ihGZtZG`ahWx!~b>`p=bEN
zvKCp^UT+~`xA*Ry#Iwhfb#F(U2DY7!nwG*i1m)smZK6kp(T?)Hkt;#2PcC;n$2QjP
ziv+i+bYf^J3hNdWr2M(9Lj9_m_&NXa{d_)y;vJjK?eSEY&E@&p7q9(!)%v+PY@OBh
z5JWlUZPu)UI(eI}&1um+H_aYQXmXEP|GPfN+wJ{6e%z~r(Q9N)`+E;mgIZ_Z?BMui
zb5|r??wiHle!OIoR<b^t-o*FqbJ@~zprE0>i)L8F)YkX;WH-`T&@H8^fo8>9M?owG
zzUwXM@+-^7^y<K>&C9m=Ah}f3_bpi?D>4W7Wm>iNsURx62mNodV^eCa9H=$ddY6}H
zu)cuP$-R8}ehScgB~?_GB4p}ra&I;(Wzl5mqvUZzy^gMrc8;}HM0usc)hRq}uSV{H
za^6O6H-owSv3stqNf}OREk7vJTQy9}WO;Mm=i6a(H2Y|q%Z%zSm-o;3UkdJ@V6fW@
z*`?&A<}>yZWb>e19(SB8gAL!GUke&p8~Gdy$7#Juv!sfZc>7V3;`{981f4F}*?5kC
z4WZ^XVl`R8hLw(UtuuC7_O!jZcEq<#<#%?Eft$w@ExhV#*9Z$;p0}fidtHv>Do1CM
z>gf4Y*W()@J+2=dCFm{P4WULMD7$te%o-Y=Q!6-E=P@I%26tyM%*d=svkDS9x??&0
z$}GmdLf=9Yf-V&XINGD((zU@48TuN5xqhq&q5;#yhr4?hP-E{uK$okuRbN-x(m7o%
z$Yiqdj~C6{N?RI<21}{C4rgSwZRST0KH+l>Ds<a*2hsD#J^H#ETS6bF0NZ$yP>m}y
z%(Y=hdI8iP5xS3%H0h$E`g)Huzr%bJLFr!m_pJg7D~R6%5h)VM%WIL)Gu6KaBmE?c
zds(EpbDX)a6DLS47HA!JK{TCSTHi53Ir_#7*DD*V9LZq(uB-R(s<6KjkER#l4DJ3q
z8$#NkFh_`H_=^a`&xqU$^3?8mD#c}aTrdiv8pJZhR+iNx&<ap%f)>jF!5WA|3RIqg
z9D&Uie^xp;!c3ULM9zjOJboQUK<`<?c(uNG-;<_DDK_GY>I&34;l%if5jg&Y0V4vk
z+dq=~`XIQ8*xwQ017-!C{_Q}G3y5JzVg1<@O;-zQ%WN8x5Ba(~QKl@ARv$FA>@@dc
zkf%HrW-{`Lq>#`NJFOeY(3b;HC=oDHd7lxM_;U`S_`IO{CiG3?UGXHa^Za?DDQo-v
zM7FFJl}{{3Qdl<;a{X^t+Yup^kzycsB&8RBtzwcy!jomgj<}uDieaxowS)G@?PGJ%
zcOPjLIvn?`gGWuL+l2;ROc%=%CjE_3ot1ubuY>Fm?q@_<1Mc^B1KKAL=98tL`o0><
z!?ErJu)_oaD;Cwk2BmO^T1R`4LsEQmY1Qyei-Dn`q&#DBF&^;T58#J0JcPw17~oIO
zN;Pv-)w)8KPu7!3X4qb2F%m`N^xgfFs?<fLQb<*@>{0SX7}ZrbMC?octv|!jNR3dC
zto|Pb1_Xp0LVZsld;4V^^i^t{*d*nTNne3qS=W`MxU1uBV49Y1Y8n-d=M9sDynn0J
zl@nYC9=XVcJVXPn<O%3lr)cP^;Bc-=`q{v*Bywxt7FYvChLwC0Z|zdTd+L{CLk7-K
zyU7&Fl;~T_=z^;trQdBEa%W#Se$j(&{CHo{Mqr}4N<_LKr5E4d_ZfN{@G$QMy3iCZ
zVM!53(-`GL4&zyj?@xc2Ql;}r_(25Ar3xdDDC1akAD4jd1YZjjcx-pt78LD8>w<?I
zg@NK8=5Bs3>&bo3cw~k$*y`#(<K(mOf{3@D>;kKzz`dRsXA)OdS^Y~`qjA!-0uT_!
zU-i0MjL_UYG)m3wNjP5}w1-;N#`<YDtdp!IY4i`uWXw!q13$huptH9jfm^B=Zz?5~
z3*z^XZZ;72AWP6*Ak2i}ZalSySuxC2zpt&H#eRyVkW{cA4?psPC(9wl-h5ZO>fwkJ
z2{uHQlZ_8k^;d`}q01HL_~<N@tvPH04OL|Vtv<qY3O(Ak$0Y71B_iuy5$Q7(zD(8!
z(HIAA-e8+wvXRFa3Ad1+K_ML}uikzYifW8jn7&~?X7x?(qLwg^i%}}%g{x!*N|P)B
zY+cSI+M996Ui3d!VX$qdnesah6fBi8cRr-HP6U`p5@3%AEt(v%$(GZ|kTao42S}o(
zun^(n1)>Z%GeSWY?U!%#mB@H%=~5m?`Uk(e{#`<$#nr+2QU>DpNdDxY_NXCAdz7{}
z)?DOx#210d%;DhPA+znJW8wV0WYKJ&tikqNt&-g>Sgiuod~))@BV^HI{su80LKB&T
zmmE$+5?j+A_=mDD?~?2ps2W9z$P(!T7DK2SNA^<xJhx`{4$zb;9bDtzD~_D~%3wyu
z+DU@>rgcj%7LN>BEwMB{)99L>n|9Nw&)K$T1e)di#pI2P%xrQ8-P&81(g68d`|pa+
zc6^s(GKsu+gYYy6y^OBdR{EKP3;!p9zUS|c!#D`(AzCvxKV3IAOv~Dl%<w5UN@dv3
zSEL>Mz==o|q*T<7VtxdhZ7}7pAHs`L(T+=}_V-s|f`o=493!##2_5xr!(iV7R>Y(i
zw4IF-+I{D13v%7Mp;u}y`yx2o>bXGAvc=usX1Wv2YScLesK6a*l56Ub?T%2pQ!C^~
zTWFvlgRZHm)0ZUFQtF|}Yjdi!NV`@Z0C;aCgMClU^W*?Td1}ob<631sWN?{p5NJ%h
zZXN)=F0T38Il>32=)a6GEC6movFTtDgD@osS!3Led|1o)bDBznEu8o14bxhVn_sIW
z;kHZ4Gb}a6>aA>t9V1qklXUQ{&4)!BDx}yMsL1)=kwrr0ofi~gmVgxE)go*Pl49Z(
z%meWn0uox52?1pvUDF?TqkMy>n4`PN5Zj2{mJjp?Z6t^Ri7@+NgCTreZ!hK_^iv>N
zAUnTcYjSAA6N0fB(wEsZt<O@Yox)A8x1$}Ib6B&`;nKP(buk#a2>>{3y{9*itk9vU
zN6`zF4~JK?45|d-{VGNcU8YhA8o4y!2g!JWjLnm=KvI08e7o|+Q5F?XxEZ^NXf-#|
z_x*Ux+X5gd6T^p|d#}I|mf)f6QXrLgSMu1CEX71=cCfU@dcHsHcxD3qT&{DnCc@XM
zU{4=i&t=*vOtaC0(r(omc}%g1-QL<=`N!yOlM0Wn&A!m&M*9ND_eQ%i%VYCO^N<K^
zaJqjQ<^}@)aZ-*Z^>+AIT8tCgwY-@O0NS;zndtvr{gC!+$PNEI=cVwX0ww`0>r_+A
zB|(QaNSQh*kl<SMgFt7aqIe>|Ac+v{AEX3~Qc&KR0<;sB<%>Sj8IFlvkspB=;^TW~
z)Av2b2+Gintck-$5wyHuI|R^!wLsx~x<T0=KT^ZiMY_0$02fhss+_XnBhadWW7mD#
zxHd^yI9JtiYJfGzp}2@n=AkwWlouKw3+1rl#x#W>GfmUwvw~|IJFOTehWp^QQj2B`
zUo@2LN|=~jg^2upj>CoHy(W$tRV>}+;A5R>@HdAZQ#4)Y*lZn$wRQz`KUdn!?y1k2
zgEOaGKzBy-?8LFGKtU_jxBMN$m#Z8$Baa?{A+9(^<2<ahCR<8Yd|lz`L8J5}ZG9F1
zWr&*~K59YZ2;ka)0o@c(Zfv=*F*E(R`;vQSfAaMEnp0uu#oORV+)Vq!-I4{sNGhei
zkU+%bhX_k)PlhR{kkZ7>kBLu(;ygWvCfH`;W~eAHq6OjX(3j4OuvNY|03gHWimGj>
zCgd8azs_QJU1V*t=D%D0ke9NL?!AgRipPpdQyO)9yOex5+*@@+cI@;vJ~3UNCF!ET
zK9Q>%1ZuXNg5}%tO{snr8#U7nf<4<kq=l1U8%dd5Y59w_n7*DKK10cp6~?J_jV66j
z*NX1(=lTM(F9;k&U=g`OQ$apLTt|-d)X=<^9e3<$IeCt`QwP)w?$qr3|NP2AV#QaY
zPrZ6woJn@O2L4@iQY`>M>gd9{Ot<FUw(sWjQL~Bu<JudzNj#i(f?b=bZ`VWe$pu|q
z`(%3*WH4RiyGblbpNcJUO<YDRaHz;0gpy-_L5SmgK}c+(1t-HvaQcrv5!nbPC3GHb
zX>zlM>r=Wib(sWA@&Yxq37<q^oO&ZNCcXhY#7z&k+<sJDjhvWy2F#3CZd}+V&sdKC
z;Sd#5ZFs1)h89~K-LhxH{l}f#zj)cw75}Sb<y(?~WL*UQcl=SBOZ4>;sQ@66ravfl
zZ-&0d4+$nscoiLQe!IY{1%{B$Jd?tAFa6{5%d!qRpy%0|;2muql*_Trll^*767+>S
zER?6}5Wa!rkQ=!A$X`PmJ&ADOLPb{^!jUuhFAB%sahWXhpM`9N{xp6rQu;<EXgauJ
z0jo&2sSO(i{}!?$0nujawR)wc1SAFjxSHZ`IJ4pOGym8cAwQ<X?{#YWY%>#is8$}p
z40jotHCiEF((Qm_p#JPcC_pkGhRB-n;Xc6-hyE6RK2qyOEoiHo5{RF_76i3V-fs1K
zIiPQQImQ@rLx>xB&`Sy67Ru&z$6ue<s1Oy!Cpti82KtQYmb8Fegs63f?t)Yx3}vIl
zM<pmkV?@;en+3RyEtM)xEqJSt@9k8Kvhxut47DgY#OTSQF8qe<Y_O-$2=?os*`lgC
zZgn=R`ekZqR$AL%G|XNi^n{QN2=N}RHr?5L=ac!$yF{Sq<RW6mMD<k%L^)*lOlNM=
z(Hu^tOAvkGJktf07EAe^`632bkLC+5iiM+JyEU6i*&^G=(UonW-cB)BbeuNWwln(N
z?5n$m2ZUdc=R;o`N+A}(Ez;fPQdGZjvRLrjXJ@moH-53D#4)0Vboq0Yd?wlip)jhc
zF%-w2O-j&+3WE>VEM^tSF}-1<5!6D~L>^Z<6s4ggDPx8p;34Fv<o-LIt&orC353Y)
zPr6wX>J+@P-?qc&#5U#zO>pT3y$RK~@^5Jc-r{vBfyOJV^>}G-Q;=`JoT|L}MW4$V
zwAp1Rq_ieah00&Uv9#u|xvi8l;jQ=S9C%0$t~TFX;rT)&{qYINGznw7sD1-ZS9!jz
z$Bg$XWJzbt6Lx+71-yIJznAWOXg1Apt~t^xh{u$sMr)e%mgxnb$69HNUB?KjbW2Q_
zjUA9}Cr=p-A+_8&!|Nz+cPC=KWL@YU>-uk*7h6rS>KIg~pBB(10gbt@O;kB3bN*cS
z%>pep!Nldkep5I0yKZc`YblLfqlp#6eZQkg{$&-0LBR5?-P6A80)T7wqoDE_Lr6ZW
ze+nLep;C0AHN)HmohUC>orVFw2g-|RY6op`FXOp&dQcA^>WudM9be~1;qhw9$qK41
zg!wORwD8LJW^ams76^B8m;Vq#l?jY9qq(y~)uGK@WD4&{4`DRXYZIT!3W1}8TePdE
z?qav>EZ#TsB!polhIX?lL(LK!K#=>@1JjvQRwp{{AI7Uw_j^-U10Xq8Nje#EPa<K5
z@>sPlO-mnDD)Vp<OHW7GWH&*z8(3~U#bksVaUGko!fNwaU}1dddwx<?_t>SZ(kXky
zlUmrK;@jM!lIq>KxT3>ewEYiY3XOzke`Nt0GY~a-87qwwbW}OXVu1GxR-ZXj3waZm
z3{f|7hNp<R`?!eB>!+KztvlnHHgfRIj~AZJ0Zq;{90E!Z7N${duY>umKPP&l#>^&i
znmPT$a_ZbB8aRd8griKy>|Ea@cwE}7^XU5gTilb<M@_-g&9Uxs3#|c1g?OTC=2;3l
zg*UA<!@O2l7Fjk%Jaz79)^?XzV-&l(208mWGK20=CoIv%@2wY4Ku<G0MIp33N)Z^j
z*=gMldQi8tOA(vJA1{L#ohp!1-A)F?6UV-{IcxShU$ogN;(l{*2g7TGBLv65t6z@X
z$>3`GVSiGR8|pLKoIJ(o3Vt?mhD~543^VUHNbbOhBD<GICP!O}3%&ydx&<>3e~^F>
zI)4llz{mz2eBcvyYXAcelmx$4gQ26{m<nlMsX}+9EKSKVR$2tq*PP()q)aIS%aQ<D
zKTY!MPNfuOb;ID*^>h|`zYWm!2&9|E4a>cy(X*qxOA7uCx^tAkbAWhCgc^8cu<j4U
zT=rk|Ao62iosmR{5VlU6q8gWxp(S2xCjru~yo7@Zf?=cwO%>4laUsLhQ!_AFc7}s(
z<iJOtYIzgnhl^0N6JSD|>CEe4|HWKnQ)7Z3?Jgi-%P^ZdG%N6D8%YJP-Oas&{d0s5
zbIDbJlGro2;MX@J9_WWu{|op<`g<aR=6aj>sEIA=m|!h8i6K~rSc)Gx`Yg2kKU;A4
z5L<9km-$r|2LdwxAr6tJXwv-ExjZ$iYasMu@9go1UF>3Sd+av`1H=HaQOOVB5y4la
zHA0a3X7u;`GssseFxHdAsKih65y%%&k>^>iJ>8e=q29YFHXeg2Z7Ihj1F|2DE4GC<
zSQDA)66Z^5<f2_=o=*@3MZ2<#v`{TAU-)5oiqt!fB=`Xr84i%lkP)hOVvIi&Y;mA<
zV4Bp1$r<|EuYxdmI?rXc;ig6(t&_HE44RKFUk6H4cxN*6i2Cx)N6YUaz`Q+AfV>Jw
z;!reL>JnPsTdsO=C}DJ?NEq^Wg9$1<*$lwFOvoU!*?hxAk+6w7UnohOy6s91O6C+E
zXkaej$+N2(i|#N}tqlsG!%sP6m;aWMpD{v2jy!HtrZp0`uILJIp+>PbAsnktZps7j
z0w{p96bdLN*#J{}5md-k5^b%8e7MSbCD{Ken`X;p!MUWKy<B?g`eJhOHCjXt3fb>@
zK1fEr2MVRf5x)37s&_Qr=gb-b{>&N`2xluGm$eeqb+vqeFG%qQ5A=@iUr&|s#M7lZ
zFAd5yO*#A+K5k;1Iwe?~r~+V%FqernYdPO0l0yEkH9Ib`1qs;@5CINSMOoO)C|B)d
zLm9A~$fz1>)Cqrba%q~YO)y80qTha1g_dO!QGi1d3((XbxthAr8~**3x0VS6ar=?n
zVS%|@mvx<_p?#rZ(ywUYKLojmBmiU#%#k(!4`c5XBucPti?+4ewr$(CZQHhO+qP}n
zwz1k??e5omzleK3?)f-BmBox%nH95Uj)@V0SlC4%X^3TPxyTx|I3vF8XM6UAM>)dt
zsFU)1ZR&Gm)#FzaWj>(08`GyBnR=JDg@&c)u1K^4*EzDYhb)fdWs?;v6ZoH{aHgV2
z8u<}$$)X9clc`4mWC|(3>fXmL#&B4lTsb;J+BBgpCyOBWG6lnqWT}i=#)UK=t<sZI
zpU>Z>31zB`v<g_nT*1(+#5+RhY^3PfZr0h8Su4;cpEdF}V9!`bP%WwqFg1)eV7DlT
z4d%4zOxs{C%>KkmKUfPe-yh=-;TqD!AUNEgr$@xvt+U7kTs{<6tG%ulI(vGF&Jd|$
zt@OjfKQ>IAirW9xqC9!`UjjO=$BPu0&>;k*u%s}2QC`IZFm&~Y#JZ#dfV%{cszZYj
zO`%wzg$IyO(6zdR<H+h#vhw>f;@OSRh%Q~aJJjjoeQRwhXABvRJkq;wNT=QGN&RiZ
z@YIe^ubPF;wrl`*`D@pqNc};&ZCIT953qv*c&vShE$Plws^H9CvN)8C2vHj5GFb4N
zG&bwdLCVsYrY3)V%CFIdW@9E;IN_BOKr{f->R!p6@zi*SD`(r`cnlo8aKGAjtSj~S
z9b0$7Ko56KDejZCDY#EIC2`AFkVg}Wu(XK^HCt``<Sbz$OlMzqRoFh~`(<Vf#`6V#
zzcW{`_^?KzR*~@E@9aci3u*GG@w{(fS5lT7PO#E04WtO0cBX%nRm9$b4$QEbwdzq8
zxPWXPSQ_rwCoh0es}YP{x;T-Eesa|4LZP1*<fdYuMsP^3-P0uM44~6-s=mQ&-$Sc+
zeB%hqkNqLGV)5=$Mg@H1T7;CWCpQFvArDCukW7&ys@CFY{Wf-Uyj6gP%%+JO);jGv
zsnfE!@b+eAD6Y0w=-|_1gVjy+WvN<IM<F~hR51qLrTfz+OPJ*C_4wc;d8{K768Fp!
zPZz4gNdWu$8z7AqZ3mOPf8{@;&^$N)*frx)SyHAOVZuPI7IEpdR=^K^%XLf@E`WOm
z--HSa57#0u9mOe|X{#7sN>*GCT{Bwqtm-HTg|N7zIL@yQRTfZ>Oc?J~B5$uuksYQ`
z0<VyrA}Fv{Y>%s(Bsk1Q7g8jbr+Q*khh~%Q<f$qc>@5oknq_`O+)f&7RL2EHkOgLu
z%{0TwPXF~I4c-*4hkluF#hHG*XD31E#uRRRX}Zd(#Ooif*oN}^KeWgz6?0P>`X&5U
zno8)r`r7WohO~6w%P<qAeqfJEU$OUhFAufOJaO1`RuW?7DG22(d05bpAJTLbg!vWN
z@>gJ(Ux6`y1z!0TnB`aC6?lbx31)4W5f#PciSOw}&%*wHWWOd|+B2n|2a2BBJA1kE
zqo`_)hM957U}>%r#pq}w3ruar@;X*5<%)Ea^-QK|3TFCl1+uQ$Zk>K@UZ+woQqkUu
zlH-mA@b<?$D7WoPt8YX4vQ)KXYb+8$VyuzO!q(cjfHJdp-e<3-iX|ftuZQ<RsO5;@
zIoYt;7sShO=dsUNHfZDc0=2Pf9^i4pyT8q9k;Rsb@BF{u&-gk;-rxr%DcNy2!A>n-
zbcm@rX82S*5XZVth*(O#NBYW7{7Apx&vdE>qMLC~=F6CBzV%h5ci?^Xgwh2TK+KOJ
z0srAv@x^Kz)%<{KKT^>5{UrQz;RLet;Zi1!k!KR1g$&gP{+ddji7a0Yda}M=4>p9(
z%jf2M4is7YF(2notlG&To%w<L#b@;kzcw}7E<ImTz(Cu2m>RX&8`Ea(kG6fp+hZGQ
zV+ikkvKcFHH~*K&H{bUe`Buo5Kb^oWIi6SE{$j$}f}+JRwv-1uI@j}(k~NLjpPy*8
z(!e6d-MjZXyg3H#`={ti5**RzEU^w8C_O&^aypBA1vKhnm?=obY`-<Tl#7j#8Z^5R
ztB6u&o}EC1A6Ko5uNgx=JV7=w`#}0|1xpj)N_Cfn1<}bt{CY!STpVb}<SOXTN_@AK
zs`8s|EPpz<X{ni^k%O$b6HP;>8ex_3rDMaLLD375Qh&aeegJo)Q8WKvui1ZnVgHRU
zWM`xQzh1Ndfg6qKf5(lcqm_i)=HT;N5yS3{l_b`_?=_|so2$X8p__us<V=9jMv|h|
z+#!R!b}~*5IA{tGjHwGRR9cZD4fnNS1sEG3W@)GW{zI$vP7C#0%CFb6{q0lA@8k47
zbf>rD?K^br$0O_8{n`HG$V)N5&#^_^Wcd0E&u*3OjpoJFL3>-14wde&AJ6vZ%|jMf
z0ovTj$=<DQy$F*<NYAIm7fm|-L0f&4<D$+t{P3dY<@3qc&L4{D!S42M2*ZJ%)F00u
zw*prIchos<xE8BE_9JA1c0ZZt-<rS@9po0YKgd=K(n<?_9Y#@#s!9%iUN3=k(hyZb
zAp#p(9&drj?eofKC$~h<2GxjZX#zqtfKPHn(6>2EX}5!RC7?_<523xrlYc7SPPXJ*
z<!3uZNmhnpdOjIa3o{6=-P{IgsFPoOYeF|2-mv8RDg8PIRd-KNgY)`gY@T*E*E#rl
z`rcMz)U&(30>JLf*#6@+yIJKlvk1nsWo~msc)PW;^Ytl0EtTjp$HWjNDwh;VsUn1&
zoLhGEUfAB&ynwJovOtMQ$P6X6yv9uHdX8cnBxj}-`$vk=*OGZh)r+|{weC40{_*hs
z{Bg&2(|yL9fp{i(H)ZsC4G~S-2h&vggodU{tqQbFm=QV^1Vgzo7z1`5?7AoxRNQdK
z8%?SOEkkODXnooP?*8;st^q+Ob$Wo&XKtqD{sT{GHfmo4Izu!_mb3Wp0bW1?hZOMN
z<yk{tOQLMj3RuGub5d{2HGzX|OjD}fF6#MZ*CKPHM~}L>2C6h0wR(|Cug!X#8q>ih
zCI1US^So27ax0V+m}-ND%p+P&q4?>-0yBJfQ{)GiT>1nmtsg8g3CRImHMztj@t7|4
zzH&2Na|B@qSQ+~U$gTBZ)Cy_w$t3d;q>ifximw)-98yNLv(<&wjbKoISJL+Sc)&t<
zds-%W6SKV3d3jZSfCvx_wX?93R|Dobxdd;gDk)<HuoO{C!k;2p_8WJG3wOfc5pqHk
zOMIDLYm|WZ6#8lAK0idV%mPUDw_VRb{Gk`x`N5$W`#@q5dcX-t%w$xByZAtf^CYU{
zz)!_NqL><YVvaQtjeepG(g;q#aLN3eRGPv~G#NA>3}3HWb(c&<(mGoVBB775l`*K1
z?MY8Tg>?PaRW(tJtA=RD2J{N-kw4}P5{@CNt%L&<?uX`T#~7#_OJ*Y`P$En!wLYV&
zu?LH~-%QZu&x_?zRf?(-=C4kdtbdMwr&4?S6u?o*?Vo?k4RuBx*N1R5*9MBm;AfIU
zjhN~j4^h>h_;FK0Klri)VYCSlVmSdKC7NQhIiUw;!-%6i1jtP4aECzjb^=s4nnJ77
z1J~FTg6gJPSOOR-74#Ov+rcXd#B82XElF17*<58$YN`ygl?xg66?7QLW=^9h&0O@D
zQ(AKpNK2iKwrILY1xW7sj?ncH_gUuHdraoi^j|vjQxy_=fe8WoMCD`{9SI>hCZB~u
zangN-%u^V<gKK(=P?^v31@20TCkFlNacp4O7o!M3gz|E2(~CJg0k#LqRjtv90lfX`
zgMIFKY*^L&WV*c{Ev%yzOsQx#9ixc*Tsy;9CB~1D%)CaHkrGocQ4W3xpdj`5E%)ew
zg2Lg*(vL8fJ(j1FF!jjB<f`63ivZh2Pg&^PiqrfP_vO}-gFi)_m36D$YK)V&d$pUH
zCo3V!Q23BTVlpKa9$r6FSs#7hJ11dwqnQMHaWc%_bwa(;;p8Om7qrzH@RR5_lvs}Q
zr);gpA$7?KG9htW=54SpbFnZ}7^A4r2!ENH=r<CzRe@HkBr}d86-jz3lPPM+Rc5Iq
zouJLg%iWL(Y^QJY*1jF0-(S}p%4=L@wZBU)0LBoYDfigLJOd7ii2&yUA>EJbWT*l5
z35E!`p}`Fe<yJBqWbZ=ZYm}U)nfqIpYZ{bH4U!hZd(|3VZf?o5-BLHErtYGyR9Rv@
z=Pwl{dJFzqL!>m4UE?!32cf^J;oR8)DnNRmiWKgF{la@FgtIEZOmeC@9_<zHB+|iZ
zm+|I>P{dNj9kEcr2b?}0AU4bE6vqx<@s5RV4RaLfPX;lJ@Hwqs+&uc~L*Aw9*TJpQ
zOVa>LP)MAOHI|Oe$a9(`-m`1u2TcaBU~>i;Lh0+U0Pke*aVo942MBNPGBt-?U*EH}
zW>SY6zXcJaGLR=tcj=zagyt?_!q7@$SFm8~Bx$PHuys>3Rrv-E2v}GtfY*r$y%uue
zr$;raG6-v90xZ<oX#+FblNlXX4mV!*0pZJT%EOUY>`(|5Ly143i6!-~GzCY3qd&8_
z$k0wLdJl`i*%}Xa8U1N6^7eIy!M3U3+S>xnH(o(rRFRA3+mzQG?jT2ZGHZ~9dAuBS
za~{V*>yUgF0C;hzbMezs@}3b@Wx^QF7?AkB;oZ{HZ70P7p}Xv8t9LF)S!J#taT?(w
z!g%=Zpa=5Q?PeKVsDn56JWI0UtRX)w*wO1+q&O~d^C7s9j+Oy(?z`G`B$CvxEBoYT
z(|y0c5l@mqnKq)?F5GbNoW}`X6S%#xF!3@!ZLKBj2G+l`H$|g?d)jWeC?`*L*LGy?
z2E`@jQ@>!TMSniJHn(f^sFOM#lmDW%C?(&DKSdod6=032w_vJev?Stz&^)DRP;m&(
z3z|`s65d~733WyPh`+%Y8PMtnCJ~b{VuQR+FlIw>m$5(gJH-a|J0MWg@FwUrrsWOU
zEf0uLB*$SUY+JX$Iu9$2Dqz#G0%F&#yLI~m>D*&SUwKeP2b|Tgu=cuFjvjh89C&#v
zb8l7A2#ErL#kmW=C*OIlO!tspQ%sxZW%%vmDx8_{MHXSzCGjw&KyhYzZ%4JjPgILd
zUuGmO)4ui;{N=oWv50d0g+>IFzyZY0ZZTtNHF>PquytBES8drle|<GPzu8WTp;Xtd
zZ7eR?FP$cc#S&LP1fZ0S=CeX1t_i%PD4f~P6p0zdf=PI;H1_L89#BfA&5LO`BQs2z
zK@jLMc=Vbg3l7`dMS#%=MJbqGu~}x#r3YLFZ|)}BE>uS$0=}?IsKar1i<!_S*y*yz
zDwm<Q`(*WhS<S!Q?`>^gQxzoZUa*(wBbglshLE2!APIzp$Tc9*ODRqMkxQoNS1OXm
z6)L}#kjpemh*R<GHBQ9ikQWxdyQu4hTT`_!9SjSnPG3!Riqw%PV{=tdq^pMz`$W$J
zBq178e?yaEn)jy4GYm)~1Y2VzP7*0gSULJbi4j2Tmk%5S4vK>clhkM+XB1LKB8Z$o
z1vHUTQA3B!fa$JpRRx|vzDC@yeXx8pGJF$S(oaUZJJMXwm!N>wc+d2D^ewH{dCiyo
zdw7;b&5A5wK7Rx{PoM4`K|$8;M^{Ej`IbjIC__9kD;_`(t?{B0_M9X3P%pHNVBP|w
zw`z!y&YBEca+EPf<$HulP_0ST^cgD7U$L*UD@Sg|bj*RWXH0_<0xe%L_4|VjmcTi^
z@0!P%7Zbp|=|PE~Y^f$kOe_OCm`%cD!JLu1LbK@V(`MGZsQ@!F^Q2V3T)h(q$AV3q
z;$KeCO(CAKM_CNmw}PoPnpM#NkL^0ROAstX6sbgqk$}9KJOmq>$L?YOMh$A+6@~*F
zN1?6fa9ZX-`1U+GnMh%L()0b!3ExG}f9bJsznQz8Ev=Y5Kr6drDeAro3N|A?7-c+&
zI_^z@QwEgU-5vi>Xdgj1BPEs&WDv%R_!`%7q;}nDVzrm(lx~7*Cp3I(jdh}06IyG7
zbsC7e*kbcbZY9{6%k`Qzu7Qxn_?^&^0aYTe0K{fC!OccAf7x`r=`z0eODcbk35a7n
zD$j)Yti2MEYPCY7N{hnE&7)#qYGO?#U7Mq%pd+Ytx|cO<DSc|CquUvWJ0eRjAWU-2
z9<rDC)<OmR^XJBL%3_2PGxf4ofPCo0le*2UBXlWJb<Po{Or<9F1XH$36K5)CpSh?a
z-`N1+7II%!-7CM6^fi7yHV!S^9+F|XV66&-;0BJ&p~={mB>o)s<%(75v~95t2RIj>
zJJ>DWOlSoMJXgP4^CkR2GKL2-H=o=3+I_Wjs#y9?E<O^@NSYc8apqo=;B-4(pe;F?
zd5ux@d^uO>4inm9WM;rKo=>WFep0(=6=xCrm<V-s=ZtPjzEy4|<C1O)yN&|okSaa^
zn~dOWijio%Xo^wZtz>(nbIBy5^yc@5`7_HNv2402<B3m`46wzOG!x1~Zw*sig<k3<
z{LGFpRWVpnEsPFtvC!sa!@E$8ROX~mLA}Xq`^Dfzn=UW47R;%Z>l+;Nf|w207ue=T
zkY-Yy*5)WP+pb+$F4y*5*&I<=3U~0?HBdJ+e3Oaoz^*6~fcWON%I@b^EY%GRlF0BN
zQ%a6ACU!weJShNddoZV4d+^*e_Q{~hb(4Xo`5J=&s{~lO9cC(Za5o14?bPX_sQ}<Y
zE_P_$OWMTq&NcYKCs7>K*c_jm8~uNWE;A;#pD$`w=!kaLedK3A^iSq%{cFx)!|udJ
zXY;_LQ1U1HwNlspp(oZ|Oy%rM1{b{6uT=(Bg)5J0L#irOM|Gh!m8xTUWb2Z_OHXQv
zC=)_b{?&=cAR2(NXO1c%2_2TWqNkNO=;Lzm0&ui(ri_$ED+?<T#h~>#+Cl-DT#TFo
zJo3*7kI9u@w44du%wX?+vF^3K%Z%(LpdRaS`p+O%!;JPb$2OBq&C&<YrO3rStaFlH
z0CUx(Zo{cGQ~58S5!Mtrg#xYPspnkAQ<W=^WQ`=|F283XHF5lM^{49b=5_l0GHEgB
z(zcOwNGBjWh4JT3rXq<RPq<^J)53ul(WOc5{Ao$`EGEdZJ+3#JVs*sI$PBrJJ?)b~
zDsLd3L3|8%z&|xEZ!n&PkADlg{=fm5{4t6S7yi42<{|L$JVTpwIH~N-NXds4T&A~1
zM5KB5Yzx#fQf{F*a`LEL#H76?5VQK!l>yVEn)Mg5tzfNwaD*N`h1Qy<b-;Y3GWd^X
zhHjNAf%g35lV%IlfocthX9_DVm)_T8Jib<$g=k(?z4uo=FXKRz{^@BhRMrv<q4wzL
z&d@!DmhE6B0>5G;@gX#6N%?zgTC3D&C9mCL^*=LHnjzJ4SJ!1n?MU}wb|qeQLva3(
zfHDM<UJ+q2XRXRw5N<6OSha?WHKSC1POpn)G&>dhYc2$1?#XA3%>t&K+yi$XZ!IK|
z_(8mt=9{01BCRlY$m&1#ZjFzj=y<EBQnfF#cdlAszqRt|JFQuqZ%T3bdLKM@dV{78
zX~fWkDywy1C~mRiQAwguQ3aZgp=zdYmSL_PI3>P#Jg5ai(i2T%PXFN5fO3oUArC2#
zh1?!ZB-&R<#s{NKOqWnPQdmKvEIqlf+&Z)ODgjC|`j6!Gi-88iVY$-<ais~eqRkv!
zk=VOHMHC&}UaiBzl1s?A;%*z;gt1a)2lFn#+n~;9ZzbmK*yOrUhw4DrVfwLj8xBuS
zx>%H=J0xlsq(En-3x*}J!<m12H!#)AXju^aC}`{SrGR~3>r>ST(mJd%A9ts)24d@b
zgW0;;yOM?315kjwJjO4=Y5||W<FLMOAE)81;<Qp{>={_$%RGvZ$5?j*As>){6UhM-
zBcfJt`R7G4B>JE;KzFaU*I^37f|OksfCR3yt}|_TtX$!fgV=MBXN#o;2@1QxqpWsH
zDYNUiML;#!LN|r7@mU=AZ9s}&6tQ17Xs~w1z3X^xZM8aV9UbZVgC5MzCjB`&YFp!V
zzkBNF8hA82AhB^rG1Boo)14s27)ty0ZJ52b|8lM%pw8~&;k*ZH!x1d999m-_jOM$-
zi3@;T=c`u4rDNx=02g>#YUA1`W@4zeCy;Eg`X_-)%!`&qf>c^fKCC09-n{9<zyBA}
zeniaT3K3g;1daBT%LNRNL$e`O{vI&R_C`^RYS74eg`QPG?gS(}2#W03J+#^QgV!nb
zcaV#4#jX#43UL<?ld`mSlL9>6lM+TsO87Gow&AHXR=%b`yRU<RAUi`|1aK@Go_}44
z-NYS&RBRmDUq|jAitI<HvZSZ;a_U%!O=lv$_F(;dbPrVFQO1}Kv7cb!b&#Kwh13~h
z$v}L<&c94JdQtGwEA789z!9&oGwW5=tK&Lb99@`C_-&Gi522V((RB>+Gm6!CpoP)6
z0v_wN_Pkny$pm*h@)JROQF@)S4)K!8Svp+Rx77GcTKuga>G2=w?Af@o_}?ytcqt7I
zeCz)(B0K>0B0uExrwR6g?TP()gCbdeEmIVXFe)~?e6B|vBc4Ff<Y-0U+)f%cC;Ki!
zHbd<UzB6cge76VpIw#+yNVfuEj_MfZ0-hckFJ09!%sKCLG7fT)3uX<B9^Fq=P0fa|
zfmdual090?Zt!O}vzjQ$tF&43ofK=9pyJL8XU!yzi3$CjKIjQm@3hhjtI>?fY5(V;
zK0k=ya{W=CpF)z)(l$rk4htvc8*Jo@qJt_OM0JlG$kk2^r(!nYy~CkB*co?NqUe?&
zy{2<WQvHG9<;s6PlMy?B(fYU0?ceii%<z-DMQ_C}a=VwO@t3mWim>t)J0$me)7(=H
zJD69;{nyMu6rln*o96vjqK!M^%Gj;5Eo5=Ds}M!44_*@15QU_OrT88Ji*ijabv!12
z715t!u=$H|!(2>vZ|iZJy&3;xt2|iB!dSf1^L#*Odk(B$O>3A7n}0K)GNNfD_vewA
z{^I+U8z}T*=RSn+R<|^6p)U>3?#Hj^l!h*jD~tnnH+(v6<c)N@PGXs3R*O#aa>}p7
zS-~PQ=iyR#PKubbHZUcmELXn>!uS-j)lz_Gg?V^z)zA6dK!^pV0l6mE64S6k6X*A=
zVhd*_hX8eEWb^mm3I^y-wrNFt7iFhF4futDPH5#FhZ#uI3YWO_lI3-$-`#;#!Nhhk
z(t8=FNkEiQ&?7W!`bhvwe(>xyrSA3TbNAfKU&_k;4Y?=oAPHBva@i2M9pDbX7EzA-
za%%3#kBP#{vb4N^yB)8%%MB;(;Y}|#z7~6zt|S(9)3!Oa<KT0UXJ(oI^iuX7WA<N0
zM<Z*_YsYG8IG*)+I+Fk%mC`kGqw@-v+Gs1~6>gjD1Hzh-2ZD|{evKN**%_wE7m{2z
zLK*?S(%6NN=R++#+XySauL<76DiMeG-C!-?`+e>8d3zB?Cr4Qjw>3k|y%0OxZ@3@M
z<a+ORr=+k_R~%@)^G<H)+>MZuweK~9@x=U;?}&$L={q!{{XMd|z@gM6;%U{HhLcrV
z-d_9z)KzV;g4R3Z3T^b+!N%7=7<NSCQISdf?3ar-)hwG0_Kjzt6kd+Dmr$aL5j$eC
z!ydi=^59iV{TSpt979>V4~RtCnNWY;Xfka`KY%Ic@7kUCzhsw)aTiV|L$m~uJ4HDS
zWz(bT`lj$dFHZXaZ5Z1z|6fq~zfkdiKxGzIMuz_bDl`2LoOMk9JI=aaP??l1!RK}z
zZy`?4j$Y)P_ByLW$lV}dw;yYmcOS!BW4o8dbp7i&t1?lMtV(&$xoQ;5C=k3ip-ECK
z&51FEq@u4qhs=fR>?h^N@6G=4Yp-|<4$tm%3(l^$U17?vo95@`;ou+mi!uL?vMb~2
z<<<wB?JC(yjihg+<X&iTS@AjFFR1+e(8YCKT0DJH)rIzWY>MRE?%n&n+Vt<O4K^u;
zRQEeQN(O?UV=B#!F8be6_S1<7?J6b5<K9c(c#G8_Qwc|fc9)dW(*k1Phnn&p-d_$Q
zQmfAszEsWatG_FJ-C1N-K}Bo7p-&;1rZquRD5giq(<fra`OQU~bU(fQ^StUl9%NeU
z6hYtjKtv_zUnfhSTbw)}?r-tA**1%#6;oqxJDa2MArcj0Z}xBc9#L658h);2N6cq0
zJ{_t;*X^Gm(DjpUYU`{cn}MH?JtZ*|A@$C)aku}$jmyyO^p*g=pF%qmJ6U%_(Sc*`
zhGLWkq&vSqlCt)8*DYL)XHs{M7sg9R6;D#78t;*63Ny1G+5-NQjG?N17*j-`Q4LF`
z)-9v-u&I@;op73!v0`H%yjSVs*wfU!J8SIY@q97$Nr$4OSRqYP(yW>`_Q{2aKGyez
z&Ha+LC?GcgpiPSrTJ?b4EIOz|YP?LF*)08=jFJ3cKAS|wNTR1dM?vB*_7jsCa%VZg
z&Yee!78Lw4rTupGOX7?a2ay-C8ZtEmG}$iwn~ZTw_jO$z5o>Bg8ylPcbias8Sz8l9
zP(DSb{eBUfCF-;*1E{I`Clo8y%&1<1^$PrwS%Fra|K)6ZusFHJMHM7dA4bc5ST7qz
zn=v-lJb%Shb$gFwP}=F>p--bYn&49d1tw)y)PRnWy=$5-8%diHdX_R1$&!i8w|N#4
zDf!uuyI_iz?(vrY@Encg$d<;Z#?Tht*^T1GV4>dxvBDTzf|P9@1F7N{Th<1GG3Fq&
zl^ZC)PDyODRSA(cD+`$v#f^U7_w2i@niRbzx~ci%ty2=nuz#caS|-4-qiaa1WK~N&
zzfQ{3sh(4~*WINwp6?PEueQY*FT9{s)^=HHT&S#aG+23w$|Hsves@xxrNNZSISH~2
zUz9EonvNTT4#p=T)O6Hl1fWQ;%Bu-ZK7!=IN|M~qXZCP#Jsgd{jr45HEPv9o`OJh{
zTa}vtlD!b5R3NiI6}VHti_x?LsKQ;lw+iH8_pY7`A7F<50qZRdE0d%Lwxr~VEVpzN
z5*E1p`mqjfRfU-3QYW~ib`{8~EDrAwVb)v36iOUcq?Wv)e2IoZe)<(`fEtw7yr*3~
zYg>BZpAjrM4y4sEIA7pPN;<RrazJ*%r-n4SD(R2xHCe|CNB=BBSw;-nE5Nv#&bkMD
z3Ss5K<o-_9hu;^E2oMk~kDSBCm59)HJXPzk=R>Sj{msk?oeUxZEbq(8Ko=etZ)q$I
zI}Wav>z~Y3hSef1a+10F%3i@4(@3zMuvHBOG4UKk7diyV6PIWmvn651qY4@1+wr^<
ztq)#(@p&rfh^#VGmXY8Ys^8}*WlCFOCw#Fv0}gdFjm)&kBf3~GB50RcH$2WPXqyd8
z@i?P1e9Xa}=E4MbRw828baKd7dG>|RILrX&BT^HQWRVGaXviknHzHt<jL9O|4M;<T
z$Tq?1Jr@q{jp!orb8kfe6<msr;w!Oi;yG=bSH^vv+eQBstwa7i75Q=TZGxrwKtS6*
z!y1>6)(vniCz`3N;0cO5^1$t@NKamlIN%)y&161$<2?+Us1I#-Pm-Tr2zjP=@fNSl
z=$f?O9102V%)Jmiy(R`&^A@K@f4~DmhMn-OL1LSs(e~+(dfPKx^gfQ;J>mjfU?X@q
z0pa%;FwVMuNP8Ks%n7uwF*8?WIka$B!mlyskZxoSos_wCEyuea9|8@QqRH`Q2V7UU
zeVUf9F+4{K!)V=QeR5H7dEG5}RqRKs4;RS@XJ-2<My2SW^-%+r2!{iN^l@nVtxpU-
z)Jih8ZXz};w=e#3%aAvzC!ETP7OBtxR+;^y5gBzYWs}DfyTw<yDpF&XD-a?88{GIq
z6yQJ|EO9-cBR?op0XjreArN66Vf9}Aeh(Q;^DbGwXuq21kWZovnBOBGVs)H&fN53k
z$Ucr9flPNfKBtSTH^|3H>!~U^1-=R=vH9!1rfLnrbo$V67Tfwu%cqrbZF)xc)23Wk
zv+@CPd?K)XzwzJ@XIg<m>ZhqtiZAb*D)pSqx0ipfu&3|VuO<0$SRE@^4YfB{arlGY
z*`(fyO_lPJ$uZuEfCOfjMWD%xvnbDfXiuZ}Rjrh^M$+1Bk^fWAps4AwYdeA}vQ(n~
zU^WO&QKS%Q+Jwl6Sz;cDGHIS%2&qb5bf0W)DF*XMhU24)wD@suGO}f!#8Y~h2<T7h
zB~1eO4cqhan}M8^G8LM~a@L!2u^LdmBlI<<7;`s`Re~A$)u0S4i+szFuTqIMAFJGk
z8R8DNGOie-6O{PMFppFbhxp*HH)9X_TyGh;Aj{pwU@_M#_Zg=V9j)%|qrp6TXn7xm
zN^}GIzM<GBsm5L)eHCTR{vd({Id_rwwb*c0`Fsp^YTDyB9}(x0AcYGCe(FF|`bK@>
z>%IL%8`b4ZZ4E?qlLOGUK~BtJ3$h-X-f>@_WK7U+-IDUVov|dE5cJPl5ltKeT|cR7
zDz$zN@Na60)gZ-49jXLuPES3UvNDob#}I*m(q<$a0desW3VKgwS#y}*_H-ngIg+HF
z518#VBYOte7L6Y}L7RU*PP5vEdT9TQ{C*`7U&<<8+;}3cn4Ua-kY!e6*nweOV@8YA
zN{Eh^W{2))o3v+@zKO8dI82@He5r1RG_jqz)VM6!dTkEg(dCn1hwE8C;>Cb$aDLWk
zvay13(X?f{+vu9v+BgJ;SY89zQslE1ZMiufs(pWiS`ce;K>k?Z$|PzR4UzyeazZ9+
zCRu6WAny5-WOHdp?<1+|JIF&_vOmGPLPmk&n0f>Fm0BXpo}lkSdJO;h2B|uJtv6A}
zI=(LqPO$cA3Fk=H2j#-H#;xf#Q5V^nm#0@UMkE1xtY$Ug?yJJjnWbj~VCCzAtv^!-
z<sXlGSvqXFIL&_OGSN!0*p#z&Heh4WzrWtNCYCKikP}k?6$(p9+XbYid*$O3wA}qe
zUV3rK^(ZrJes$d82mt~{W!4s#g6AQ%y!+g=ik&QfW@a)lpHvhUMuspU=0&qt1IkA&
z5azmuO#@r?#C(gl9B^LVncN^YQT1yiep7ZJ!B{=EEps=M)^M?le?8bb(_)}&X7zc~
z+NA@XZv>bJZ_H$`mXbc8`G9K`)W~uA$$@wWkmFrrcpno#y@!V0=5W_U(}IIXE(PRO
zdBz7ISZH7A(rY;J&~C<ZnIQ%QnISe*kqT(?oz~aU{zn<ZZkMv3Ij;e8dSvmnW!s-O
zV?X_?&{7*H83K!=qU{j?Bjba}(D4L!oGTI9nVE=N)zRVn)y9ivC~HG#SBp{;kZG~;
zlglc?at(xFFR-@T=OzKO`OT5ahI0OeY{tFf^82HHEl)@zGfkhEn{TigI34wU4+@Pi
zEcHoYoxaJ<5rs$%Oa-YBsi28Gc>X5O*Xg?!s#_JY=Q#D7(vs4*{{PWn4NtHYlHc9h
zi)&+$394>1=(U}pqJ<X$^APwei4M{v%!ui=A)+;ov>3JBzZV=oXQKkdG<xP1baajQ
zn>Fqu?Mza2k>PrWaD?T$k}YMWuTr<L8Lyj=FLx`yEd4$lYC=RyM@3%``~E#*qt`ya
z$Y1wmC=#Dwm#XG&_+@cVv5xczC!??89LN1D99FWRQWCU%z>ParuzheEkm@j?BH&-C
zjFf5;1gun5(auDHl5fCF#<FXQwN08}-*8abki++4>i8tRsB%$|z>OWO&}3{ZpXRC_
zLg19fD`M&_Ox<=N&lBPqvxHpV=gQq0W2p{>0iirakF1P~E=(e^MS)7eu8e1C;3Fnn
zQ%CZifSARh*}*s2kU{VB;Gsy&(!-|yk@u_jOs<`Ip{4k<oh3*f$Zf7~p}|5y@aKes
z;E#0+3KYSgUrm85h?=<~N-jGNG&83x%lhOdbbu*M?D}wX=RMv{hLYkP?JhKjWDU~a
zFX|N%12Y_&N47pr?rD7t)%M9fF#5%QB6KAlHn(=wliK8!<vOt-w%hmkGRSgMMe_l&
z23-ROR63b<)|{kmL^aTBsgki$9q+SJ$ylX9@bNT{IycFZ#1~fLlL{98Qk9JjY0$I-
z&)SS_EzW(nqeG`sK29Ut2+I=R5dq`9xhupU;EWTbFtMTkv_8QC)eE~ae#MQs5u^nF
zS6J^_qiHL!jZ^bstP<MXcPdwEn{j})XF}n8BYbIP%IE|i5UC6k(pIHt5{p&PQl&`p
zw=spmku<<P?~eTIP56fCvHVCE!rBrrUZq49C;ZXLHTI9$5dQZlECxU%PNYRppi0Rs
z1~%;ZI%!;&K*1(mUxmj^i9)D1`p|%Q;Xyr-*pN!1idbX08l*0<SfL4V7;|EhDGvEr
zrg@J%Zki#kJtR<_2*#Mu060Kg&Gi)kN}z@SJw*aWG)_Xh=y-MhB~`MdD8c7LnNh8L
zm?r5s(JV!VQP-DABUj0>&AAEjO3|^6S)HIOd7B1Cb-cD<4mL%KQ~^YvtE;3>l=gYB
zGKI4yM7*{DOqDhQtTmJFJYC8kXoCf;$R1MPxqaCZ`3keL!SUSQc#k*ji6KpM3gow|
zS4+ZsDI-}!4_fpIl2*j4O4Ssp8K{k4^1ZZ7ltwCO5@4z{LQo(UtREPM3N$SgT8BRg
z+CnhKw7+j5bq|$>31z&79z9tCRy0OZt59!ME_%ASFy8A!TS29Lm<s8dlFH!JEvF<t
zUh<H=kEms{LDIfl&3c8XZK;aonzOGszVwAvvUsl|Ruh0_o0E^&441y#MN#-r8nAAE
z36g{^5+|;Gkg7=*?o3~8mnZg!g6lBUI*rKfI7}1u0zo}z18c-gHBdcc0R78H_<P`w
z!g#rC0DVLbRql0400X;ip1e8Z1r{vK?oukS9}wYpa)qAHPnpfx4M6Y7lxKyk&F<n}
zzyv?VOW$sL^w){OPAn}87LFE_qkYZzk-=vpvb;9iq2F)3<||QZTP09O0e7ryfo&-o
zJS+9g7_%D#(s6>C9i5=f7!f-<UenBRfAsY0$+k|r9`J6G_aGFa!iCg~1^_Uj)_WHe
zUc82h!is<42tkcJ-G$W1haFZ7nP2T*frBye8`fi3ha{auX<yVU&+IXXICU{m%$g+q
zcP4ByQ535*Rd$)Fj#Du^j^ZnfA4a+iUP5qX+XdQNjSz_hEnxr+DGBiN7gOw-{DCHZ
z=iISk;IBi3)qmzZVZxank=+a~HpWM>wAX>z*2JhQyfMKI98YD9YOl*pL&Da62~;b2
zTPFj9n?oS>AsZiD%jTSLe4(1v8R6Jm6{|BFKWtLsBeCVYT3H{9<Hj-EcXa9xgorN+
z=$2G^mCJ(%pDhi>*6nc`8$fr^PMiDwnK>D4^M2;qG3;*q56D^rVE=Q_7EG=2HsH1>
zvaC42&{`5etpgPl8F4<-9^t~<-{q6Dkq}>)g1@gLPF^GXxto>{xiR=v{4M>p*P0K|
zRfR0e&ON%wK%U2>e6B?32{iDh8ge9OPq=wJ0;ONZCJ8bG2X^iMrf38}pO3o1(B5vL
z@A$yuJG%GS2^z_8pS4J1SjsW-SSGK@BBw?_s{0LNwEn#+tFdqYXv0B)d)Oc3zkk*4
zf=Y7mUA37_x+>MlfTTxrBU}^{Qtk3K%U1qJ2+rrirpuEj8%EK}x9Ofo&eBgcyj*wp
zvJ<~Odl&cT4j9HXXrbCLH_v#c=-U;W%pGHo=Ue~s)Mz3~F;9@fL47p{Yo$U8E%D1|
z>&shxmi#G)5Xm>0&d-dvWVl6lS`3aIq%<jO5Ka&v;s7KbQMt=*a{j+4lz7~j;DUDf
zts;;hPX%t*3A2+$AhF#H_PilMr17~%Z9=FPBT=YpU7C+F5ctFR?W|)G5OX2i7_<FO
z29mbGa7Gk=$s7jH_f7fRm&y))U2*RIHs()Gg|67FziSa+rWyU12Gd&~dgQ-XBFP);
z#w`sExt)YmjzaDim8lRO%d{tCc*Xjn9v77wkTH=D%P8svw!$74P}P=@>M=EcobzAR
z#;k}3(Eq(Q=>x0%32j(O*VMn%^`bGf{3u3Y-LED&;+JxV;paMVsY;LvwW>aFF<bu@
zeUZo8V|9OKrA?R`{IU11a9V-U27bI+LK;3bhKt)gLUp(rm4n0VZ=(yH>O1Bq9XTGs
zvAASt>&8}ClbxRmdfRWe0_mo<;lJ9YQR`mV)X~mYx_OltwZ6Tq9U>*0bX1aqPFoWL
zzxCXh-QRUTFF8zdz7>G)spHaXeZ;&RD)=$ZiQUbpJMYA^y?@yZkJ(8AD2j_xIEGjS
zf1$>y_RNzxEGdg70X=p~aVNv99X1GQaZ9e&7<3W!K$Q2$+xZxpB`X9sE~=3d249b6
z#YOniW7=dNM!KOJi(E4jcJ>>~d%)Nl#mT+%g@Ezi8pzYFUsFKUThq7QK7c%1vv<wL
zQ)_<?CG+0%o$ht&t(#cni@yX8`@Vkhu?g;tslDYoyHhV~#g%{U+7QF^vUk5UdPo;)
zN~D#?bpoI|06t*=uSb-<n`Qw2<$E{tS~(NQt!gXic;yBo%ihmGf&$7q4`A<{`<WEQ
z>!;Y>&tQTEXg+&x7d;1`%QpB6y~Ve)+qQH4W%SBvzr81F_JX5(#!`?NYmZX-Ft+n{
zYiyy2597DdgQ|_fLOJnui5#g3p)^_7+~KO!mudFN8EQW9(=DIc&UBuFTjDOkvji`k
z3G;`i8CJ9|!Z}NvLMYeY^o=&PjaB#pq;3ipsia5mn_Z(6<=J^syKpd>w1u?2f=yX*
z@SX0>#kY`QMkmK?2D?S?r`zN%*kT|P@LhlF*`lxa&F{(Z?c;wyNdGSp9mD@ER>S;1
z5YaLJuZZZbv!#--+7QNWrFlW(hLS;x?nP~V$0*JWvMSmqQ-Z2njLVu;t7Qt0x61Bq
z^~0D!D=E9CXz-Ya|3QBPbbhSikA8YgVOV>-(*1l~c|Lxt`F<Rl4z<y-^4Z~kJ7oQM
zxb}S6$AP^fASX?RUw^-m?m%kYg>t}aU4lLW{W$l$xxQV>nx6{L{%rCbNIO}C=zJ&C
zf})XX|CvfovVG%ama?i@o4VXVqJTcqrIr(H0;oIL`_~88^JjU8`vu-}Etlg6nQ~pv
zz)$X;mm(w4^v&r?#3?53+k7lTQT6DEcaH~fG^C!>89lluWopbEK+q^}3a{`#k+xId
z1A-0s?@8oCUT4z}O6{te_D88`?qq7Z;xJQj2OEMMmz+u4aOhp!adHdW`Oj53_R(Qy
zJ+eFd?5T1QfY%RE#9AI|qVTkR5k|jpw(J~vS8RH=AWpU)U}$$uFtQ}FHCviz)U|id
zqCqLr$#ZmUdUnW1m^D=;2?i{I70T-!l{5pd!c^eWK^vOc5ZFkXE2u;)v=Ysnf3<Bd
zGk$|?FSKF5WE|HuW8P7S&))H4bsd^@Yio7G<o3J4^K>BjA=GULTZ9-ob+K$^a_Hby
z>8T>NHyLTr0^uk(rea|K8)6$a+;YcTO=<=fG?7J}<#iju{fPw|HAzMD_z-QjxuLs@
zCv>)rPOqttUBG*u+&blgOyU9o#94Pc_O>d?8KaApnKLT>&JYWpHD#XNQr}%&qt?aA
z{Nyo1jiF(Yj;nqrTIJ1G50{kX-x^u|VTN7+E??;$A_8u;Sv~q%qN|{Fx?+G1f!=M{
z_gIeMWAJ7tuBce}9gjlN>ImtKTE&j?74<G`lYv?W-~$Af_saej+?+4nmTNqjYId+`
z_`uANv|=&i1ValQF70N^#(LWSS9rf<G+6_@yu_JZ#n`_k1iHSIDB8x9MMkXSgr?dt
zl5w^pol*idiM`WY8BvIZu%ig$<jz`@CNL{u4TwM}+7XzAv)$j&1`rgyl${rl3@V77
zW?zhf9tP~{p-UVo7{Be0UG3?`33{4}R@9P&1q*cr^9J)@*Eg+1oA3~Ig^Z(y32Oqb
zswNQgr3jSi?^~bc6<7&$Sy%O-n}3-SMxdc$=m`2S@la6FY}C^9ZXptis8s&w_U+~3
z0+u+BH4m9a=PpQ<e_|9)|HkmepPc}W5C%TPU^{tJ$|H-OXDR39KVo|T?S^Wfn06HS
zvFD#xW(0$R>i_-O`a;Y4vg<8*;g;jMG{TXJAc<3>fHQ$vKDMAttWImq8p(tG>z5J5
zd-b%|CGXE+=Uur?4+)6sR=4QQ;h#MH;C%vaxO!(IANs*qslH(_C_hN&C$VF3Rj=x*
z7&<lIw9Ki{X1uG`Aik>WiX@g+<Cc5}e|z;1DhsUg9{uzq)Oe;2f0mJ`jmgQ?rbfP+
zGYY(BTVh46o5t46)%ie|#D+<ec5AOr`&6(gpO56=B!m|F&glSdDOh&a(`sk{BJkqY
zc19FADVMbE*?GE`WKkF(;MHN#Ab@k1Js$H&v{IO87Z%8BBT0Qj^Yq}7jeluBsA!Xf
zdWgzxl}pH6<5Bq_yYDKa1=Q`FbsL~o;|cPf>poLylz*GBR?P|V&XjHzf3IDolwk(P
zR2O-P&t6o+U$-P04|X|^G`66fzvk?Uo{gAUAr@S#Q1@@$$-Z<fuqVaDYk1dZY<EZ>
z2k^xwSm#zFEu<&2+!Ovi)@#!}NJ+RO?Y3y!N|gwb;w5~AUvQGgkR|>O`X)qp@<90}
zBWT;|5DY$dfTvQXdf)~AW!c~cAqN<8ZeJIvbFgRiNPLi~-OX!*Hr5Nw?AsuqZm#16
zE8r!=3uFfkv^TXv6xnqj*f=a1#QUTd)_cWL*Z{oOlqJ6&Sg#3Fo*j<2=00vQ4~o|Z
z-CJdwf6(L3WfNlcm@Y654^VH3Bi|m^Tlg>Lt7RM!)Y(8=qcs|M?-<NpPSH-##@)me
zh~A7c5fsI1@@eKm8^WPYCErGsC=P^*U*lRdIr#tIfUR(ld1W3gw<pQ{TWwW{a8SVt
z*-vhs<fe@AZaQDf5TjoGSDaxlLmIN5Jsa3v>ICZ1G87x2grj=6quUfkgey@42jUT@
z)zc-=Ewyv8BD_K%|19Q(cnjm)iHjf}uoevlqPUMxgd2eDK3p!g&wZB+MK_(}T}=iD
zRA+Gs$qv^oi#f0npr#Q%lJL8k#tnGvC*oA|U*p7v|Gk*P(#5`?AovLgczdt&TLrW?
z3RqW485oFbUqZee9mM^fbs_JZr7AX{kZ*}d6*=&~O>*F2Hd9Y}$MuAnrxp9eeAOX2
zXjwDL#qZ!P!FEqM9)qG1#tz!a>X_mvw2gLd8j1B);QqN%UA;Kee`)XPnyT)q3+_7J
z(V0@l`4;wz5Azsfl7)Mi^htJ|u{_2r!D+yJ6|)el2K&wt-~ya}NP>IV$G^^O2lO+W
zkG15w+nh{rQwc8w^fbe-mCzK>zH|uQ#R%`};YSN=2X`}mY0v3Ws_$YSY!PN*tRupP
znE2~Ty9@Rep~G?!4*uIP74`>x57%NS><(~{xeh{rP3B6rwY=~#?nJUmc2QV>+U7I^
z*Og*>;FUlYHfJd%Z-^q-G}Jh+57<@?1-7QFcnEL#h;0q+Zv?qxoe;9wvB<epPkB^+
z_Wd;n`GPY~PHn57ln1$m?nE9T4hAVBWi)!rfEi$5@=RkiDh1HT{~@bJ3~g38>FSx^
z>^&{g1F7vV!ta!@Mb1mn_O&#Uag4v$oiH)Ac~rjuEG$ojUW$CEvvQ8?-7wHh5Tx^y
z{QH87q%*4|YA@oXs)PoGaCaa<xk>`dm-*x%m<IQ4?x~|J=;}6GV%tXwjQ(eWC=jF@
zPiSjKvVY5HEhqT7vz#J+pq&C@VB!oVzYF3<l}v!3X7RSc_|O{@b;g4la<S5)z&*;n
zAjPZ{a*4J2qnX}@!3=irp9OF5z_zH>Xx(57IKOnU9^@eLsrH1zW(Q|V=H)*v#zCzK
zX#{GAf}yR0q(Bq*rU~d9VG&<h%85DG=Q5$y)%N2_)KHq7R{G(2<^iO6C?Vy9ZgtJh
zZgT2bgfHJ}?i^dZ%$4-)zuy7sFpxpE_~9xR1gcJ45caVgKA+6y&-kX>0?ZC22AWzM
zg3g<pXa;?sDjN-UGvTv~jC9Pm)Zz0fIU<)0t<o>*t*BH}|NJ&JtCvge3Fr=+V)DV-
zq}trt?#V+Q+GqNMjb$;$xVFuZHB7qhRzG6cQMG53UNHVDs|V(F&&jK6#kPDWzzl9#
z^K`cytrFc3ZWq{$)+PGF*&1dkkbbr5^-RTxW!HN(PN3_^ncyKQdBvo5i28?4r}#nC
zUy;(QFc6K`0i=c&X~1d?4qD`E%o<i8;#Oe)BT-6>B7v+7#<o>g0z#YWbMXKBcYsY9
zyTE?6B4i|jX|n)w%p76V=ujFkMaEDk=<?J)4-;4tf=Z;4GI>ncDrnA7VrCF-_1X=#
zY#Ktti>>`t&IteT;T7H|A6vgJN?c$z2f{1RQ>W}@YUT$3bnrFm|21>}YrOs^5P*@D
zgXRAO0x<s%)$5u6ch&2&rJS%?;Ky$N;2FkhLQ#40wV#Ys0f{7*<Wr6itVbYG%8El5
zzy51x#;hQjqmEkTNS8j@Z)g>JZ+pLA@+A9k)lY__{*>aAqhoEueLMJc914A$*@B6q
zgFPQRAF($B2fL$EQ>G*e-FcjGC&O!JTXtYjrz=OhTO2use6yfpe%4OpYa@%cB(14G
ze!17AHyCWGSLQe$Z=$EJY0*t`+xoR|O?2av=&9S+9@2K&3|s&`%gu7bR$9tt*+HRP
z&FXiR+T|oGiwXFKRhXCPpLb}ABsE}`e42O;k|7OT)alW^s3v&m_XUSCkGsf)(5pZ?
zaHx@C3U9?QcDc;PFYRlZ^+yw&+LI{gicgPC(zVFVbW9Rg4@MKu98hPV)%!M}#5B@x
zciN#}1$u?S+$X?`tWS211=>G3-v9QrI5^$hvcj<ia<X-Y0r<8A)CAYK1i8!#2Gg--
zYDtVdeN@dH#S}^hxwJAf3KOMEf<{$wBSynDJ7!zjg8jh)<PA{4Wl$6nFI+Kn>=;n8
z3szw7z&_40_O8c#qT(f8yZ+l_s4p`+yBo6C{+RobESddhV8W!`6<_qsYN&eRV<ZG+
zS(2D7&UxyD06eAoWHi`Wkn7w?P>{MCZozn&pTQ%^nCX@$5xg!yOMRDlk#5etGN<Om
z2M_hX31rI%f0JY_q-YDtD})gCeR@z{w5ZORjcw3fF)7bYp1?!N?6O<3yC;jxwyrRb
zyGv0$SYe#GP#YI0^|)Nkq>*ZC1TVfJa6M;JG8+d415s>Lg}x4}$BDi!@}WWO8V%c?
z^D!I)K5;UWlk@qUMIei$9lN76vLoL@oCxEbqm+ItLa}i;*iA!PbTF!LFQg_c+1nI&
zWUfy<w3haq(y|A)a>Za_dFe|N-jsx<X5cI<a;{Sz>*ET*p1Ojx$kdEplgE65S1lJt
zv%p#cv(fk?wWHOP&dOKIzmTg|@F%EH92%aq0L<!FF$dP529cizlB1VJ4nWsy$d4Pr
z-;N$K%QqiiiX#IMGzF(Q8@)Bw%n;ThTa~qHXQ^jV5*l}W0=4`uLrp0qi<^*b>*gz@
zqvYAgu6(Pk>Z-#l8=OY8z0`(CV^HKt21sDV0`9c|O~B8P%nb~(l0$;{tGU9d`r)<4
zTC2KE?SZ<W!=PbCPVZvq=Dp9e4FiPTUr92Lxo2UzMZgHqvz5em4PNw{KCUKm-wtg3
z>6nfY`|9&wt+`P>)HJy9R4|>w@yg64tRI}Y*)`~FT1x8BjtMoAx<cP3_T<_QvQNA3
zj{xC3QNoz^vlPOPyf=lw{z6*`x8c{{H-pm%L(2JLr!Cd%^Il6}&>lsoP9+R0vH2pw
z&2CP$%eCCvCqLN|Sk;PNeo5CC%_|lE9U<nt%`sk-?yYx5X31sJsri)PG;$K#J?e1C
z){Duo=pWG=ph9aV@BR{Sl8;pD?H;}#c#CTU%G%d?=e|}{w_}}K!cNqPEBb)G9;Z5n
z$^CH5#_F_A@mW(C;&{&ID%r0{HX`h?Yq<L-lr-j0R2`HydNhZgPUTG_1C7AO)-WyX
z4hK4>F+6<U8yT@tSz<ggY`7xdXlU4ANxm^BY_!h<hvb!^4k7f(h}6^$miQ%I-8{j8
zfP$0|vN1GV*i>N5hm<hSL3)a!CHarZfz+A`NsY8tTKhLW$y1Dxfvq7mF+Jj*B_bi+
zc1V0$l!k}_Axa@g9D3Mr8NM+uY;y?9!d=fBA8v;buBkON?u)&;m|*?fZ=^td)F5Hw
zt|2c}{0tiAF^~rL!+;&B2_=*YS`EFH{*#`5ny0#i$h3fw(7Q84|F?H)YEc**hCc}k
zGGhN9WA7LwOV@5|w{6?DZQHi(?zP&sZQHhO+qSt{t8w~ycI>m``_B7g|H-V3s)(9V
znRDiyqwX=TDIpV;#d`=eC<(<%IMaMx6T^A6!JlIz%P<$OoM0pVdgS-Gsf-x$y1KJ0
zLqpBF{6j>VF04lEVWGGAzPl16gkSTS{(V@R)G)P}A;saWZbJ-7Ih<qCAcN;@GJ{6T
z4~T~dnyh|9JR)kagn#|Z42ZhFABBeo+xdlJUa2>*1fGErrIDRw^bYd1P6!j$5gPEr
zL{DMhCj)4IKJLb9#vTz$4X2J%!|_qa!IR@(?AWYQMKI>=1#^D@g+>iwR*4pg3KFRr
zI1CjqT+wgD4<bx%eqjK0VG3pD2#fZYQo~X>PTMU4O!LY;0_@zTZ!K1k#3d%seK;lR
z6DJFD6KVi8Xcc1M;dK~lAQmmC-MQIfhydgh?VT0Oume7@PUD~BpTT1>Ld2^Ejt~os
z<uy(O;%BFO7P30khFOoffk4!=J&Df;i<`#{=lpDDz@o+d>L9WK4K?dU4-pBvuv$=q
zs3BHee>Sy<LB;=m!_f*v1V2%h-5}1-sm5Rgi&PvGmFYKHGN{K75CZGi6egrVCroQ*
zRk$)YAcaM=+jqw_sXuj3Cu;OJ;s=VK!2~%0)h2sJb|5oj5A!SQ{<=lfa6QQc8_X0H
zNK&T;+Fas>3uzB+cB#@BO9CZFfLXLfg>F<79*qbdE-Nt}9xzf_tj`VJ!kD9lH{HiE
zMS^Z@!HW5$`MIbTXnE)xPYE%Ls>O`>0pi;@0Zu@%NuGfn$P}mn)B$P$bO2xd0n$7*
z0*B@X7t|AFzKQWO&{%=I>Bm{>T6g;;<|;XFTmdxkOH>?oTAkiiXvWZIxKL}_0~+$n
zg%%t>LQo;XAHAv&2&+&IU7aZ4WtT#{l88u>2|B9-c^Qbjn?cb>1axvoCIYfw^0N%)
zJSWBrE&5?zx*EQ$v3u9RjBwbvP}rOY5U>u-1rmWAa%A)c5?f#PPw=Ir%WwZfmGa-o
z(M&%Oo&Q^<u>ObSXx9H#a`d&Xb|X$RqL2Oq@w1~`1oN5CcxF4P93q5+Qd|HJ0ybQc
z7odIL{%)!EYRYdj-b&sU;fcFGt($m0$?7k@W|l#DPqs(iLElARe4d@|$MoG@yx%sy
zoqsJy2la0jeerm9dOd-`?!;@%%A?kHy;>vXA9!EPe(PLSqc@`8smHqN%j)Yu@_N#h
z=GNA%SEV-%$nlTt20#Y(24^QH+P$Mi7B{b4p06)AqnMfL$*gMpQ>);!`;M%D?xpiS
z;%Kk>{2rkcaCk)6_zRYk=%ltF;|p7DQIdc0QnOZ-w5+iGS!vD3OjtDnHovCL*$oe-
zV`1U^F6~DcrE1g>ie@D?hYy1BQ>~PcziM0dM`haW|A2?%GUWLUwtv((ti&Za_8$Vz
zQb9W4WvTkWG|Z(ZTBg5`3_cR@#*sK;%LCmDfUghcKjn&{17F*^cL#E#^WRejf8VWs
zg@L(_?q4$lF#J1~cE>aix%k(7yXEPYb#y6W2R3=-DsydAq!wNC6Ze|Vjjap_bL4eY
zq+(WS2?os<I(E0_KLyKDJI?D*!D7$4r_RH*+CAqv+~?u(dWZQH&VAc1Uo+H>;Kj9P
z4{qS{W}C)p+SOKP)RNFgOFHPl`JR_RyR{c5XF=}mAdv=r>&UilWAIb482nT${@}jg
z+XZ({Kb?t(=GQjRx5hSEn1j)1buj?BE>fH4jsT>rbU<7+w?X$mf*h<~<gnbJ)H~r5
zc!cv$$>QaqB89J)T;o4`xt@@79Izjg&#ra68Pkou9b{bz(cx<h&wDCmT3fegfSS2n
z=Tokpl;G%62Lp23b#O8PY<3rXt`4K51mqJHfh3iF<bndtf%@^I$Wdbcc-~(@ZoLjZ
z&yW|KOf%j{gxPrecF_V#A>*rHtg)<b>V!?&l-uhG!NAB5^sFG#ivzW!z=cJ*G^8ZJ
zr8xqcd9`H+6{$D}se&ntqXU}-1JUA;UFbEA#}uk1p32lL{gEm=!YY$jf!sp0ijl0E
zxx$Sskw6a{jTC@k&}fc0!f%6zEe+=7e4(O%M8>X$Dmw2U8uc?#b#$u&*CsZK)@(rU
z!I8|DfbyC?APPD}#0if<H3|KV+TYYWC#)h(wqaW|)Yk7oeiXSX@GB(ng;*#cGz<zv
z2sIA2383RpR4`_UCh=-vKUF>EIW6!cWUQ3rLU<qf3+ad<pwqbnd<oLld^-a*BfrG{
zHyFE)-Zu-^$G^35OYri*(@e~`XZlqHJ{X{JuF=D;h{cTB@ArNzlCnMFNaG%snS|aA
zK&gEb+pJTkUtc#+sOgU*;*{Wu;ei{TSdJVsj~Gk@k~YLH&}3|9To7ndujlsloUpKH
zy5yu^FdM3qW9^(be6UEGo|q%$*W6)Zw`yG{ZY??VGQR=d{RrOq0_lv&(^=Z-X~N|W
zITvLo?MYdux1X|BWKZ7|pJae_KggdZ2+>4C@fnGgHXil`PD9`M@1d-O#Lur;q}Fx{
za!a{L8gpiDT1`ao;&<jc;Op(eN~2%4hq35tz~?DtbENpl7T|^l#DwK@L;V}zzNnzG
zIT*!dv;r~qSCEFF{`T8J22uY!-Rcbb3|E{EhJ042j)ubiRjrJHA6=itSlec(PySrv
z`its-iyPCZA1F)LxQmoG<%6m?8hUsoPC#3)Z&`mj==iLimdAee67soIw~PI(?c5E$
zUJFv61Ah*OSmpOL2F8eL(;}Hw4qGC?YpPh{B^R5G&g6U`?FnJY7d$0`fb)avaab^L
z$7XHUx}d5LOB6|k>=LRW;fLZR?@b{fjyg)($S96BM}r1YL_`@3Q|hS{d^$!QLZy6q
zl1u{KvCafeMwFS)Q=QM3*vGRD7_JGlaa1sp8VBHt#k16ML6LZH8!4*M)T0~5`6L4L
zr!p9CgZ2}E1?X!QkO=z%5ud`M79>+4SV-5Dh7u9veMiEHm=*iQ=ZkDEi4n%AGUgr9
z%X^AIZ8U%r5bn&$WN{jCvnaFLRN=rgMh>*uAE1`n7t%bT!tN#FLskUEg`g`3Jq)D;
zG0-I_%N1CP{1f$HTdQzMMVau+C0-<Wqn~{K(5qgm5A}^JiY|N^Oc!^)aLK~96KZ|F
zrO-xKFHdk`EB25OG^h#QF`fg3H+P7m)PN4MS&6IoAg|B?1!|@OHJ{-#!d!grm1>tv
zc6}lUH9jH`JRp)Wk2?QlRgrDntw8KP1ev<{?TN?Irdf-Z^bL@AiyA`%SpZEFC`*A^
zkck^}1{HlIx=#xMlU`DkU8#u643&JDv2hB3`p!n!MU3ue&QBt~ny-Y6A=+l;rgw?N
zSim>h&!!SPW#UlARSIAR>l}>%9WftCh^l~0Fci>;Qxr@dLD-<3h(!+tKo3C-w`fM0
zV2DOW4Nxcr4dgZX<|@qL*G{yfFqWU8BqBgSa-|us>FfE)Nm?khp518VCAlf$)QZJj
zxa~zTDD*=e<P^mdB(uc(dAcnuNW@u{o_AX<(~D>95UXt?<)8B!B}<1bYk0^9&N*T<
zaeIp<QDL5XI%-p}!tkd<73jy;8qyK|9wa`)+f(ghDmDS2bSV6Vs|0krgIc9D9Bh?k
z#;NRH^g0oC60i?A%{dNccEDAwv(IkW4qdv)*nof81x1+}Wjw(ZYeZD&Lh~ebSZvaE
zpOtHa^$i5v=&Hq<5pv=d22x-ZR1vu85+An7+Al)QBZ5o3ToKh#JrU04&M^ZSj5E`i
z<PH?eot!;!Odls^-S7t+hjN$zhQIQq#DeY;bb46@cO0^mHS#5&f_Y&IeqB)YWll%P
zX2+Tkg9))MG^8v#pD7upEfnleAfSYU!t2TKWQJq4rM^U#JfRd+c<O9{n)>F0OX#5|
z(l5lPCc#1eE0H4_64>uo9Q#4vW-AE)*8N-!MWH?0S8}x^gjdmhe6|~Cb?li<-NZY3
z&I!jdR>ZQnGF=%;OvrBvJ()lkhIji(pe4f+5=NGZU}T7A4{YP>9xzGdthNq81Emv;
z!F2b4J)U^DGFkR6#w8a+$c3kGO}0GfFKxN;1K3sdM0me45MrzcKpdeCyO4Oh705I@
z``BayZ)_mD;!-EH-W**BOsGFnOpwc^*jRhIbLt~~5oCh={Hq|JM$3x=$WN83;=r<V
zwQ9JqQQ+R7c?O|hQce}9zUX?Rg_gkI1zA*ptD?*I7#n%qs3Ovv-Ws^@1+ts=1r~e^
z-_z!*l679B^>uA#__&rmC{;@``q~npnYKzG$vcA9EU`dhKwg&tQB-byRl|LN^or)>
zOckh1kZ*x;&SJkHAD*MA1Xa#WQ4}Z2*uv;0%7kRm)`E&uoX6edngv@~OSSxuiY_?f
zvQ%yT6nu0rC_$lJ7y*HOkJ8jg0Sp(T-It*OfOefq&`%XRLJlZ-9y-Y%FHDoS!Lw=b
z<Jk7-h@63H7XDIn$ef^V57_o>5E1_AM|-S4OJEP~f`Q$UAUdP(yV~xqK)UpeCKGrg
zFP9*w#M5O#20C}Lc7nZN@XD5(ovl%P=jM}&CNDoaFLeYCsI9Q=xkRONV)Smp$ykPp
zB&LC7NvVUktUWJY)K19Wq%*N{x^Z(EX!}A6gW4+3e)WQ$3ri&gfm-G8_K9#}Oy4xK
zb(Tjz+G<hJl{>O)M{yIcxpVyJcFRy%<yVw5{WOOKQ6q3iK@@C1f~l-Zj)TG3_LU-0
z8Ks|-THp-hc=xI<YPBo|N-rxF9Mhzx73349Ruxg@jV6-PDi?H#S4(*1s;?8FK2C6~
zUdoehcY<3W@N|`kDQWC>Ku^{A*5QNXQ35lSsZ_zhS*U`+noFe&gsQO$R&<fXL~xOw
z$ZL{l8Zd~|#H5iW!gJ%{g!>{qn~#%vc{(oO=8yfH=@FL=e0pzwU=8^8fQq#~Ne3B^
zDl7#YUTheQafh*<-oQOe#$LqDT?i6+`~0KIYoya2EDxFBbmQM8!SK^G;?a$7CVF|j
z`j@!i`2$9p(YBsaHR<!PDCAtl>c+cg$8KtJ5`9~h>y%*?aqJdh?)d)5&E(!RIuePm
zmSJ937&)Gilm(TO2-e3cXd+(4DMU4eLI?;;PBfAQiNDIx-vZH$&55AjxFtLVD19tV
za7?s`Mu1PaNkv3;B#LOFAeg9v(knwyvZBv@EfA?Zpeg^}9UPi5N0uNyDFLy(;ND3l
zGJGNq1q!$F1yv~J_;$I(Oc}(XL>(m0Z#dG26Ar;D%`m?SfHH1Ok&U9fnE5;yjwO|5
z!ik1iHpM3W+)Etx`K;#CN`@|9TArhl;r36PZd3<nO@&zW-^-Jx2n@y8rD;P{Mk&&t
z?%yh?m0KDEs@rEDtfh(r-CZw!+SI6BdF!~H`OhY<JWaLO7;`=zYXvwRdE&5!6ugk6
zVwPZ+i{Dzdon;sEEsx=vi)`C~f7)5PjxL^_yat<DAJ~qaQmihES-tQTx{A6sirE*S
zilS5^PYTdfWmZ|k8yyNnZyjlMq@YJ<icT(25v1>xG8_bO9czmXdMDi}F&&@{AknS;
zc;WSp2`61#>2fqA676Lvtu<h3L34*|k#&LKUC^0vySUq#L$}~JsXd3peoeD}EcFzI
z=gJ2j3tEuzuiVMhU!<23W>_sN$`7(ER~+UzRv@m-h_PZ{2~fGFkd)_j-tpnhU{8_T
zMaGwO3h?2zN^U|^#%&nk_ly?)8GzBv0CK-y1voe`&`UqDJ%3^y>`BiZzikCV0Y{j1
zx3Ad#9zj3;1C~`unXZ44b9RQ+C^TWO%*{Dj^Z0__9R$lGDB3BpnUCZ2Fje?X2W;n~
z27X^hASUHN#kUeljg{SI4%F-P+!n3A#Wr8A#d=SrU92HeWzjXEMu~<<jaB<90oN)*
zJ}h*_@4*}5MAm)P@jKZ1_?451ya|Mw29G~9f11>Ibe3*K!5{witO{aD^WG4H`5DPe
z4VZ58z_Xk^vQk??2;(6F>6_B69q(ImF$r;GW9B}$#rvQ{+qQE*B)<h3d9KBo0<8pf
zi*%yO1OWc+sdhGHWoti>%>eCM9Q7skXurgJD;C=B4Dnt_jb3ycl<d`Ux()IV$X~}Y
z+~pdm-@_}1xT&uxxdZm%T8YqNNzIwIRRAKL)&m*}<tiBot11fvQ00~$xJHJn2tJ9R
zI^ms2;J~}470=Sn81y5fe?d{FvhG{-RY>W&w2^%lW8%Mlb7xz_M}c|<pIDgeiv(nM
zc*mg*RPe`(@5-H9QOh$ry)QMU_4ugK$1G6UPclwF-Wi&e=wIE09uEc*uhiZb%>z>A
zpsb`$`MD5Q<>p#z^lJR!$}{}5T0Zv+`BSM{3Ou5j%bjJpyX6Gk+7xqRB@QT{+&X9^
zFgUVdu!yFH<WwE9zub}p;{x7GgJ)f~jky9e<G@2n4uDI8Y)1zO!EH6e<wvg$gJOTa
z)Zd0-(HDtql*hI`9_Yw8*W@h)lhoqr&Ois2(Grf4_tLC36P9_nn8+k3to-3CNzr6K
z7X=B5<V~*vrE^$cK(0iX8f6Vy1~@j`Wmc`=nKC@!Hrt?O;qfd+Yoi9Ox@Lz4O}g{+
z;2!}Bo^1UN1;nG4MnWBEBr+t-Dm9RYrFyLpoj_M6rpgtCq>cvlir2zaD@p-zCdUy$
zBSe_2Lx5u8Di$n6w8FHJ><$jzi9jpb`qcd*QrUbw(N!pGo>MHFySKi;Lb%gk0zJJ@
z;(N&AN%Z~x?z)XYb#I5hzo5f3Q;bKZFLj^M-t<i4eC{^Ol*-22iA^V}SE$~{r6-H;
z+=)H1DP>|Rh-^j;&Gl&?G2yCu9}_|F9b2H|;FOdP(pk!hYieyceVFMbFe%{9dew*U
z*u>G!khRYZz3SEtM88`(2ftsXtlTDN9{t;3piesJ9zmW+oHUVvSc++FL{uCJD3<hQ
zR224p^dL2$xG(c_zW_F>5V4`j&aLeh9u5>4p#WC>RZ{9BMJP?;gg|cmy)){jwDTKC
z({`@r|J8r~RdxRPmx_gzk?DW*pZ}17$o9X?KpamYZFSsUofltXniS8Ln(}j963}Fu
z7No)*7hejvA=_-|%n+6=dV7fxAoIiK<mnOmt=v>J2--Lo9|ld}K#U-8;O)*GeewI?
zJLQ|-x9x4bcJX?0H1quVcsiAx>ih8eq4yNNmA{)D@LhR*UZd9jmcKK7SEZHejgiV5
zI{`1T#P=sTaq#ZPt~ydQb(h*{zIaB4?1IsgmiwoOm;aJs!{XqM5<L?^$Z1gBbvEkx
zFzM+oDzaH>=HvcLU$c{p$2cRw=$bBiUR)aDMnA4c{*2dH%=+WbaOHqZT=o0P4yzhA
zrsD7NHZ!Z77WgQXCI=|<M;1uZ?;_{d7Z~Bc27m70q}SpFzljDVD8=}@PRuW#jt=h<
zcCu`KoT~fsI;|~?B7_ZRihMA+$68xQlJAe)xTE2hf31Fusu(+<fGTf0Fq<VBT79v-
zv$isnL0g=?ZM|=2VzG=nKElASlh_wh7aMNaMldV`@a%G+%oh(Ym6^L+YmQDNx}@Fv
z^9G8>lI_)`G+xCjDuv2lYzpEEnGzK#Zif~U4NLis!<#H*wcVnJ5hnI#O0E5fK9y#N
z9^|b%awNQ6Zuh9)#YR$8v?WDJD^_ktrxFG_-p|T+&Amx*9xz~gD+zXO5w>$!knGt1
zxKxv16Gn(g@un@GSke>p4dnlIsftYtxfK`T=g1dE3J!SYCd=<o-?IF~ADS2ZGISfu
zi#n9_`+QH_+MUTb8?$QX;L4Enow&|FSjfN#z|vb@KzD7nG<mdxnQKs*+h%APF-Wvo
zlQ(Dn*Q9E0XQ(u>VT9@rQ)LJpb3(5rN_#FYz9>+ctopA>wS~cJlEf5d-&~DFg$pyd
zTcdy7M&AOtxd=N;)dbnf6q!HQb^ye*8$<rAVV{#9oJc-5z3TVn!YY;1PyAu36Fw8T
z8L`qhN5RyFfVoUjO#s*ml7&GramJ>xgM_&BIC2q`XgMW=KOaFfrjw0k1(R?x(6fb-
zX#iU}TX?35Q^p63R5DT=7mi3bdzgn(VRjkV1x?1-@W5KM#x*&=7XyE(2=ZV36LY4^
zx+HGfQjQO@*;E4>P5iD+oG8-XVw9iuw=!@l$E$HGNpOdZSI3%sz**!~a@KZ^54OL`
z_~bx604|Id`}`SGA?jA6Yz606s}s}^_7#u6Cb49H9meZz2eT@?)V8lnYGR~F0jjdk
ziIm<*L6v20)%>QZ3KL03vdL38dfdW}7)ajlWg^6Yx&=b}$<fDLkXVfE5<q@)!P}sW
z^XB8r{dRadl_6u3iHTxL43V`f(0G7$(<C71Y|=7CTgWl1O>M}Xnomfl;PUbkX$A=W
zt6Uyma&Z0W$^P=)WFEpyl0_bg`O}}8l68tPX4X_X^T>lYW!Ar%5OZJW2;&T^;*a|1
zsWg+!DXUmZ&!$8ySwK~(?2k)Hf_i}8=N!&9je~h<Db>;(g(d%Om2WDinCj@ZvdvUs
zsNxJ;ZF(y(OKjscQX&{xuk6Dk&LB3cxZ5aFA}AeV&NJmB^*FK5->>imG#k5{q8fn5
z_Z7iSJbaYMP?FjRF&|%7VV0>qszy%P*1;uNIa!dh_yDH6qVxb#J{<jq;wBgnBP+W^
zv(2c%ij~XZlI&6@gYlKxNl9{eji7C5b1!QV%T1%@WfchH(~9gAJ?2Wl9ECqC&4B)q
z_Zxxs3mbtIe>buWWIya|dp)B&=c)k#_DOvZaXsGBhdhRiF$M!iHePGNKTu+Pnw15o
zyCxL}wO;`s?ltX-I|o35b#6!l@IzD2(M>Bni~|hw(3@iPG?>vs6P9OHYws6U^dOqs
z!*8)%OMh463~LM8zM8Jy$R->3cEBf-<Q5{EO-!KQ+}K){&yXU@*NHYO&U<3g(;NxD
z)<KF=Ack(D_8tULO59=tvR1s-j7VVR%Q6EJ7)4T$5`RPoa;mgPn;5a~91P$i-!Rx!
z%?rkaSq=&CnZ!n$wcgp;;IuH1?^hGxikk8u9fMK!uC<4HK5K>dRtk&rI)$YnXbkA&
z<`fN>&AWhp#YMPNa&!C1TEN6fN%EJFvY@3sr_hih*-cnWwmu+XLm!Xv*Ahl(1cXs`
z>}Qfz9S^BOT9X&Egf!&RK?-`H0MaF2#3Sn{M9j0Py>P4w@-pU$!6@jp?BN_<iiexv
z#yYcZQoLa=eL`7z4s(o&b29UQZ&L)M@wFd%-Jz(AM>y@A=e6JA0eb-Vcbq;Abh&8-
z{83uKuTq9BBwTo%3C|8(b~nPtZwi|+PhjE_KXT?;*FtPMfS~&{EfJWkvDGS;4QxI{
zfD=&8UGsx8aF^%sqiX&QIRMB~o-B<3mnT`RGXM#`w{&L9g}E}f;BU1*fi_z{Ekat6
z@^r^no^EXc!0nFjz1C&GOlI3Hc)gSC$*~WM(6tSF_l@~5+JU-xcm=tq!x@cC2js6e
zmcz1bo$ELtoM$Hy)|H|%lE_8EdJ8c%sY>{8V9>yiezmSv3{Io>IY3xlLuIH#E$`wV
z^jMI=<>d&ijh=`*w8|+^w<7)EJMC`I{!<G|QPf`gLf;vNbd4@FnGt(TWulNOe!A}{
z7lEby${BZH$O<@X$WBo{LK0c+-La?Vs;?I3xmXx&myYIsnoI*3bi(;lyNTtQJbo~L
zOj}>tKH#4F>mD-=+M}1(9#=A()_OG{X6QZ;l^W7#R#JSSD9GAzA>I$A1C1OPQX=2l
zu@q+<TS}VUMq*NTjtUyxqOaC0*PouG8W01?5x+sGQ=OzLQ%`U}*Ms^Pt=kvfWs-AB
zuS0k2K&pe(QdsaJ*`*U~qwO9R$zi4osieC(HeMyxUH51Q7jGt7yqW>0RzP_it`<#y
zGIQwHc{nxl2(M!80nt@MdNLl`)D~Ito)x+BXbipxSy>W~U`1V5>(D5cMo!Thog7J8
zHvCcT^&;}reFcbf;8vCb{ZWF!PN0`}049QJ=xVfuBm=a_DtB!fQIY6)tQeDB1x-al
zVPrgQRgw#UjAK+g7(kmzcWL+m@l**73$7|cx~@inCchOdz+-`IF)~0onBF0zSDSJS
zZkY8e{3%?NkG|MViRVsCLm0P34!^lWB4ed$FCB<|xVET%&*WRmSf}<}LkL|u3svA5
zQu;Eb!?<&Sir*kA9i25Hc%-xri<(4&jbz<SiCCm9Te1s><hi4iguQfl9y@oi;{KOr
zxh_b(s?{_jQJAe#r8|AV8kwq;Km~o+ubGGWO!h?B{0Smgv&oR8gfE*g00`k2Yz_(N
zwUF(sFS3uCZY`(|?&hFfpvPa7Z~}@_?}jw>Y<(C$uCCv^l@y&;RixWk(n;OS8l1x6
zj>sc)X*ELxPzCqaE&GIHx}uGj7>?O3d=W(qE{W73IQZb0Tiz>b6Psht1UCFy7yNiQ
zDg93zV#}lrzYNEdDrG%-Nv0VeL=kxsoX=6BiWaQ+tesFvI5CGed*Kt<!j(WJ)hGos
zMJp7H5`{Ksl!B2mQ5H^!mL(I0M8|EJ8}J=M!SP{aYhkNZaK@N*8K|lWqHgupTg#Aw
zT89=Lh*19k(he>9-5|;@Q<RlS8<XY)B4)(;VVZ{8TXjW4Ruyj79)han$XqyF`#5Qx
z4(D)izi2{Fw`@HKlZpd)53!^%QcxSkiwYd4*-=_0D8y8Qm+9lU%q6Hns_e#YAkqbG
zU+gI9vl<A7L;|806n?olwbJyGr`JM4(j^Un3rPegya+&~-@#n3n^^2OUnv`*d{G;u
z>+dWx@1f7&vbadZnvW^?0aqyhHE|=HhFpt7o<$(=+a&BOQBXL*{My8>n6l?k_lJy&
zhGG<J@2Sb}3qkw(iCNszHt9$C!*6j1E*m%<1<I%uPenpFxE|G#LPsheM-?@peaX^^
z7iYY@mgr3JCL%4%FwV=Hn^rSH&Nd|Q>K!J{<p_WO=UfC}DNC9>k2I44AbXlj$uy>f
z&jeXo(w9vUfG1Pd20)sv9)B-E7UgwEjSbO(etihJ?bN2A&zmk2;_Src0MfykP0`O2
zo7{R+28fS_x!eRvlHwcR&6X0etK?j8|F>JYPEf?pl}JaVW}{R|Ngpu$@0G}`b%at5
zZU1UOyIdhH<;bU@E%gq+0##)H$4~Y0^ry(1X1x);!yUB+RH8f|RT<D7ayf6aRo0X4
zNM4;oPyX)4Z4J);!tZm}mW=yVwVD*ZWln^DJD{8Nk&}Xt%0k&6qUECkpfvX1yZ0$^
z&=f2+zQZ#e3Ts)s$kT)f7Bw<(jHe<iL3uC4#zJrhdpG@P8v@4(bb(OHd%1PUB|`lZ
zLIYs%R%hYRT?*oh+dRwT6N1JA_J~0_XCVG%0mD+n*WHRlOR#9Y(#f34M8GR_r_nsj
zZt2{M18IRj!OTXo&3ClA6_w<G%adG!+7B41DSI2yiLm6Udl|0NTlYvj(sA6qZUISg
z7Kyz8d6x1_4;W|tlqI%wpsd6{Yg#()pnr!w=WUHJA52I(B9Dyv0(x4_K%=Yn0L|7Q
zM{jLxmbS;0%8{RDQu3isgYpQ0K-(4@Wf1bp12f#<ZoZq2UD8X?WVMntIgO58q86v&
zzEkGX1msJnj@Dpo{?%*NQ+r5u%IfrKN`PH1^;|b;DRdcnsVC6$feYwO>&Y+lUe#)&
z<1vp<wWK@O1%qWr)@5q-mD{$U8|j?N3%$P$db7vHH`co7_N*Q+DUG9#mO8~Ta))~7
zb`zCbnTs5<$cpIm8;u8@DbUzGzUoZ}54YbJ(L$fg6)Q6W3g1ajWe)NUUF2oGQq|f1
zd0%ABPGAA=KO@A<%Jb2hruwyQ2~%CpuCwPll~uFoMH=4NToiiviE)ZW6~jJrulKla
z<9A(7oXrWmNq%5UY|v{PQCrx1@bUH2KHOVZ4xOQ%<r}as_=ogI5nfH&?PU>M_PzY*
zS>NQHywHxx6Pv}kUp>CEqOe9A?N&@Ez=F9VOiCb^ptY$@Y&0IiRe7*#I=ePRJ{@HM
ztzfE8x1Bi^LN^eJ8U6hooqKHlg5s0O=veI0b0RO^14_A+0GGGbhd?@aBmbQArx4Uj
z3{-ykClio0Y6r*l=q+$&xMSmt9lEMeA4ak<b0kwIHK5WoqFgg`#G-#mBFxNO9zA*6
zLl)kDAfEho#kf~@t;RmhT9W;wLBBWD-QFC}B{jikdvc{~t{Z1mZ3%K%PY2rB(a8*I
zGd+t+^a7=Zb^66jNkB|&SL$7e>snnx-YaBpOqx*O%T4DmGr)8b?wy=^PG+{-Z)5tH
z^uuB^)Z)BN3Ml|mtphBG@1sCxfSRPILTw`&fmUay8q0qdc?;6_L*W?ss0gRr8k-<Z
z@2C3joz@&qn73RfEE#xwc+HV`eKsP8O-SvMy_ydo^cw0!|HWo@0-ANHj}IeMNh9Nn
z;U4_m<i@%8I{{puuD`gs9yW4+Y0aBE`F?&kp1s5V@v%aARjHZHD;>s++0@g?P4d=n
z)RB`&SiKDX<HQ@b8f~_5w1z6Efbh2my`3_+2a>nN!tcUKs!f)lxdORCEK&{Z6YhDd
zw7P$8>O*i|Z@v9ltdg8qV>QS^;TxhN7CeHnk3;z7>b&~8hU&4w5Utq?go3Rq63y;i
zzl`rpj49m%mKID<|6FXqBrNEjhFFV;vXXgU2WOw{Es4IU9+ZN$i>Y+SSqn4+yVK_Z
zEtBS5sOcV1s(hXtP}ZoCN0t5r*W9BJ@#4y}`jO^bfNLC-+p6b8mHy@r(;8KtrjX)U
zwnk>n8VJdPDh1GnEbZt|wy5ym3@^zTz>@t#Rn?F3Ji;GP{+-&tv}*wZdcO}(5M<>H
ze*oG2?j|Ifp@x>|QG5icMCwK`gYS468Z;Kx*~0%iaqdzXV1>@Mmos`A{T_7Px0*PO
zeUq&;KD+kg15voq%tg5|@%y?C=Cx}uJ2lRtiB^W}spR8QfqDwaMa3!G#lsj^F#Fr8
zmvyz)S=b0?z?{$Jw~XSoM=dswlJYYPQ2#otD8PiF9R`x`@FCh!jmCR-q1ctEbz~B@
zW7w+w@O81BAlY9CZbLF<T`A93yN5D`*l$>`KojR5YBA=x*I#x|GWSrwndB(X`}%vK
z%({mFU*_q!$tOg%c(*!*vqu773a~k*e?8E*{t7I_TGN+u!0;9pSYxm+RmE*(o8M?l
zhUb)!8VA*)L{tvRnC)zVcS6E!@7^g2+Qw?{hEuXI6tk-hRG|bc@45bE`qs2*=8M4|
z%qX?{_5ePk=f2pXgKdO)ts(f85a>&85%Hm_N_h?-TZkBql;=o~_p*E6S@gTWxKX4`
z_ov|TY^p*;*a}vb%xh12s?<{hko~g*lt=Y54WK5W05&7&<Xb7A?3iscPrYooEbCyc
zZE%da46#)PF$%AIcx>G3oMAk-X)A06K25}$3@_U+2Qag|@Y^1N$VF1cOXXbqRG}(?
zsV!#4tTj+`A;Mp;MSW%`1M_615Yke?1qGoXr+$tIf<aDZaX?hP{)`sa&Y+n~eknRE
z_m5}AVokFi6bPQ~s_fg$avU#muz+<b>PvZqMYekK4)Am6H6F@CGcVtW++18tOR2)n
z6sw3TM{O;awlk>CcZsX0=H}JVc_)`?Nqf3gWC$IX+bNgvEF<7%Lyi{p&tC(%Eqzap
zSoax--NR!FAa|*|8@;giE2p6hn|Sez-Pnv*z}4ja@a#7fkj)0F5!e2CEEaN(NO?xH
zCsX~1<hh@kE{gC?8q?v)tm|!RXNuP&1g3Wts*%pb9qW|NEa|NGuDxk!65L*;vsFnu
z!A<RG(v*^;u|*gyFO~&WPi5QQyI270&%YgT87yv=#pOt|{<U|p5kg-5dViu6;7mrw
z-tqCMZiL49#$6^Wg;A5(8wjOjVI=njM<tPL09eEo7#!X`Is<RFKLOhC>d=h!kruo;
zgDQ)|Jp;w#%5a;EF%!F-kkgH%mEKS)G<U#YtR0UF4q1&Y9XGcf$tocru+Jd_uuLj*
zwyHY-vgEywVz;O(9AdZ4YQlj5;plHPiCyD-7eXY~&R%y#5_A?k8tNUBO><^Op6``U
z2LHDps4K5a=hNp6?K!X_hE8Tc9ll4O{%rwv=52+$T@rZvujg2A$aBGl=az#(BXEC2
zB(B95Y3Z}|OOCG%%W0`ao8`lDKqQ+m=~ko)>6#3L@q{||up?Dzq{|IDu5gnG`D{}3
z(}C0Smr~ZDk9A4f(iW%|c;j56tVx)EXCVLck-lkfZ{(1H9z8xZQm1Ef>tNZXMbN_^
zB^<qC#c5|zSv^wHqTZ8>4~dcfv^0>?vQMukLbm}EO13coURasy-B`OXc$O2+;r~|+
z{#WDuH@uLQ^?!&sZ2uv=knMjJUU;lCo<Jgw*xh=M=slG(U%rN(?l>2M0Fhq|m@bJk
zXM;5-QD{lqw|ZFLI~Gw@6QeZAFnGeCIj^@*PrQ{&kEyw<+mNi^a7X|BeKtINnfp3v
z-im(pus-aH&hvH8^!;)+e5}n*B7onnRm^noaB?pCPS$(0Qxy{_eK~vq`guM)d^)LL
z8>GjZItj17YO^e(Wtf_J{$JLGN~Z-{`GLBo6_4(&j*gUps;S6hE*R*W=8w(k+rS?Z
zj@l0iXQNp^+c5%Ri-*kHxAa!BoysyLwg7FKMfUR<RXe#Mqr~(3BBS;PgcCSGa8<3d
zLkLL6a<21*VF+QItg!=wWCfeI8_m$=IzzJ?WL*r(Z09bv`!5IQfoF;~sj&um+@r02
za*-p_ETpKn8_CYput!}Ic6;<e8$lo$pJZ*K(+Pb4q0udNM;jacbUQOLmVW4B>sY?Y
z|5kTSX<?y5`ZB8+*T*rxJ-L!1x3}l@NvK*T(IuIM=}HVCIU=c&3n@rG$;oSZOGir!
zqJuo4f>_LIBY~pXTIXh=b_b$xt_^2B)8u2pYM|DQsfPV<n_6sP@^G|vfczf{PUb%p
zoMSc}ZlJ=;X2X@GKL-O5%Tj*Y;wAeJ27yw~4uyhT`PonN1eWw&@SWpo!ZSy*A=6zi
z0I^?Ut-*H_V>~=RiSRb?w}W~Ab_5=Y2g!34Uq289Bye#6)?8jXb~pb6!3i-e9Z$>|
z{hPn<#6F|d<E1N(ufMEKoVi*V%uNlv$c|PuPqn+d_G5i&Yk`{c#=rT8f>ZxP!C9%(
zl>LfSQxrd$kH?1SsgKB{{)d8-;tQUTkZ=dCmc;Ty!BMUHFA5G96RezL17uHqxNCx}
z#ANdMGjfWJJ4y|0d}+9hS}JQTtLuJ<LHlD{eLQd>q9Z-4qFJ{fZE<070Cp9zLtt{u
zSgU$ve9XfbZxNFyW+9F!2nj8eoQtPo1%q(nKRWQbpkM{3W8#CBLY81TPk>TqCWTlg
z1%^IqA*MzBSo|WH57zar)#(qs@oK7LJ<}$&LYK`SO@{>8T4`?pwmT1TT>bWP48(#-
z7m)^Dka%uPCSZWQaeI2`bqsWP6br9-t3g_OM~pqOQhOcLKchv@9tQozPYFPgI9*dB
zSP6?{qTTLm{!wHRVv4c6{$Z$Z2&b4coD3yc!wl(~x)$?x6u;P7Ha9G6u!2(he9BfT
zDGb`+u2O>D#C0S^W<sgTE2qQ^B}%EmloFVkk2F>D1HA2v&wJTTvk9NzgYBfiM5_Y%
z%{-Izij>@WHEGAk!SQk9bn?2@v2Yy9yOa)zFrCJfeA}cB%9Lr)D?OSt&ScX>T1xTc
z+*S%Q+&t-yGL&IPfkAtxv%)3oQH6f%VK{+F{v@47A2s7E?m<iXyu9Rz_yQ8r?J+A!
z9&<MRcTmv|ntiIiPV+eYYQS!=4~W0eR&Ii?XRWQdBx>>5trV*OB-ydA^#kvyZRmE3
zv_3uXgCF|-2c2P_Q$NjjI7Uzb5Wy~kEe7(h-m%>W+{o|r&j4AczkioOS4W@_?5L@i
z{3KSokU+co5Y8zn&w!1R0}$4Wqy%h~Xhqn{kq|OfpyO4o=m@Z?DW~1q+$0{QnJ~jb
zO-=alh|Jb50s+)hD@D7;m#F~ZSSua962%X+ftQGOXbNz4u?iI3DxnDXWT|NOZ4DUW
z6B(|~PAnR9fw<4N_y}faLdb$yhp<!+u1WTr;Hx7QCZ)+>Q6*^;_E^P|$q|I@rH@!V
z+PlR8VpeHa;E0rrISBA{;#!@Y10yzKCj5fFMN<bnEKy>50I36B!WeSNEE3jzycrgJ
zV7Y-T2Wx@p8l_HM&A%BBh@9|;n6^$wEfX!WN7+ru+FCPc>Ks|uCz_(pmnDyO?s$z>
zV>~NWMn^;|7;F=T*yxmkEi+LX98)rm^z76}wty4pOE*t&#@S=D1bZO3NGXyK8NfwH
z0TEZ5h0SubI;4iegmCh=O0Xo|<XnIDo<r@b#-jtuSk$L*Fvm0tq7!SBoDLWGnbQ2@
zxS9z9KH;?5#cvPF@7$=xlX8FnTro)`*ayo6B`FdryM3t-yofTLUhTqx@>G_$icAT&
zxETi*-jJv(V#=R4jsum?3yKCUsY3P}RPFCEcMmUdcNt45K=F41R>J-gZUoKweeMH`
zd{6GzgNQjLA`Z!YuI>goH(X=6AZa<&8;emnLJazLO5r3h(ZbSZ(t)<3>|Gr4fw){f
z@_~6C!cmVb5FOwwYb<u*jyYiBCy(EvfpNZHPAnW_)rBi`xgndJQm)f<Eg)<2xTR1y
z($?=8P;fi-x`;sU)<VHo6$-|^WGZbnP^=G?LWwHoV_ZwXY#F~SlqhUiQsbG&?BJgy
zVUIf^qHoF2>ld&O48_l?t?azmD?946m3fU?3lPrilnhB*`!{k$?dWTRbVYA_`b1|p
zNTmo!N5Hd=3Dv*ixPAxXIg_Nbo%_{yoI6RcOSh{B=d6kGuJ99-?BA$#2hVa#@#y)M
z)?DEJakXK@$sgT*X2Sw(k=~WpXkKKN-e`2JH_kh?8a;j^fDHZ($b(Nq{1QjSGc$mQ
z>J=fOWTk2~;5;!8K0N@5xLOZVdIla1u`7lhEwIf&l!~QFWdgyI7&mzzig+aF3aKXR
z8fo6x@wgr$$Nu}}J5H+{YgU6pv=hZ4D8|i##KGL%ZAwRs%pr)FZQ_Z;Nj{gcyt5P!
zpUsOF$mv7~xZR&<fQ<Rs+^+yrt<*#2Ej_S6r(*EsH_swrG-~LV$_q44)eZV}KIAm|
zbeev;koq|B8P$ZQ6aj1p)cy7In@}Q<=kew#G{G`Wq<t>EpUQZkfE69QQcmpyU@raK
zhsUzULvs8Z3k0LEGkT`(Urhz=p#(75T0_Y|gxPo^c$QQa3%y@*ff*NqXiF$7EEZZn
z?|?l!siA@V1s|99pb^*$H;S{%IWgbY&Cv#`eBLbGZGVgYv*`@+9*2p`Agay12iJ4$
zTsimlr~#3D`wK^*xTR{&Q6O=nPG}TR)@l&S+`+H8(!H++e)>nV0tP>dXXXH4xW#Sn
z?~%8L#YGU(ore|95`+M_k<bLmU`KHTBUD)?7Ak{k{pTeP*6i$a7os%!*xWrJ(TbQ`
zboke#PlkrX-EpKk;rG)_W>19&TUEbYZJ8Etkj>LF9C-H>jp*<|4#)4Pk&1dAAT(MV
z%b)g+$xwFa!8W7TP;#uyYa2?WN*Gi*wdO8LAD;8uV7}x+9Q3!PyS{z%Bz!4ns;%Mh
z<4~MsoY9Hc2#hr!B*$_-u`uyvapi5Hv8oFlFP93&ay;@i(==#_Z%j~Qv{I<<mA-eG
z%{boF_;iV4;p0YlczKj=_~!4jZT;(38vHaj-5w=q3ek8e?WT2}g>>3v!gIl!k+@El
zJSbb6(n+8Uh2BYBq`9C83FM3^KnqBc>v^_vIZxZRvl+!BrKF14mTMF<b@Y>YLs-jI
zQ4V~&pW7rGA{<&9-e&h^j&1!!-<8(#U5r~y7CF$gsfpGv$XnSz6g}#}zf9B&VQ<}P
z9n|Qb`(1dFJq&2$G2yC7+3DUf#zT}(rlK9IJ1>cgy*po`eG79J;OyYWQ)}}J*~+Ug
z3rYi)MqKAr1+5Od%wMUBm&Gn0H54Ew)h`E3()TEIPxq?>n3xi?LQ?}>;2r3>XK9tq
z%XGe-rMz)Kp|)<TsauD)UY{|0q7?G?!^FX2m!AAA4xh|lIfSs5Xop)fZ>qm@_ium~
zBf#AmEw`5D7=2kgkZ)%Jj5`F<KQAZ-ha0}o@NbMtqG>(61R^LIi|Wqr>8gQJfI_nx
z)s19$Pf-`MFy!$Q%0DZX3F8tE7Gd0U7&si5&5pgHWa0IKx_S@12lx)JPRiwnBK>g~
zd>;dCY0k=WwE?^IdzS8fkk&)|W1bqv$iQ5paz)tyf0jw%*&x?jqZ4ph1G&H_aR>R<
zi^0`F&tXPlWEP`~F&Qy3h=^;#>m~z2XB?h(il`hk>%rScmmNUv1rJIOb{0PQjqC&C
zqHGj<POqGL?qT?ewZA3kyE^Qpe@tF>B?fNh*RLbGX0&7a^gzPvR4S4dYNED;sTRZU
z46*AR1xs#!R9ll`M?%fA40&%msAy;(rNaV2yAO8gb{9IaIPq-gIo<GITCR4wE**`e
zR<<1=oXGJFN~|(Dk*XqBM$7T-sIW=1$bMZ!iHDtjHzbl(KjY(uAS>qQs99U7b2`65
zQhV+){tKlWa4}K3*a7Wda6=5T;leJJy{yaWQm5=c6o)K5K*qChsB5b`SWlQ)zRI1s
zb3I#(#Umnqy&8UXz)f<;MS!o1*I?;;#h6h4%WQCDA4G{`!inVcQlpvH=WxB{HJB+F
zJ;w-1!3?uKRHa0*pyics5jt<f?;S=DRc;P{`P2PR(<23}qw$91P_UYKn0OOZ1@-()
z#Z1*k`3yN-l)=Rqbb;X-_m~JJDx8?SbNrt)7axDN!AmYC;f8&Y@SA(UOrr6D`n!H<
z1|f?cEx5BF0%hhK7#9}c_VCO3{X+=@w<!6#GVKm~Pwh8fCu`_U*S%K;?>@S*csGDG
ztDrQ{)ImY;8wn1J3T?kM72N{C(s$-POPku_wy7?r=7O6=wW6gP8?)E_vb#I&m*M-p
zxYL&I`j?Ead-X?la|A{VSm9Mce$l%RVR@Ac=w00Es?&4*=H1MWzvu{Zp0ham*f+x1
zL;6=lrqt#90?8~%J;S<nh$tY2TfPtjbD);Br={xbthSThrH6&-;I-Ge7W#~T@=OZ2
zsh}%E_~Gh4$aJ_*q83A?x2NOyi)y!hkrQ{V(%V>ZjvV-2tJzozF2{lnts0#w2VhVh
zVMYQxF(d;{g>JFuIb}<XW(7~5E*4;5aep5Hh^IRGfV4bl{Un`^6seqaT&cZ6a`Ljs
zb2!us?IKs9T_Ke88P82#ZYpDoUA6hGrvoNxGA?xkC&kXeTHKuexkXOA(jUX$XTzT&
zY+oL_MZ#%_n&KvzuA9gm#0S)Q9%b$`^%ZgG&Ek&W-gdlF=e|8PpCxhSd9_W4oW<eM
zX-lo`$OnGog};%SOc`r7Y9w<iHY3S*_<*+>VRC{m*AeH!h616WkqnF2#EhU%Ce?&Z
zPwMhD<>dM0qM)fZq+lgSM3;OFabMP8Q=whXS;?iIl6}EUEuA6#l5C2ZUQ5I6Wv-e6
zt6NTUI-fkzApDX#Hx@7S`%{Eq3mI<AZgRR~zxpF<>sHC`ci1cyJ9dkj?@YktvDwer
zZ`V{6{^Tt0NXpE<_?w)0H^7YYoBOu;I?IvwT})q{`=DMs>*_hE!^O8`8guvIWNW3U
z5Enm#1<yYGb1_pTK(bVdh_qVSl~n2V(KPglI2*TAj_SjRWgVbe$YWI0l91@{QGEK6
z<j|uUi!cY3yWE9Iwm!E*49(1jb6<O1NDZ*-h}eAdl2B!maClsOBwwh<^-L15Q}!Y1
zWOjNyCy%mPH*P}G#Wm?>o`^Rp5I#c6k0-)+sRLp$qDHKbUMtnVFjJ7&P?2SHx^AE2
zH;2--npu4Nn3%iWxw6PH%?HB(D=pgb(>^)G;0C0)T>Q#B*0o02NsZ(hGAXI~7}0zk
z1-na5X+`*r^ogu$4#gDML_$D8I$Bd{j7C3%W-~UP@34Obt&;=3hF>CL{L0;59Yf@F
zWHOv@`qf|Xd_Ax9O6m)!|3OFj?}#%NW~TpfRkHtwh%@&8Rm9oxf0KnLV%J7B)j0+}
z6Qq<x$5V(>6EvQwTqY(0X`~vEl+bI8wN&5k?O^P{F{VcC8Jh}Jq~LK3J?G5*ZWgxz
z%zAF^*C*^XU+F*pzD;Jj`dr)hdbAm~>Ti4b4z}{|l=$-by8pf5g`E3#YL@y3E}Y7K
zFXuDat%-}?x)Qkz{k}Mvxl22H^RJ%byUS}>X|@2>>4jbkiu{vy)=5Ra{UB<QvZ7U+
zs_rUZ#6bOM<c^d9`l9tU`bq!)7gYGky>sWim1?9ij@2`N1eIT(JGlP{Djk3CZXos3
z5!Aqg1=qCOfBNd$=8Bx(PGLhB)WVM~;}om`J|kg6US`sdGylzlpw*wxmT@O!)$f7x
zhCZ&c7D>RfyLvcY`7cEU+0Hb-S6va7cl3b|B5xv=$mUqrC&1xt^BS|e^*45w{?@LS
z7qQx@o&F+-kAtwVr0}I(wR4Ku>v8mnS`E?ncjQlFU0Jea0$qYw$T~!UQoNI@R={1d
zQcP_|rnWS&;H-#J@vwxfa)Tu9KVad?|1Ve=FZ%NQ`6(j)>EYw8^3He5eb$?abS8Lj
zQn>9mh*;`RECl7@Pb@@bGC*sS%;0f9ShCH&-M?UAdoW4oeeX2c7A&X#3l?Vgpb1LV
z{s$~<^$%DWDT&#*Z9d>5>`t<@NmtJ<uLw@g@9=$wZAVM8blgg~aq&n}-+oqj`pxpk
zW$CV{mAjiP{;#G&J_)-B|F5RPx7IoTucq?J$diAnQ*MKr0#jwwnDOyL7H*m<Bxe5~
zvTzDMkffyKD=@W`m1)8u1)76Z;}2PQ;lDJMo%NyF!hbXs%M;`fn>QYvAF^;GqbBAG
z%gR<Tc)-5g^@tr#8z$n560&Iu_)jzh){h5e0SI2>EX>QZ`3EiB-1Vzm#AJlqC@f@y
zB50mK2hYthe&Y;q{OzEx(E?g$<9ODEvMN=Kwx?ynil#!Z!KuBl6$%r=9?2=c74S&R
z)re|Ncil$K^@MJzTU7Sv9!j-P<rwne{kB)5akaR1m0gvekO0-}f&T6Uh`RsCf~%LB
z0_n9=P4%pB|07Tq67J}Hv4Xkfr)m4(*6^xN4Qb4R4!>iK1)mJT_{l*uupP?f72;9A
zJJNReb{3+@L?n@uX5A}cVK?O6xkABCl549O;}q6!JHh|h8Eed+xOS5d$V)pJxPVTU
z5B48!2^3(X7$4dFDslKD>6p0+DjPe9uPU%9yp&uCZI)mY6KMGKLO;|PPQpiar8R4l
zCUdEpy*gnrF!N&TGi1{45l9EqsHEFZ%o$gcqMR;kE1uBjNz$TYCpp{UNx$*8x4y4j
z8C@+7LWvH{Th6ZxD`6>;6lQRNAxtllmJL@&dA)(zzZq3vlfISs);6Ea#ym4iaK4ex
zu3GbfCzd`R;I;h<J%-CaG(z4!baLq!yUlaPW%!O3?F9H1G_%63kf@d<O#pP>;sO&T
zEe7z?h?`~7g3$KUh2RO@1#Z^yxSV1ia^^^>X@7x<f|6FWQe2ib2)V>uO>Z!t>0pSg
z7G&m>GURccjLS#mwG$M}H~a}!x-(xEGws$PmXt7*B?_;8TjWlgbG7QMNF}(wEMBLJ
zUMr?sUM5VZtjSq~O)#?Uh}Xs=Oin~9my8WA8gjcVJK%^<N<*+15>YCsm6n1!$|#u<
znvoobcwnF`?~u-5s^%sojlM~gg#06#-WFO!(mF*>3frSBDJhzonBeZhNohIPO-bpF
zUVtqyl83JDL}RRU%ap|QMrxX#{WmM0<!siJ0##>Rvt+&)rdZIGQkb&CdWNZz+ngf$
zCRZfH$U@}nMG3_S`}zr6c(;>u>=^PeDIkg_OG%(pzNZ7_g!Ys+WX-7ft;Sv2E`3(J
zEL0Wa3+(|-AoU>y`AlhNA<y$~;;I>=cEg*pgfSHU(oo5Rprk?(+fh(jUQvp;#Bouu
zGj=gSeZEUjuB3609&E96teo-GFH0GJnDA+mql6I{0{F$WicT`N#tvt!z>e7x#sHWx
zEg55_$#531`{6#q;#NmUZ3NavM%QBv{r|(*J4Qzqy<5LghaKDQ*tS)%-EqfGI<{@w
z72CG0j&0k?&HtQp-}~X75AT<`*Vr{iVbtDhJvD2t=NDY@2RwmP&0l~7tROB1@e^G~
z7V6LJ!FJ3s{g1DF+&Mp~4f5xL??1H_<M7sp$qf#$j|^W3^2viY8X^uU=klK&k0A@}
zF`XQveVadJYw)f-I~~Cv%WPhSrfy7N*JW*e%x>@*0z33X7ELHD;G67h(y+D<n3;m~
zxR%RzN2*H?kd?w5+0auZdovUGCZ4VG^u_tO?8$%hr#H2P`=kEW-<RqL@_}-dc+Wsr
z=gwBm9K}TUfi46ES452pAEv71Mz?9+(evLoqs3#OLygUZN7oHFUhx&EQ!K6pDW@|M
z+A~rk5T*3c$EaXvW?eGHiYf*L|EXI|tCnIi6@jKD6gP&dQlvi?o`~35B3QZ(rW8|Q
zYTkiXKmfc%2Jrp;^}{5*{AuT}SRe|(>auh&F&Rd3U}%8$8~UA^wxmVaZ$`*{#&{5X
zqBxdU@0S=(U#SCw)aTHA5hb4d?}v<UqV^$Ek?)FrTTDOk1d*ZIL6M1;TXbra11%O~
z;RjLyaRK)&8%8147LkHioLuS*d4o5uR_WipPfDPH3t}xIPFOz*R@r5Bp16-$oPOct
z#<|F^b;o<(TpPWsCma%Q{AgX)#t)90+SGCSHgwhe$CMP(79P*b1ww2fJdD)2{4gs2
zLIe&URfQ0*10<osLy(hs3&;)E`dSe!T?*#7_}}SdT{BJ1C7VFoCzuTs=Qs->kF<$a
zm6GI!CiT2SlJF@83lB;VFpMKI?a+L3-Gc1a$%3nQ-k0(qI((vh#dlJouP|^N-ymg2
z7%~T^Quv=QytpLcGAjs}lm~)Xv6-NKUSVk&?pU^xF0{KXJtil(vQMJ7Km`#OVM;R?
zlT^v>i{LGXjqcuL%kE1)%D|@O_#V4A?P?ZDr-5Y$`^K`Xb=>Jk%grkF;*0%WqiWgR
z9_nwJe%MT`eP+TwO5uBgOH&AaR^Ej)gs*s1X%V{o1{sh-m6Wkm3?f%p8{KyvotQlO
z?iK%tq6We9j`p9HN0$^GZy*hA+neZON7oeV?R^^A8yjDyM~h-cS4R{bZA>NGnVaU$
zsUGJd^(Mrv`EQXVCElG`mnPi5G##7`U%Z|R7-J{sp~7bSKPh#*_BWStrw=!qJbK)v
z#jVa(#9%!;0VV=fN{h?oDvx{As$QLvvhGc>tf3^;S3wuOc0*4mS*Ydtl9JiGeN3k5
z*|TL~NNQER!4U9?;rx@pBG!o(C+IJ_8b4fVS+)4}RQt-=sKFk;`1xew3L8Ey|G@}k
zpzEGH*|}mmocC{gwdtH6=^q_n_%Cj=(Aff|wa;#rIws4=mi~X0?eBPZHqW~=avWtK
z9&L28q4BgYmIP8^3Il91FD=(wBp;$TTI`cf@!IY8s28~&VL1NWON`66GnIT+Kyb*x
z-`Pa=5S$FX|BD(&`s?l07llkoc(59U+YZ;I-Rr#R+2=yj7I%da)ya`_y|I-4iPJUC
z@NDCjznL-Xl0+dpQE(Of)?n`03}D;LEQ&AjM{{>f24ur39Z$Mj<T1Wf-6r-AeJ{$W
zmQy7znIU<wrgY^{@XA&Mmx#KjNn!$OJ5iBy%zGmPX=tOMhrI?U{|I<BE(ea<V=b2z
z#eH&c_RlwcH@m19uXu5;b7Q7K0R*}r@b9gsmb{(-y~m@@B(7VL3On58=n}s~W|WkE
zg<Y<N30FRimWZ%NqWLPsRZegBncYJJ0a*vTy9@r!r5V*Sd(ZC!QBd^s8V*k3%+1jz
z_=pXGFq85JGi=-NX0<<yP+~E?|4GYrSMf$ihO)GIs=ZCuB)DOJr57&(t5zna*0bFV
z29f#23HFr!Tsn>yoW<W+VirJ}LSbs@3vHzTHGL*C$f6{LV;hT`$_D8xB@V7|+MLF*
zk$&e!Z%siBNJzn__Z|!b-;IFP^OsTK&*IVwAIPjdoJ}H$_3zita-3wU(7nQixqt!w
zR27lx@PbJxJg2@PUthn4E|t+0zqww$mM-Mz97|K;vVEp8QWw5?hW7y~GFUyUkMuMq
z#NK6pLEoB96FR9w<}7A4ec*1yY(18&d2uGGH-9*e-#7ULP;&X&DMjTAGA%GO>ra>%
z-x7nsZu4hCfEUQnMJd8cxEPXTdDxOz#hua>gw?2)W%U2*Q~S>Znt-fEQ%A?&-YVLh
zAvo>qNYy-HOV1WdbSzTlxnb_h{kzq`y#)XwVue})3Zi6_Hk=5KYuM(5lS|D&H$NsF
zDRS_&B?sO^<FX?{Ob=qd3Gs|D!#5xlixv?RbNmD5Y!W!|@9!1i9H>|SBD#l%h9O?H
z(Ei~EHq`oMzhWSTC-#k`{iL#P<8rFw5uY38-Ni{qo=KI?n`X=f|KhAeoJFXXbAwJ%
zEKpTqTopD<D=XhbZfKv-YpiO9*@em<T}zIR!VH@j6tv$`=H(p7GQl?bouIpvY>OnQ
zdtBH?j~6e*#K0F~o4d=rlo<lP^$qzfZq(c*UiJ-w7r1HjeA)LE#nySnL1WWj(&Mm1
z`VN|o2XJ_Acx6(gPEyZ?^;<V>=u-+usjvO@$%D;PUi<6ueUO*$gizp3H6J5C;!8De
zbqFHJ)RJ>$6!y1*)vF#r<t@-5;V~y*yx%Rop_Yr-Mo7kGsKi1OJYIJUt)n5$m#)fG
z<>=xoYID8qaiw>?Rkeh>`Ip1GY%Qa&H1lffy*iXPKc8HejY1U;qajrET}qHor%a*X
z@BAT^x_Q@H(z#*abJpKT8tleZeNPGhg2e_}pg7QfO2kSG3LIDc<Sgew|BABNuT$|f
zFCI5UO+hI8suV|jI&+qerL2f;J_=4--Kt)4E>L7UVjLVjyo>K7^TAfqWZ&jC)Za51
zxXlNVI$4xvTw0&QD$k=9EU2~VeEaKC31Gw6TialZ?Q4CwxWth>z=%zc&}Htptr7U$
zg;z<@Zz*gC!?o+nr@RfkcI;<;#gjt%5Dh~Jbr|O@L<1l|I$;9JF6X}Mx?z-sC@Mm=
zaow<=+Uhs5E*zXNO^Y+N1WDz!MMy|vCx6<1?k(rc1AG^wyggUw&EqEsa*~EEc|%tJ
z6ky-v>ex;J`&pcFcUMvlVThKzJ@YgwSypBuZCy@`LtUP~DcY3=5wr{Q5+WF4K%<yo
zns5aP0tz4rS&>zZH#D3J;qtq~(I>W|1QbOFAt>MH#}X{CAOLkr4;h|4JG?I&T+Wpj
z0n~kW<hZlLohwR-h0T@5`3yncw?tj00~6}ZrHAJF2fU1UZF{dJ>0Yx&wc8a0=KSwM
zBQ_-gn=E9thN_*8OKGQ#aW(-k_#robEtwB5-Bwz}A^Y^0C3$;i`%aJi5x;&4fBTK2
zQ_R?-l%TEGarNbH0r;E!Z^n^IQnKOh_-X-S$N4W<^)|#Zfq}5I8G&^!LlF5qT>h(C
zM9;M8SIN+%oS!gFLt_lhyu#E$GCQ>7$FgIxgBR<~Dh^(hzz!s<eExm)#SnCdsGIs&
zM=wr)-ZDfX7b*N==aSc&S+8pYJX&-pniy@JszDK5L^#uoCK$y$SPv=q>>w8AR+C@O
zH#Bg;0l*u&rb9FXUE$sU*Dti3;uUAKxi`B7=$bijuxA)xIA>e41n*M4V>fr_BJtV%
zp<#020(DL5BwduLIYu!gujQF;!=P1?#e{alAgyE`ad=bekN?a(j@V_Pi(cs_65tk1
z%A0#(_yqq@(wU!Tl%LCXnpR|M)Q+UbA=;1}n+d4f5{?>Ax4-MQ+VN4=`~Z=SM@}C|
zq*fCGF+eU&xX`B#dUCRP5SO3LFOyqU8qc`a4F<l@e;d+Uu5wP}#cO+dl=iBzmnay8
zhkIRy={Bo)?|I{NN^y}HgEx~7)NwmTY|l@Jd(LmdorghNMUFR6?bER<c@TfMBJEjT
z12U~W_>b?E@|EGMcYe>RJ^M4I^GwJ!y(OA)HAu2mq!%$8sZkj((k5Zo1BlDZ(hAgq
zL_}ik4l84UB(77WVa3U)WZ~Dv(J-b%v_>JB+-y!k!pOLG$3d7YAV2C(@ggVk(1$`r
zBZh+2N?0*a2BC&mpSKfIXVz<EwcL<iSEsdqVlrw$G31=OdkOAqynt>I)kK^{_`pAQ
z7mE+wUZ&0`GM6K(4}s`V+K#>q!^2J*l;_x}P-ZU-gzZalLE07Qya(m;%jv5CmAo>0
zfFMe`|D&lfusizxl>&qfS$|6gz)Szu+>inbwM&!p+P%2Bak?aXoXpc;ARO3gL&Bl?
zCwTu2z~1aUx05-yw2d$lLgQE=LDPGtLMS-p8So>4L<$iBao%RWAAn4#t3+tP!}T_m
z4Y8#TAQkXbOv|Upm`&?5J(($Kx@e9P_bS{&)!i>0dvbcRYuJpskbHNWozm4(!Q&gA
zh5Li(1Ee`?;eOWK7P;lyyx!<FB}dF36tcE&4c|W4LvA+@rO^GNxWYXSLSOld6V9iT
zzi5%dH_P6Dvn0h!FgpFj)}U4Bo^Nf|2yhl%o3Thb4Sj^Dw7~b)v`bcN#5g%NEnZx3
z@K-~gwR@qO|AQSJEg?Vi>lAV|ki)^w5|M2GhhZfrPZ^(31^RKQM#NCIg**}s!X#_$
z=N54`VDRDYP$DCEgTs~MdWpUovB_!dPxnrW?v&Yc7LTbpiP_=eV@9@tjR<6cbpOCY
zaDBEm)DF;8pthwE@(76^V0vA7^=Q?6)uc_Z>F;vVeqpe8<MyJ}k2}9&(Zq*Jdi8o;
z;4;#7LT|i5J73S}U=w%3iG|%f;`Gzg-*z;s4`FO=4GLO-b6ceQmlu&LBqV#0$zhgJ
zXZSyn!EizS(ml@dNu%Z32N(x&PQlKC7#Q+=IxKE#exVNZ0bkepuIy0x8G3%FNneCy
zwojU+vNk^Uq&X6|1_irox9b4^Cox0E6<Xx}+3?w?{#IRnFIATpk2Z$WuSXQ*kU}}&
zA`_EqmW5H?V*&Iebl?>hlIj;qPm%nkRY(fUpbwI>>*ph+bn116(=t3pKuT8=Ayup4
z%wOL0%9^7^{h$-fxN)c%a86KxkW5^9E!xJwuvt7hc0A5C1g#JTjq&%!N=Csx%@Pa|
znLNCW)Z3?p4*Rh92{(dKGS5@V0eq^1QTREA*%P*R3<g=v=hr~VyYV2V8!ON_q^QBB
zW3ea$TUJOqIiaOH@mG0!xe-Ik>a~d|E(1g3x?sjqeRJB6OK0qj7~4;<!TLAB|G)YB
zUzYBFhv-;X{?C?bj{l|cFUS8~<6qsCWO6QNujzxB5st0<e6Y!Ytu}LUBRdhCbFnwj
zwqr=;6=nbN_s$qJVspa5*eB{TUlWf-5wTLaz^35(r&-tTF3f}u%#-QcrxJOWtxwI4
zulE<Zbsz7ihpdS&x9<0cllhO3m~Z@V;-Bvg%5THdmxmk&osl-MN*iy$V&HLi_NBM;
z%RxY$a^mZ4Nr<{(ov_CNgWlvcfHEaJDMw~z=XNczqVs9?cbA)MQBsDeN{Tv_=BU|&
z5AP@CK^62gnph&03vS7_KQTm1HC4CXyoZ*&c>6aTMyI0Y{^W``bQH_>#8JT0m7F3k
zv6-sh7_YO-QxsB3bL{SR8avow6F3ev+9v~Z@4^y^C1RJtFjrp-Xt8_A+(i3DZGCX?
zbh0)EL6|xkALI0StN9s@9$nGxsbGL|;;}WcqQFJJ1r9!OJIrE*DncdX{@$!Jgw;8n
zbhk0w9&@#T*7u=p(Q~z>sH(IW>_O;Xg5lj(KX)dT<kQ{y_L7wrNPcE<;$#tJTn2Aq
zV*z09nxh^W1-M+5k^p6lRh2WF7RXjCnjM4QIK@gbd#BKW-R8tso#gN0Q(0#LI_{(+
zueYxc94C6r1C)DnNrfta-`VqyM2t=RJUhCT45c0E9gr<-CRkN6UNdG094;fdRx?a4
zk`@tI%9i1yaV%oZJs3>l-|SzBL19;N6TIw*1o-U!H>oilPKqqm|K#bQj6M#i0_NDc
zK$p&%9lf**0*ZcT#0QYezk1OllBdi;Tpb+9%6fe$M2LHB$`Jt6P=eu--3vJ4Fwgs2
zGbGR8bKi`p4HD;4=V*AHYl0`WZ}ra6*-Y^8Tm9m7t6e=q1Jhpn4yMBj8-Bfqp|cgi
z($!+na3;KAK8B@#vwtN|QR~i72`9aTJ<Rbe<$-nMB_}JWZfz6Bjan&f$;9Z;Wgb#4
z{EqsUqRbZ3iJEX`jR-2L3O(syKPsF=yZGE9oEi$(^;IbW<Xpm2B-Z(JCIx_>gU~Gn
z*yv9c;*$XxiVfkSkdXi|tPA$sRSQA#ePakOKkD$z7FMDp6*K&ggOd6>N>br@ScgZc
z0~cZcXQ!0FWSp|%pW7q&R1SCo5GbRf1(H!g3@1YI#{5$!V=&G6p-Qq*%#fC)%n<qY
zlXu2mI?6&nW?Kx<#0>m{{e_E$zs}d&jiEpJQw<($Xdk-|v4VKxa7cz}vi-3z!*G-f
zun1F9Cu05VQjW6jmmTkgiO2CaX(wN_pI@twIK|+?$G2&G(pic)XQn5Fvi$cmy*{)Z
zulGIUqyK!G*icB#D*9z7e0f9WCJjd_DFe9TA~pm*pD%9+y$2n<fA6x$!)CUQiVC5m
zY)*59h)$xFl_IePK$53v^)8dCr6X%pvKtj)BbUOWqsV*HIqa6IWrmZOX8##%p>#Mk
zSBs3?#lDO~dsAlhMtzc`lLEwgBqyS-2XJ~PGsny+L>z>sbIU?IULWV9u@lYZ9A%$c
zLx)3CDKN@XKM1)qDq-&fclm|>y`~q_x9(PHO-D|Mm$k-YVjzx4<Hf3r^d4J5iyKr!
z8bx$kL}yNmJ5<~^&Q_Th2L2Ay35dMmZLepK9I4VTz9lK)*ry>XC^61_ZS3~El|5Bs
z63thcIDB!r*t4tyHV&PTGB?@%rgxuw76A-lOorb`)M~YWR>TT~FZRLf2ff#7MAZgG
z*gG6HNd|4g+|AV2h)H3a!UgZ~xKZwzg(?rPYuO@&G%Z|9V<tvtFHh_o4=OLrQscK8
z1E=7eYwFU)@$GZCL4L%KVnqgVgA74BH{fBX{XEbB8WqGf-dPZ&rJDsU;77%-ok+rm
zWPkDwb1!fWYZ^1mY4YzDJVv%K{_52@x*Ov@dp^`EX5Jrtq%KYeXOfQEVd(ZVZumkI
z?h;4@Di}t2w)V+XDGM6y-0ov>!BtmL;KdkD+5WMMcE43LU?x$6Gyh~NoGmx8_DeHt
z7gVg#Y$}*VZ*BITJoY|WPFfEm`{lR)d(&wDccpuq>34vc`+rnG=rWrBWWjp>GBKZB
z)Im>4E|zB(1SbTDBqF45)bNQUNoZ_99~l<sipP17^T!fb9ehP?9)B=L--(up(VbKO
zJ~)5x88vcK--^k7vi9hE*kz+x2~@<`LRW)0Y@g;N(S@v>rMWlXZ|9{ZLysxG*7_6N
z$#e!;zSqOOwv23|j~0${Z}J<lmY*~EjF7Y3t`6$)#fm=pK|S$QZE5R;<W@hT_DQrV
zOwEl6)5FMyc$vWSj|d|YB#qFb)-S%+eRH>M4we&31A6Ck22C$Q@gL%@t=c_vwVC-=
zBO0x|wdFuK?)g=@6lUJLrSh_m;d^GTu<nk@GtoZ!G-bA{{m!L~Q|C^wyiE;To%Cqe
zU05={`HVk<Xh03RQ!q84;Gl&fW{vQVcoC7$e2zH<wvn~_;J#2f+wUvhJ%E#OYS?_5
z7$z@HM2k>tL9S%e3$k%Ou-{>U(4U|x_Aq@wajxrM;~(({T#EzhzoDoDQ&$oAxq>ga
z0g3}vz5kHflSvc0^YF_5Sv!?44qV`3#Q!0-=YAAca=N0MljOK*9UL?{@9)>oUGy3i
z3O?n0w*_dMwvv80J#x2dN8GfdcDWt-ajUhu(Yj|%6lH<lY>eVTl9leuULIPb%XO>U
zTmBx}x4EgKwi$~bcSmZzB|vSqSRBVkZT_=3`ro?I(xPcPCvzmbEAFLn%hnmsOmFjZ
znC|YB#vGWmU_RKw%4kIde<_HA6D~(PR0OJTB_%Rhiy2{W{?8(Djbbon2<~n*)vD|K
zaDfP)RGX~nz(ea9x>bjRLG{RW>Hd{q->}-|_myY1`L3g4r0$D0+|ZY9+Hu3zx8tz*
z;tnq~n2T6M7XidN;k!sRWTh!49<-Q+su6~#Qj6gCMYk9+WCLQ&$#Jj$!iv|22=cEj
z%tZR>dn2twx3cmK3Ye1?FDGA!%>$IhgB}Yqop%|6Krh5Hp@}h3hr{=pVKc*EkJZ3J
zvI89Qv1oH@TMbzCqbX)Kvz&C=!>_xAh(CQKZ`84sSWS)MYdN*iq46mNdx?K^E|-e1
zfp#7j=B2UL9y@(&5hp3^0&;Qgg>0FACTbX6I(1^Ay{bN^&1yDyjQ)GX3TO4ywwy?+
zJh)}UKf=6*G22&1aZ8OfA*%_$f2`25-cywD`v2n7)A2*6v9nf=ZAfs`afoc^-bN=l
zhdjD2L4HS*MYHHQ!<hQqZKHd@w?Al2b>!zgUvY=UlwM=48d=4pz2mozUqV|pdNW6y
z>c!u~ZG1*mB=7Ui4f#EdJv26hzqyDqBxcjn52U1EEvuJje_(HLl*@r&?R_h_T&(wM
zn}w}5R-Ox`es54*yo3Ke@-X|!6>S%x)lXaWrP)WAeDO@dg;!r7Zg_N?yq$AE?cgHY
zaCWEs3>yYsA!_Sxgb8BQmO+rWp7YhQVPlrLRHyfazDEM;AI}ejJDE6g{-;OXXna^O
z71}PO%<!7pY0F~AbwFeN@<+slyJ65j#USB!#S7Nqt;R~w_U}fqcD5Ite7K|^7TSNo
z9|9xq*DBnF#b1AvN5{r__m+|F+rKWx@l&B?Y8We8BZT@U*+&~sM!Gpt@jf~IgPpnA
z<HTov7Yr%m`y_=}xOktK9pKqMr*z6>1U-f{Xu|V=#iy9qDDL!%&W&v6BW-j;Eli>d
zUqf_m-zZp#3Ah;bfLlt}sMf)rGEH7_n()|=TfxX(JV=mcF9FS&kyf8L{F|nWqCQ3M
zRSqu(OHO*_Gnk`13tYPw8|T9D_anY@X3=)6@PYRum~gmI!Bn}NQi2=004mdz=Gx;$
zd9af>RnS6X^ShZ%)*F5uhIbM$KB}MC6@x!cUm<t1JXWj8Acm_NeEkV>SEzt}<Dgjx
z7_^A}h)S>E<N~cyvZl%4Kb-$#5I)v8PbJ4d1r9Qwt0IkXT`<7G3_o=JPndfw_E)Ii
zj#`^?IYN*b@UZNXh*1H(y^;q?A_oL-Y#OVLgdKh(`QHLw+WYsKGzfXNhxuaXKbN-!
zp|^pJ@0e%7aBoo#%+B0%Z*)vFXw@LK=wy+$+q21!%8EU2mwIksWq?R4FS5cZ|HhDJ
zby!3Vg<6<5ID}_bH~}n6Mx@8!)q-XUVHSMicG37E1IZ4&f6~pDh8_5>@1n*BV*IH#
zl!njPSr4XBb*K#W^BqP?p<!-9s;_`EYOcU7NBq;N<I`IQ`Ors~HeMx5Q;~7?C(j>u
zuq3VftEMea2qxunArEi8W4A&Qb{?dpFj5kbDSFA~>+WYvnb}vFj!S`aJF^4jXg)`v
zqL6|`QHo51j?{xFNM050WgAG96Q%id>e!gp%OK67Jl;;`!hPYgh`eDbCG@1^x9jK!
z_j0g@CB$0Zm0$z`s;28R01Z|F_#Ooy2i7dudbSGx8m+rdZnP_3SpqJA&QIC8XDskE
znxl9cNcVvdz|O9}`vo7h$fjuIE&^Z>f@z8%p`gM11D<zt@3&QcZqwTLan7JnSS>G|
z{z^%9iNXrLt3n1p?5q(aLhinHPBsaBY5FPgesHmQ3n2&isH*HDV%TNem2`jcMIF{$
zi-7#@DC2xvH;*YH`r~K`5OH}(^_0w9m7!7|l=|Efuc%{rmVJ-qQPgM_34d$(?(5QM
zU_2D#!hu`J?A_A7LKK(9wOXk}WV}@*sYr=o^jbyQ&I>^ToLx+eBaSU1nzgT3gF_{3
z4$T#+W7ky(iKT@p=KL`l%*xhBY+b!lb4T{pUTiT>f}O)9IF$=pOOV-Ph81f*jYqg;
zUdL9MoQXZ1%_ZKI<k-FPXi4O6gSS-tKK4jLSk=0GXvW@&5s&;+K)E7Qh7az9tb4FJ
z#au1Z;Q4`Be~>fydkckHq{);HLjj(%!54wX;EE)nxSFPtTn((@AgU^^i-583SC>HN
z+-bbqLmG`SR>#?|3(y~q=Pc?Yj93!UI(LUvUWd$Sm7Dj5Kb4awH}5iC*3fEY$)`Q3
z;_iehX{L}ijw#vv`jvAI_=cbt%Z{LjpeM`r{#!#)BLxZLg>yJ#^U>f-mE;>(dyv^3
zeP!-7QN$?)549w$`jyKom$;cZciy1S7Z#{}&m?yt$gMa|(orln`J^&)Atv6cxkugi
zn72+G*+i6!D`DI(dq)*;j0PRTj(D||m27IL@rr)Jui8mtXuf=(`<Gb?V41jmq*C@;
zOf(l+=X6H1Ph;5->|is2)l|<Xm~u~J8LVJ(?|o5d*OXxF=d~rx9hqdGU^+Jc^e*0G
zHKLxcvykN?M&U^{{d_=+irJV`<?*UKI>f5X9xp5c)aS!q<<L=81V~dA`z9nGoXI4Y
zt8PuE(sGnnNib^KsFDAufV9-X!C9f@A^ls0WHw4OS{@4MW6Y&?gFZGH<w+;E+6Ihq
zwvo7nUP|6tY_gefUQxU8$o?4fTT^aojOt85Tv?m6gbFl8jl=p{eKgo0Ft7|U2=Q(K
zUvfXuMbVWOxBYdfdBR3;rG|TG&bw;Oer(FS^sOc(B)YE|W!E!B2vsN*zB9veKjK0u
z*73O5d5_ggcEur_wnf=Y4^>O@hq8)WM}r>U!5-gyYEgxf$FC~988%gEB3p4|ZbpJd
zSN&R&5^*cSbGT8wO?wqb!^Is*Gp3~@DFRB`5SyXg4$FwDg&;|=19kj6=ti^^3HCRj
zEm*I}$Bf(<`c+cs$HqxXA|eh1%;5HsCRR9$w#;D`9M@$6h>J&W<C#I|g6|6$@NjfQ
z0_S(2pdhuZ*$nY2D*6I{&W=aKNfiF;VN1soYn~wyaS@GRvn3kq?SNylIq<reMB{<#
z*;cvSZgkm_&f;{vdVCxru$5hHzj8Xd##v+Pc4W17{%yJ0^ZJg?W2pGuL~SJJFT}bA
zzM+pT)s))aCuS`B7IOlS!nLVgA4-4xSJkD8P%~y)g#1M^kwl}mnt{Cv1cRbR@_#=2
zKdh^{O+YMR8E#n&%dL^AX@{nFG=odEp)xUt5KfTJfhqWH@q0r@IT8Gk?mpDrZ&#5Y
z)`wf|?gscjE}9wcHrur2VRD?p9M~NL^UZ$dJd&j(*5b2h64tP`SU7)ZIbOUq)@ArK
zjM1~e8;iyLvWcOls>qQxJn4CLdu=<^{+W(`W|eNs3_^2`k#5TZQXUVcX4Fq|i%H_B
z{l{vY6+!M*WPGpq>;qmJ{=2z%u%;12AWVWG6e_%Leqm%kWiLn{CoJTDUtc&s^*3E1
zJdZSB*Jc77VR#abGEx%Gsr8@Y(C`W)0KxYTwzv4moi!9hm_KR2;B;)dLoHY`H9q>L
z?%2A^>)(nN@hQ<HA>MY$$-fp0t!!H%1nhw?jZaLQ^ExG+^C~Bi-N{d}-RY{+W+Qs~
zi%dATm>SNJfBm}yNrd&j9wDsM^^Jr3GcWK3p-{^!LGb6Zh}Z`Z2M(K|1TxM*&j`!S
zIeD0Qg}mU8mtqzdzDo&SAy*AHPA12G@BZ^RlL^#^o$Ehc7UtNME-DQ!Kd-UfAT>d}
z&~@H|+FP5iPS&<JI=tN`4GuZRWBV>Q4!qKl$9u<cfqJKvhBi8Exc)2cUQa{cJ(~2e
z$~&7&W)?hB2m|Q~h)$~#l&>vo$aiW=lmQlcG_@rj0)zKbsAdr1JKTy%I%RUy648QQ
z$4PQ2e>J?ATFJuOfN9bPS>OEl&}Nm_Gz=bz(FmzO>;+<>4#n(69ltT~WXVkL%r$aV
z(?KRJ-4{;fPSSZA)lT6=!xpkzm5RPw^~&}ysuYn>N_eW~SUz}rJ_+EAc@zFGzT^Lk
z@?c|P{r|YSoc{~TgY*9q<*|~CCxPshQ=K}D6RkSsb;HH$+T4r90Pb&er%y&3N$!Rn
zz@#THT7~@0oliTDV<N@p115%-bzmpC*^2&gdU823OgaMlO6(@&<??t)aQ!?!mB|#~
ze!G+@{^Diu;d6EP93sc+5h&7Zv_E~n9k2H+;Z2#X6e@EhT*N_tUrwl>PJY82t5Q5Y
z$iaLXun2k_W7s;hBv0(OJWxk<()bLXT=gKlxcwO9t6Znf*Sa*QkDop5*7=0=Q1><c
z?!bJ$F~ruQ57K)ea(o5XB7e4M*6f++Ufb7Ku+@Q7&a~OLx7po7q5kbT{v*bbs7`6_
z5u}FmqVJYwOuQ4Pt1bxAM802x5sxHpIiUKDTOJ5EPTHcJ3T#LkSAVuL@kg8}{0=?q
zb;-!r_W3<<U{Y6r<ve*^LJrk-i2O85AvFH?E0`Os<6RlYC~}kHsKd+c@g_}6g!2pe
z_jbGKHo(rB^NHBiwO@X!;@(~LVJl{upO2-yMt_4gYpB_;cvbMIxH0#Jt<qno(&>l*
z57Y>(d}+5KNIkydFNHd1jHS~UHg_x71P9%IYqRgx2yg3e`2r5Gij)w<?qQ!%q=HBj
zYN^shovLeY5UjPTtm~>5Y3gdVYMAvUW_a~oJl6DnSyX09TqhY+lufb7XZFEUeI_w=
z{Rk{lKd3LL{`_l;ab8|bbzI(%C*r^KnF&+W8WO($@f&Z+{N^w)K_AY_N4J)a^$nH`
z9cyahy8wHBhurDgojL@~OCgxnW{gJ{r=uel{r5d~?Cz*9J)0x*qFT1lZG?8;&FG9y
zE3O%Px!G+EKISxMwGL-{nH3yT(Ni#y8T)lKyoKZEaIQ&dEX>fglo;6UeoZoPQ)rE|
za*`UzjTp#vBpn4l&T&5Shm9vgxnB73>KfJ^F6~-k+X)VA6m7f=_?e@O0_ha~;N~uJ
z`<9P@Xv1Oa7m#YKl{Y6w1IFPisKu!E>d^C;;QOW3qOjA^4PtCmG0mD!BU-e@$^}h<
zs@BA6?F@?6oucIX77)CknLpsTx(5V)Lp0))%KVbSEUL+a315Obs|7uUb3&2VjzNpL
zywiCcUxuCxUGi)p;A!a+Hl1C3kev1M=gepA!>4av;?VR>@M=B~fAc;9GbGkfHNh9^
z0ozSp&H|l8v3a4dWP^`Om?l<jrDw;ea5z?6C5-j(Dcgx2lb#U;m5DyY^6ZJaDst@?
z9NAC)N*sZL*v`0TCxalt>e?p7gJ2UVd@|t`CH4`3@W;>pD%3Gh%F*+-`}<NpolL`>
zIE)>Du2fH~G*XDl-52u1L4OEs2$PHPR?*RicOw|kix;opaS@ojYH53)9|`JQa<8Oi
zqpwOO0n3<@7?>z!zX3rGvOsj5_NNhW6!v&2X5?@h#CJ8bqQPtFmo|P@3%1YtqaFzk
z;*V#e(22FxK)1Ekq`cCzs>Er@oCA3IE)OQ(gfK=37`U(<|DLP@A@~OLwQ{KQVt%j?
z@1+sKia{v^poVKGU=|?xv+c}d;1aDP!&g3tewGbZ7)_;hl^Jwl2bxae6{CwRZ0Gh&
z7M1>n8e)7QPb6R+KA9&71HR!{Iadt&@D7!mm6<dQQD7nxLguO+MUWN@8`H0_C<Ma|
zjLfy~lrxt@S@Mi%LDxISk=X=7WZ?Jvn`qaqMy48mJ>7i0QPc0jQGuA1SM;K6|6;JM
zpo-rBirrrK^YVa-nI`U2065LJgQsWScmS#9%m+E)46hjz`_|KuX)inphd&V3hlWkJ
z6994f#i2v30J>cKP2m}P1Tj+la3DPg?&yB|mBmcU`*v_FXYCMCg;%T0TP~yT8e1j=
zJmfN(p0T?y+Sn7qoOYa@*a4s=oSu`{8c7_GWcxLoe3s)73s~wYIWu{3j9A+tpvYzb
zp??hWf{=*5=Hq_4Io)<X!1dptLPVkJ6hw6>yXQT`8`J@%AeM_P3xbrX8CkyEW2$X6
z%}7m4qDGZXzqn%_*g($)cGxsZ7iZ1Nn;7~1IqjXZZx!9RWnbDBM_^bFNY(mJi>L@|
z%jgCy=notTgq4u%P`_dWnu&-!zgT}*Z3gEaFOa1xsH@s7|5hbuIR`I=pWg$PlHi7%
zB?#G;gcoy!d{o43SJ2%FN-s(J(myfKfAu;JZW>vpUDh6kPp};{LXHe;RLCh6muFV?
zW@uJPI)-gG&P=2q1|r^O35|4UZq-q*mt*$W3pnc*x#3Bnn=?@9)yJ+39Sk>|!0#%d
zK$>gxUB})x9WGZSQIr-|weEjJRn!-EbLBLSOPI6op=T|JeFiop>!uX#M1?zBZxg(S
z@KId8fg=Bg7R{a7iRiuW7e!?PtE5A{!t&n@9sdV9spaw<O~oIt_0DZQ5Vq}4*G@J1
z7I4Ms(p1V-M`a{tO}76x5q;b%@|#99k`RgBzS#3WN>M{n@i!0Q{+ch}FOjB{{gZt@
zo803Dz>_KVtkT%00)f1M92>mEoS-P)!o*m(|6v1#%DPUOpuwf_Zma{PveCg~_XMLN
zON2`BxF%ECY<MYBe8~uP$?sQ+J4KgogCD)%1*V8P+##}bF@@vZ&7kI5>y{vAITXZ`
zsT<96lew*^_dfk^PKvg*wuptFm!%=z>Z^pADG)v>?CEj)_|w=AlochVdep7Ab3F@#
z2tFnZ<l@3KUhx)wMD)ep(g^j5=PSIg2rsEJt8%cs@oEu|*))TOG)3vKfnZ5}b<ar0
z!j*TW5-SCVKpur=tSERmVIbV0l#41`B~~kh(I#A3nEDo?I)<DtFjgGpRFH~BcE^fL
z)IR<$uw_zspzpFCsaML)9Kp;a55XxQ*gYmPwb<Q?RaDpU$<6F)V&8&-H#o_r=S0If
z?#>9cfRB?z(!M59kht@O2IclsAzIX5u8|hhdZNVxf&Yg(<SAZwa^PeltNHQAm>Q#w
z1pVtrb)1W&M0^%BGUFL%*2VIj?&;@n=t||1l9h?)*s5t3LZM?y*1A9M^~ooQy@rE_
zhSMvaGf;UkOUk9|LK8Yj&l4%LL8l%_fIpo!v|d7uXuQs2YD8;E0%v8UWt+1oEAyzU
zdA8mkICUM^LmhFTDY|s(C9{;iNa-ZA)V4_UKYCa92S2;%=AGI)YW+$=71l+HEfR5$
zGw3C&Z&I>SdtCIZXOxN0@BT}COLJwT?!~d0OJV*nN9gy_Vq!0;mU(t*vBcCl%#@Jc
z_X&^<rTL{jVD+8Fm<~&Iu?HC=?VUJ|-aO@Bo|dXN-czkNUbLPbSRK{uZpuE9i3{^x
zeAPZ7geu~2m6l^|u2i)elKd6;`M=DCuZKhgYgw^H3lJ`_@)>bDC97owNf9TBAZ3^`
zCD;6WEplu$#X5^+WlGKYW2%*9)Xp?zI)fHUC52*nY5e!MIEbCwRD$?!1pq;5%hZ*U
zz73Y(@)VlcJ977$Sj*B*q&Ayg*wp4>lz~G)nZ0thRlGRT6<N?Qb1y<@*$Q5MnJ)Zn
zvQr~MTB@w`zru*lhW0e5vA@jMCMB^BF-gmNuS{G{G!?D*QZSuqb3}QjwbnNP3^dYv
zf)r&5<<HHC7Z>WoXeILulSrL$+VTN&y#2~dz_?c;pnXxYDiIL2DEL2mrveLT5r))=
zxORyNoI~L5+~lI$;9qdTIh)F5XxHLR3GR_HLQ&NIb&Wg0g*V*onBJ~8xyHw>aL!x6
zEXb4A8|UOpgd_PkTM4ERUhaY~nwDp}bOJ^IvvhOSfc6&})=n>Jrr&};Z~j2j(m;C>
z^>(ho&iRcSKgsnk-nUqN5l6}&S3Rx2%{Cxak$KuFP~C=m;Aonfb{qXy#1QI~kxC2U
zLxc(uGlYy)gS*_tA95Rg^nY~XC|N3Nf3LgFP{E0aOYJDcucbJoM2h>gp&qgy8gLUP
zC;B(8EIMkh-1kBv3!QUFjlG(nVfiHiG;|y_W#GZb#zEaV3ME*y9a#+VtRq|3t5uq0
ztzM}Pg_3nfHgxl;mT~(Shm|B!b1v3tSM6w0bzQdAk|+eY>c~=Y#@1;J@x}^A5oA0K
zN@QFy6=+h-`Wy`LWWIp7eV9-{99h7<PB<CNsS=qR*td)-B;=cTwfw>nYh)8LtEddq
zA~SD@XiY7;Q8YL3Br{N@dL<6OcQSFKo~gj1P$_R?Zb#ScTr4XF+bC;7Dj!t=VI_ck
zy2Frq^jio%v2TFRjNWVde*URdX2gb}1N>t(olSQux_Q2)mdv)pAli7cjKOYS5-C#a
z{IuU>n%ajEWIHgvt_4VskKtr*3&vJu&k~xfX4pN26&jZX75^&5vkNOED<J<zdHv9T
z?CX6lm6Pq%68qTHsYxv*zfem-KDZ@H{ry5X_X5*Yo@iF3kg`L#{~o*K;u>#w;GMid
z)D>5?7Vyk_rR)z<C7wH)>?;pt!`_R}BZ4ixVR^8Z$H`-R{4MwUA3a)8e)HvaDR+*?
zKN6I_qZKvSR7)fC<C>Y{g>lZ*##`XV(=^Wx02*EL{^*osi)T0!x^#^*&RLr77O!KO
z2HLC4CL%`rEQ+>Gn-!aFgqvs1%FKqMFSb~y-bZLCMzJW96R6IT(S~kUtCGSr*}yl5
z*ELNnOV)kLSHzuv=FM>*WEU5rZCWo%qGYP*9QmqIT?leCG(3$gIF}6<7cH>4G>ga2
zTz&PA9*rX+ba1l8d#SP<Fne+kIsm2-HO{<bO41jBIx;0~i@E=!cicrf8U>0a7*f<`
z!&mL*V)2azZIbbz9tf)O8)*S!lMy%po_EYr{$@xGZZ9OUP5b2!oUp4Mp72)}(MSFa
zXJk3}k58KHD6|F2FsLD3^Y(4i@J_20SiNn2a_#8k8XPm`&G`^#a{0>Onh7%k(phBp
zMYkM5i`=8D%z6}~*IO-ebsmZF=ZPY?B}#%E)d^&wl;cxEWtpqQ*7g=>akb|vF;U8;
zsK1w_K5y%T)+!S^&IaSWMj1!#-p^!u$8N5&tNwF`%z>feP3Pq(yf7tfVMckhQ;LGM
z#l6=@QF!K*sv~sIqh#YK_W161IzOXVH=2Nz4(lHG#1V+496w-7EY<|FVpusBYPsqd
zQxGja!#CIny0>rrvd|A^`m)%2H~(CG#vd;5q3Dupn3k&(o_IDH1pq^Z;L=WtzN<x(
z$8iu)(L%lCpK1i-@P{-e<e$M|%cx0p3nnk(u6d8SDop`4wcD)6TGZ`7+{;yx?{!Hh
zUb>`9Y0uss0VXC3b>1A_^huR;cSUtSPe*^|+qsY{6v7Tb3nXyIsO+G?g3qndsW@*s
zeo-@gzn3723h(=?hpx%`=@B_nFYzT|)f$T}mCTdeCPr>w2>5tcVW@`_R?IWCz3{?T
ztXiBUgvr6s|NQE4Y)yrLby_8mQF?8=;fV0HalV&{r2@Au*2h87D6ft1r5{3*5&oY3
zVMzB+iKPDkPpUAoYVjXa{hcM2g3Se%&?1RRl2mzkbOv@u{$Tcg->81c1Cbybk`wMR
zl_qV&>8VW1@A6X?Hp%nWyfxVxwl+0SC3uGh9=O&XJODIZK0O2vw@Eqr`h+mWoQKJV
zd6YpdDmBy^(_fJd&irn?-bzgg@|Tm?yIc;fPX)vcyf)QjHhTvIiFCY^68wtC2Y2Kv
z8h&3bm-NM!6tU(u-rjN9phQ93-YCrpLzkV#xAE-3{5;i9Wn--x9P~H`8Dlk1PCN~p
zBIKOfk^V9V%^E)qWs1I4*wg=f%vZo8naiFORz@Hy!Fw3qL6O2$42&v~!jMMU8Jkz*
zNRLl(6{5nwnvP{B4-{-$<4U<k(?E<h8vTl})P2eu&-fW)v1lf=`10KEv_Q!4EsH|F
zSy&!K6TLZLU15EF4cJWqq;npHD{c~)3*lSu2ut!!$`@hicidtuDlszBw~I&mg`cv_
ziB@p$<wOaYZQ4S8LAA<)&=b#$vSnMk5FL6ovhZlW*%<Q2AZ%m}bKQtKdHD%w-qJOG
zRnoN8pokS4M<`zjFVPC3We1}n)e3U<W1gZ%2*kp_0!191SJVJBx!aGbvupyXh>Hor
z6*+!m0!gDKmsung8e;FpMZd9*=F{QmK+L!3LS+ZGG;>@SaRQ9~dwQ6`+M~V`&qk9I
zAFcRG^6|p_g{tuPHV?WC&)O9#^{1-<=z!j+b=Sx9c{iE0fdBvBu=+0>>c7|{?3`Tx
zCn(4HzpzKR{%_eM@#M|U8_Vhow@Lk31H5L#Ld7Q14dh7yP6}F~8yK>s5ont6CZC;G
zVuqX}ArqIv7}ywt+y2!vPoPjegRM||Z?9^!w`$iuFJBCVHk&W19Ut#E;_KetcMnlA
zU#=NnPq#adogU1&-<DN_{psW96UQ0HL1m;3jMBy{Fd2B9Ltw+->FHT=j#AY1esCG2
zQ8DxTW&C?`2T%^@B<cMpDW{kD-$}VvkGv%9@1z`NW`%LXYxC1rUlC1Ty(ltyip?I1
z4rAc<CwkHo&ujKl#O&qmRVf+`t;xq{mPX`Fa^2g?23#w2QO5z;3a7i*i|^0EI+eo%
zOql-)%C%b=U_gG%Ly!(3tsh8#T2-LX>+qM@!lEWM-QNB<s+76TV;Mb=JYwjUZ`Ift
zdHqiMWAwgw)nkY}w7jEY>BkA^8DwAq;Gg#>N~4+Fb8wDIo;bQY6s4h?eTop?uY=R$
z)7jTwk$4}j`=gS6GnBo5ydExd{6S8xC@-2{$F(YhN4K$n#qBOqjg3gUTv8TM$Qmgt
z=Cm%Aj$f>D3|eauEy)x*g59^(8qv0e>5eOR?98yRh0xUd-T4~)6;CXVT~G6ETXpc>
z9trAOeVhlnG<Fu=THN4lFD06{#Cy#g6WBQXS4@tJ>_IGYJ;TtRH8p>{Ml2F}d$wD#
z5$U&7TFB9^VZhan*FX^5QDJ@f5c1A^uZEACWNyQOknhE-oQ18WQ_X&3CLV26A%l_^
zW$VH(R|bY^%hU39Ew)>?c2}ULRB9ELM*&A|^*@jD|4zxVEHeVkWo}^Q5teG)r1vm8
z^NS}63Jh}hhiERZNDO}IwS2=zirBv4BMXVt4FhTw+cLKd_unBo_$kR-nAV#xM75lm
z-#E(;6s#);<UWt0+4M}B)=S@RoLx|Et7F?;7<dBew`-;Nr}EpiQut1!S;DH+%ppfz
z3~Cn^WZpuQ3oDMbEW(<}C_lujngm<?IG?Q;1F=@KM&c+pLm$L$pr+DqTnn>U2m_1^
zLXY|3L_prIhJ7^!qnU`dyi34J(?jF@d!pKaHoq8?jRu?iC(bpC74}((;jAOM4d7FN
z4Kg6W;W7c^g!e4-LVVxL0e!FwR1+3;5QX@hJ~PG1_zYLK=x5JQv-%HogrVu?6%~Zq
z;+8FqRW$1NA7XT7^H@pijW2_Kq(;&0$Was;5q(m!PT>GwPs2r;7spu|Ej<SYi-V1W
ze%e+i1jJzBT02Wu##z`j@X#>-{E4#sP-Dp`-OrZr!egp`BDYs+Foi#)x&%t%BPmQ0
zgNRs;)k{UlU`kbk4jKG!a){il`gEyG9A@8gw${J;OH#T?rquU5zi{JI8qxjlchV0n
z7(j0pf0scNM-qSdLL@6^>#Iu2Qs=wHuuAl&C+!XVi;6py4iM2wJ}B2yaF)8F4>q*<
zs*OxI69>lTYEl<!@s*BT@nB3-$=8gj{*8OiIYgL&j`!3V4-*H1sZyf+{c9a4^F!%v
z{EOM^1(>C2QXbKVlyovgT<3+SAQ{F2?-<29pIQ#U*S{Q_nuQyqzxht#kO<YD%lwjq
zNf-@mD6R>Tsc^>p2}8K4OGTO<$elf_(8TKP30ua>a1fiS{3|!!r=2YXlFbo+auQM{
zWyWiPWd1;JnZ7yqED3ghJyZo*;)XLz0>DK}Z57*<)6hyfxk&{Yn6kDZN(cpi-e3XD
zdh&Yvt_WHmi|`~*Z4}Dcaqb%>FoMkpRx}FT$lOY`jqCDbN&VmffMLkh`obUVl&uA~
zmTGIrVPl9D>_$*Ygc?a`HR;OUg*zi5eMt$1F4anavtwynM-}Q0QSd4jTd(Q>ZCX{%
znMg5;6$+}ncRtcrvhVG2-?#?v8{wHqkdH>c&C`yhNOFTV^oXf5Bv6SfN&cFew^KyB
z<V3ez%@5ESzgJ80rNk4Ih}X09LtDWQc;>=d{1R3|e{s9eV>sZ?{5OY65Vu?Dksl2w
zDI!Q`k?D~6$jFtolai`&$r?JuuBfE48;so@VAzEno=pL4n59AT+2e}`jVnD(#pN#?
zT=o19cfr<B%uUQqpW@h#2|NxL>xcO!*Qj<yd^vu}0WoG#xq1zN6esDg+u|u%a$RTo
zay_q?$?r;{*C!B?U`@AaztMfcImgfT^N{{Ph$yJ--KhMbQDV&g?A)2zX)gD)-n;W+
z6s!?(F)5;{7dM~=z7Vcph$yo=M5sm6x}O=+pk_7D<Y%9LBg{C3f6eVe1C1C0SswaQ
zr}<zICI`{&SPgXli&ivl1v-4uNIG)?y15c150GM6TT9vo>@JM+=P}VpI!EwMfEbo<
zE6fB%pWPr=XkTTIwGKaWP@cgjy?@PKP|!&d=JzJm{?X@=U-ZWTEgF5BwN9Mq)XIe^
z9tKR2FrPI_!M7}4>#GrN(3qC@D25dGeU#D823^jlazyRniSp&?MZF|B0%U}Pt?aAK
zan%uy(Grk0EdK=6^0)q&snOl~sfIaDmWlJ%P}^5&E{-f$9PVa5WQ)(cbW92cr8?KQ
zTCpT1zE}q%Jg?NK<2DWTuKcAls1A6#w}uo{G1_D;#M=Rxqa0X7(Voems|{?aLjHs_
zTz9l#$&C2h{`Rvn14p~jhC1TNn7q`>ptYiqx3{bYr;%M&ChiCi-m+|SVw)|(6rsUA
zPR%7cE}0&2*?1mhS$|N&?Zk~L)?u-uc7i5-=&ngbDot~itk4nx{^SJR=$3B`BhF&y
zd0_^oFcIAGnqDa|@GRh}*AyuG_D94zQetF?2S>-02$vtF#xL@p_h3!|E9>^1#L2+J
zs=ebRJ8!zB8V84B3M%x<q~jQBMfjOC3K@!e>L`GID(jzZT{_Z8*u^hrPR%m+0^usE
z`PlKl^B}io%`oBgRCi)W8^35@U)VD!)g`uqCyV2myH^4wbF8aNLFqhX?*zwx&m+v-
z4dek4B3PkUX~y5UC<GG_*JRJa_f!KaPRdx41I_g+NDvu2+#+@)FWIcfO$c=2JkZxA
zFBZWp$DI6BFLYxjuGG&jD*gWKNa&ol!|Yj(prl04qh;+n-Ak0i7Xi<L{FToT1Ds!)
zt%5HsU~<GZHI4phqkDT=C>w}ps9pw;`*k|Is~pSIeb@=>b>b(AJUo_xxROyu!4j2x
ze+59WJ^)@Ax1ZtsI)){GhS87K!lZgrM;sEfB!8e<bn)amtwQU6Rwx~VQ_^&4r!!LF
z*vpKSR$8Sn4_O6?t|B#N5jp-D0KDTOU_LcM4aa~WjGVGPwCJU-UgLDko4-+XrA*9E
zV+`g_s}%gz%CK~oR?8g6G!EdwnIlibHlay9X*2~W?f3Mgq@XD{3>Tx8^)QSB;D;(}
z!StY4vc}L`k_&PmNmmX~Cl^c88k(~*e`PPA;2}OM(sw@O`Ce&rvQ67E=ev2&f)AyQ
zd@bDzO1b%~D#8~6T$SHIodRzLI!#pq$YWgGh1rObs`XfB*DO~QggA(q;M&H5L67sS
z%uLjYnRNfg!D~FSj6qr+l;M`!j8IVof(u)lkUH;HVGJ3aum6cQC8zRs7p(j#W@ssw
zd$<=r_K)B}JM%JVl1&Hc;v7m9*x&LhiTiI-VhmfmF22JI0i3nO2|O{pe|A)J*k+tX
z+bMwb?^@O%m@emE?X1E4_Z8a1CNB6KWz~mB?g!eJ!c(2xlaV}LWjTiAJD7Ew{h!ox
zf)8vaU>Un%Sy#1wTVuqCy@%9MY9XPN*S|utBt$*&G_Q$BG$MRbaHq^Lc|!0mu#E9r
z9}QnDn^4PxMgJeh-YH13@LBinp0+)0vs%-(ZQHhO+qP}@v@vblwr$VX^WXb?=VG6W
z9Z|7r)lJn}Z{(X5`CCusqh>>5^gM;!2Ev|BpTb+p{}Zsv7gKbgq4DYXyNQyxUfd^J
z$q&JU7*;>?)?Qg^fzUHE_7x|(RKL#k0AecLrQ%0y%0+qNvU<bnBcAuxf?y=?7NDf$
z@wWxX8uH^~ou0W9O{NR{rh)Lz0le3SQebR0n_;_@%kS7w1vPCRX+Li{7TGohdKWL1
zm)q<Vc;>;cf!@dUjA!Qg+OI_<--{Q`duCt%Va9paxZX^0(bJI~y@0G3RCqG6GjcX9
z4FwT!o4t;mqDxyoKDy5!+;ARj*hDviM1#yDE%(1p+;QRnX8kL!64-i(2tq8^_FKm*
zGb{yUbhHpr3%6QLk)TP>&5h{$R`*)ZN&Sspw<8EzSF6+RN4C4aP~D<j{V-U#+k%};
z?_LN5^gpr)K0+M$UaDJZL9_I{tde3`C!!Pkos|Eq+bHB@#~=pT%mSx_qZ5dbr%Tgy
z`T^mY{a}}4BIk<RhO85KP99H$6Hen9lLThE<fHY~vMhIUeX(V%_u2hG4s34uB~i7b
zYT{C`t$c+;<$C;=oePrcjm>Xa(4~gXt3v?cj~3oGV&x-$8IPI@yK@>q94H{1-^0#<
zY4Pbi+v@3OTAg!u7mSi%M!kKleW0A%d5k3Pv!aE>$h#cUMH!A9u-eVvqCsH8tSuE1
zn!L8JCrFPG{3R`eq~DgYqP+<^Mo8&8&sY__N<+=7^TaRJxXO-A6Z2vf^GMlNaxxAZ
z?kB-P8x)}yi{?;mNC=bAhd~=Bp(eo*0y*)BYY8|E-CXMoIZ8bpXW(bth$!wZ7_c~;
z`!F~IRBH(~Vx?0}rJ%~lCZIv&#-0AJz&-S&h8fj&50OkD{Ks%HdXsi0YJK+Bd{NVi
zm#Rb#5U_jI9)?0H5J+vi0~p*8Oc2IE9MEIU1GG}I$!dEJ%S`t$Z*@V=JtZ1FZ8l<8
zdM|_xaQLaJQ{9mr;qNeu>hP2(HKVk_R?s-P&XvzeNNqNq(4K=>z23>>k<-7`<=s#l
z)v%S=*PDgOP99f_Dxs*S;X9tjuu;d>y531}mCA^Rva+u@imuMaaMNw4ZYcL6g!gs4
z-gMM~CbTxIICyNwgTgESK)-gX8P|YQ9o)AWm-lVW(X>}(^ffGy)3FXwL2=Gk-0sdL
zn~Gy~jQd_WT2%f_xKOI5EH$Y1qd&xESH5zj+!0F22GL+^2FA6spsF`qEk~v*HJJYx
zDgW!s?(Dd`8!A{kS;^U_{FxKh5P<vhgxP!g;W~z?GR98&?-isP;cmv)CQ_Y1AG7He
zu6&VBSM2iM3*3hV2>U#9519g3jrObZOwe<fu4Px8U1^2#OLOJYO>haf4>w!T54L#w
zV?PrgusmX)YEqXdPGy7L<r;IJgJt~J$M@sqvqjwfj4yF0AEvO_6kaWQo8j6;i}6<6
z9+pbDeV325DwZhE09`mLXH6T<TcW_Zj#M-I&7Us$#Jpcp?6V`1p4~}cxmL6QMoW05
zF6Ph>cr)y<PpEa&;4Vu>o0m_}Y~rcx`M_JhR_p?}<XnO)aC4!J<^h`TQyZ%wsJ#>y
zC>E>m%mtR7_Ai$Snooo3*BBiEB43AmK{%M%<)E*hO`VM|ocQfEDqGmx#M@WYU4n<A
zO5OtvFLM1MtCf>>rG!`ApLVSv`fgU&50}oWou9$ym$%BG%kQHUy}01X9DBd@!2=_T
zzK&aN@ZRVQJJRN&+9?b>;(mx=7+Imq_d*&@EHK!^QzQiVM^ASV%Cvb<Hd=?J2ED@F
z!k;(}rYz-QSRK_6*X9=oVh-h#UWEPx3i@LLEgwVeXNIV*;jdkl4yXf7se$r2Vf>M2
zm4$p^UG;gGv4$+$QxoA!2d+5Yxt&2A`zLP7#=%&6#W&G3HZ>M6%uHr>R{yV6LsQDz
zI`DIHt@D9u^>3$@dfmpg8+}pVNejU+@|WpBQXyaGCv@e9DGqQQH0Tf5)CYkY^xz*o
z+?iil9b~9Y7xCQKyNhHSbURQ(f>}NjZeM=4SOstpIeDGmOYQ!>)KED)TvkE&9LLeB
z{6_#=HA^p-(?l<1@zK(`4d&*>sqF;qVQY=@FV@Jp=M9k+<;s}L4Utu5DkIL8c$Z1&
z)v4?`mm!*p&H)50_}D#v*_f+e6qe}84h-j1yaUcD&(M-%6Y-C|4DBG#Ru3wgNUt^(
zNL1hJ$Xe18Sh(v431thfkl*rsF}BSEF*hX=RSzN2H-e=trm0TnGxJl=XEpd9r_W~>
zH$fYnF_kqlv$^Y#>`0&pci+7_UVm3?8qZFqpJOir(B^Wl@z=qqih9~|;V6tsjXL0i
zJEQ}t#+brzbj1(w>Bg5EiX5d(NsWJm9zd`o*uhSrknfgp;8fu*0&uAD7r}=cdB;xN
zohJzGt|^3bfv<28n(<zyo_21qxI$VBG32um0*8{W7cu<fTxC-5tw4VeMPWI{Nko~&
ziO?6MtO!S!BU?tX;rWHT@5V^*GM#mFxJBTsvi=1vt=nFOiHzlZB_cF@0mzG=<YYeM
zrEjy(c#mv1XL5*4Zz|CWOYWX-6K%9n|0^^n@ZpT{Z^CHR<u7tO%EnrxCafPM?ds7H
zOz|v8!n6pOqgBskr{%Z`e5e783;T(kr*S`uvU7znw=__xZGp2(e%<0uyE`TIBeOvW
zJy-D_7s7d>1+bu|YyxJ4s>iJPWVxnSa2ntuj^YN}h~1s)UIcYX51~|6cL66fLpz9J
zN_2mdZa>a}{f{LWW#M;|y8?$nu#OTKV%xaz=z6(4IDsOj0OS!<wh(%=)6Y(PbQve`
zzOYqA6TG;7V#knyzZ7W7+hE!s?&8>iV@y{_KsfEDKkjvz@SDKtIkA#da)2+n&WGxk
zqjBnP_#J!4Fn9b8^#cf;u*R(~Qc-`8@up~p1=P{V{P|^^8q{wg5B)1fJ$$iX1bKd(
zR38a17B|s$#LGIgQxm$I<{_XYpNVsxI;?C``G$~p<mjK!Ze%cNpX$xh);RG%C1iu=
zNR$c{%Bk6<Ae>~zOG7}>Wf$MMyN6h%MdnnaUDJ0WxHA=1c1=2kOpNleK!q2PzfICA
z*OL6>?&vR&hNEHA{{)x+!IA&z@MWO;zu=Pn|6!BC{=c%xxYS;EAZ11KepY?CP3w!k
zLf;~YvxC}2R^$Ul&<}x)qbH;%!R*eVX{NP2cfw!iaQQ(ZjK*HLx~Z|Ywq_|#W6slt
z8rg|@>U-Gp%jQ@2eWly$*_D7+cl*nMz)?>p^<Q^;&(}}vZ)_>iXtuv_I2nq5o_MDq
z(gIpe>#ZcI^q|^;-oyKGB(X{=;r+6_*!&-C+0VPQ{oUZ}L)DX(*S|YmuI71N$nE9f
zT>TGQhMp_^!<MnEyyDIO!Is7qn?t0ndXRr6B`dB$JY>!X&0JrEYX+euYkkfosE6Dn
zYu|4do3(-zwo0HA?H}H5Kp5i26tY8ejWNdD3*omVf0~Hr`KIX)U<7b}aDTF>K%v9e
zo`~xg%&FjgWo&(OOaJsFkD~ifgnP{@JEPCrWavn->vyN>kTrWZY}mRnSJiL1-CDrI
zeM?*PH@D2b@6U|X)hQzl8lNr)*={3HO|a}QM);$Mu&twZ`dDz5tE=1TtN&Afv|XJe
z+k-gWLNGZ~B_Rsm4DG<6xZ~jf(gH=j8M&0kS^W1JT#X%O>PZqKhL-=HG>rQx%`U40
zT+Q|25;p%*XzDLsY2Sa`Qj+4ETXJm_Z~OPIJgq}rXY~9RxBQ)8-pVkTH;ZEf{~vA%
z@sC@UvkvZ=(fya)uD7tK(1fs4g8^ZBa1eZYw=0zreM;OEEt<HmG_d~5E|cFp6CC*M
zk#qH*+zw!&XkW*a@RhMHIM~em$J5<yQ$km#xkP?qeNb@R;*V3m0+R=ddw+LNNO;p0
zP`hu*PZ2<Lx%@7m_gijf{$Zfh^gaBJ2{s9*VDE?$*(4&kKQrlHcQ1g-f)Y)m03Dkx
zaUaI8AXzGqF~L9pp8htFnXD;wsQwEy6<4QdJ13!ZJiV%|`9&jSfxylc;L3B{i}SC!
z7tqK&V}U!OJkzW41J3F+Z0Ko^rle5e4ze<#KugR}*I<qkBSCo*cGF@4Z?ytet_};_
z{&$25(sZ6OfWLB6MoBZfEJ!OVkM*P#vYg;cJE<>32AQZ;IMk@@r+JwJDQWVG3WI!G
zQql-@?MHPMCXR7be=I{b5)90?J;k}hh6_$aTzaD&Vl{`MYj$0URs^{?mv>`;g3Low
zWfU{}5(fp!(pM&gai75wtCQUI@x*~Oqy?#GdDsQ^vL$!P7#@}2l^0iD3MEq9IY8_=
zcN+|xu1l>sS5zIv4&L4AhuLHXF2(3rX3wqCiX~3s{=TJEIimJ`X3qgrsd&>*Jf@`n
zAX$lD;jH`tcgL1=gJMmuPiwhj6>`3b1yRk@Ab`HL<F-!Fg1Dt8F8nA$cW8ex>jGp)
z5dyN>IQZjeO@A}tv@OlS3KSRV1v2Qp_oN#|s^lk#^!IE5X1o+;h9-^2h30!n*re=Q
z0Gb?wCi5$AWBhHA>TIZ}?Xr>3-OflKbOx`H0lkhIQrfd_RZlQFJe3DrY`1zogu=3`
z?-on#<2iTTjh2O(^;QQu>-HOWyqe=k&km;BB5$4>o%o}Nz$+n1@!SfDwYnkisyp28
zvunASDR)P-GJm1f@_ATN1kqNWVHvhf+12EmyEAZ6!NwQ;ZP&JV5<6XIS^ddedHU!d
z`wuHg3-;n$zI8HAO?lzCAtT#MEpaC;6U455hc0OJf8u{^I4igCUg=)Ig9c0sj@J!`
z0QU_@%c>V{=m!LS+2)@<T*eFO2NbDCBL_nz*f5Ksl8JqbYvhl>!taxui-O(PV+aTZ
zbN!t86(o<qpejH713>Li`5nMxh{P~rF!_JvMG&xqC?S!+F6@JeM<(;<Prx99Lmq@|
z{3OX!yny1Lvxi4E)`<g}q2Zsiz#$#$Bv?JGq$Z)OBz)9=g%Oj)UNFUv>;Bs$2opS{
zwNgsIGqP@EJ<946Va+;nTjs46!>3vhzJ_UX*MI^i!JK634Y@Fz;oza+H@+83b|xk{
zf;=<Sz=8QF__;u~JqlNTn|lqQu=>=MaO85l87^0%v39R&`iz|F((4p;58N2*k~w+~
zg?~YKAg23cUSSnzP9iIkED%o0Ry6jIg;&;~PJg!mqU=INBFimj+#+zdU@Bi6FL`4e
z7r4$+dYh17VjwNZ);V2uDr_>Tz|fzOW8s7&iusf5ZDi%_lIk!Ov5V3XUy>2SPt+sP
z1AiCOqr>&MmspKQ4gMF+HdVg!!+Mjj|IY=3q}0%D>(+V))pKd1mhvepqYw)Jiis{G
zGMPB_;qV6*h^7Q}h<0I<Y7r4zMP?QT!DTKZ7jNGL_u~p0xjrY3)6T52rC+p39#Wrs
z30~dzGjtnwN}>Z20=0yXK3Tl_NGO~WTWp7dTN@)KZTa}l@N4O=*V5W!*x^fV(qTy2
z5kilbkB=-D?Wuw6C5A?zW?xSm7O`h?jT_Z>1l6dyXZFJ8!(6hw3yT*L?P^dQ*gKny
zAk(%!jUoAUG3?D#4qXP_La9k9#b~fhuV(L~tl6{AI$?I#GRU^rFHsT9<T{qg#iO9e
zw(juZEt=6vQ3Eh4AZWz50gHTt_XC#1U1IwID<ed_AxfV*xm<WY?MKisxtB>lL5E9H
z*Fa;-WX~U7Ym=pbq-Rms<g)64o9W%W$2b{uT=)6>@1sI@K3K+Iy)8iaKvpLeHAS2L
zSatQqpC6^eY2P3ktZOD$jj|_<X7V_$YVP)DxZF|mMBEqn9#bd`QRHB;+<p4UsL&r4
zv~ptNCg^xR6h4$`7CD7Z-={$8vn#fwbV{WZ$(U0pP?E!V1g>iO3{t*qP+PMhNz|(n
zvt5+XbHa0cE64Yep@z?6)g9wRz{`@`1h~$e>U_#n5M}VBZ<vZP=3=ZXhQGSsPInW}
zIIU1+#Fk6a9-=ecJucY}sXa+Oku@JZNIgyWdRIpc$27oA3>7%;=v?+?HgXF(a^OUM
z2(>fH4>pY+rJ&>)TP;Up{8blm(F=Mo#a#ABYS`w+f0b3tCKQ_O?M`aoigDyr1AJOz
zF+QwcNZnJU1<2S+JDb!<?scP0$Z6k+9Ps7aun-Pz^KArUF%R}WRaBI=l|@0WV^i86
z?>sS)eCOy5KPWTzgT1Xuu_?x`jWP`WR%=?9cP&`Ixa?9yWy-5Cmey9)krnrnK9Rwb
z0MVMuu6fmSI|H@UkE9Re?p0;d9)9k)t(a71$3;k}o?N2)o(=ybUgY+{@&?5C^H*B8
zW6X6O6Uk=j?CRg$Ok0f#>{F*M$|IG1Idk04Lf>VrQy9ILmzcEAmzriFcLmB^kQ!fG
zbd7)AhF;=EXUiLdq&^BVf1Q4Ttp}Ysi2WG2N(wHnihQ^=Dat9qZ3*2&NK+qjoJ@{G
ziwG=g5+qkbRDRnXX74CEVGpohVdO1q#B6csc>LI@d&((ZH_{XawCdPvi)ce=d6o(D
z86KJAchd7pn>tkqyh}&tAUhE@cUCy*wcr?R7(*z&ymV*Ic7hcT(qL%Jkh#>}p7HMu
zHT@aPCv+b1J8TH|H0Y>=pKSsL41CH4CKv@@6?m2a_bB-X-<kq+^^iR0ge2HekbAk`
zc{Cfph>Zc%lgA+gjn~GyWn;@+7?<3U6q#)WF1m^=jkb+UbF69DN=i0#-1Qk*q28A#
zC}`cn-$FmPFhQi%r-EtwX<y?#be5{isTEH$jMeD)bh`oD)^JK*Q#A5Mlfjc}4dt^l
z6*1ez3I){`0gpz-^X}w{yJeRa6N(orWgca7s^7uk3Y6h#4_;zpl$YiWr(!}>>8tZb
z==I(ChV9pu%}+Mrkb#{|puX_126PD}I73ffnbs`5o2q{8yzT?-)x7Z`rR|ONv<6$R
z1XeP2HljgXToC0AHla;}?bRYf{ol!INtk@1@#@xWMBB4bW93EYJ)-C0*B@D7670wo
z?w;$L`<&scDHD20_-9XQ7BAw}hb2QxZgB#dGR)$nyc(x`fCzf1F5A6hj4jQXN|XZ5
zwg#w*7~uQU52_+m*Qq5U>Dq^9M6vWtHGN!UNcnon>0uE9^A0bnbYjG=f47m#N0dwm
zuYeQjc)XKmCFS@lW}h9S$dy82Ov$$f7MblR8-&v%mmdhpVGO6Jj{wL#kl!OZO0mR3
z3v<ApoC_+@>I&C`;|EeCV!&J&*3_t1Kgr*9sky*R`^bGy<GIF22%^zg_bKAV5TwXs
z>N%@86|UnrlfWW|=JN)Iu;L!n;Y>w+$FHMPc+7cv-HO~T;yaNUCi3`-<O#&EF^Clm
zk`4GkD$5?7cSgv!&&mf*i8Gv!0e2#M)n5igx%^teyn<D~=bys+lW`G_ZUKDE=B|92
z;vGxF4KGsO$`6bX6QviM+=tHLd%K!<^Wa|{+0i7et^Vqt2L(`?dmYDOL#@}PRoU*C
zcpWak?Z*NVR^jXQF4MyQbozL$t$z2gY#zC_-JN(EX-_%C>P)TK?C(!oM?oO4@Fq8{
zn2K*TM%4r)!{l&KAn1$OvIs^DM{QZV{r8cVxG=E^eNO5Z<?KD>W%@~^M6;2Pe7OD(
z8XX=xsC*g|CpPlqQBGKt=(fS^;8C)p2Ap}v8L4v(8BWs~SfVX<Zt`dIlKtIINAO7}
zK5VA>Gi<kG*bIJ%iFsHPE0+|E`R$?5lz0odx4SNL^&*HzzV3=a?4o-&@Ji2@sRJsh
zy#61n@WGqbtQOab%g(>HHl<oyFkP-IHLSapWS54|hnc8EYu0laFYC6qQ~}`dytr4B
z5bDF-T2PE`_nkC6%+eP7UOQ?c<r3qMeK0Z&@rf3Vdra8u)h<*bP4Os}Ee1;1(|Ia~
z^Ck+~_p%&-W9}S<z2g@pDcM#5ty7#_hiaoQ9DKoB>VZYA;WVou$K-YllX_`wa`+Ko
zA`uhCIB0j^BAPo3W(SVU^7^@DM4rZnU_-ZGC`-Xa9liy6w$?D;8+jI8ZcueV_Zj6r
z5#J$GU4(PX#J0#|fW@;#?Y;Q20iW#}bbhy{D#2s!FtxS&%ct#+D7P<NDQ*lQo;yis
zx_l@vjsv@NM9dU-(@^$@7Mnd=NzU!N?P7i?ua<Ku&b;?{lopVX3p@TKnc-W954$dn
zdysN6w8G1SG~_^_#3l!`WK293&OHl{_1KprR_B~M7O}~TdfVv=6r6&qWavVM=${<2
z%9+l8Ma7&EW};8;Wu{SaX^G<Fl`^Rr-_og)zOH!qZe;g+XVoXP;41_?PA}FmxTWgt
z5+N)5w~fqJPdiw;vNkHAk~Z_6)8e6xvWm05ZD~-sYqj`U)7aJ$hs$p#LBg>Ut@esk
z9c-0g3d17gMG3Mz1-p(%I9$pOrd^MFuG0`yDbCW=#ohcG@M3KADZW%2>4J?vv;G8w
z^L$}8`xVf374x5n)XFDw0cd_MIT8o#W!iWruuMUJhn80|kUU@omS()8>9XUbQmsOT
z`&WK}S)Eu~&M)jfQDE*Ilxs%sBaqALF~g!|arrCL09Uq+Cc>Up`S<*VR!pxEda%=1
z1Elr*ic<dUeedIlaL``iig3_e$pOLh(o_poqJ_x}Rl0&UjKlL*Rf|o~pu;6`&iQu#
zTs$oHYfPB{p=lHaYNz+SVE&zOigkVw-*!~l+1V7V-__y70eik!TxGtkrOn~!T>f=*
zHMa=@yuG3A@prSB+c5eLELki{zw5~|iHt%hW4266F4U=zKP?aTR3wm=T`-t}h!X$0
zrzHv2uzeHENDRO(7^-)Out<n2ibizLR)NfqqbN}nSfOBqr?f}}c{Zz26g-wEJrze3
zc3fUVb4dqb?GS=|%Ep=`R%w?+W(pY}9rKo<r2+kM*WA^dG(Wri@HNL$CGsWJU-1zr
z?d%;pG`olTVJY3bbAVWsa9~Dd59u-2bef#R5WMW1=@w8PFip-_TMTtNbC1Pe%qAK)
zOC;3xJF`Hik~u)C$o|q1bhcIIuhPt<GQl&4GI)*Bl>CHG+^4Y41QLHRJ*|WGc52@!
zMf#)ZIq$P@K3_Rwhdk(^N~(uw8iR`bmwL_7mafhRgf$m9<o^Q`{r@f?%*^!vN8iRk
z_di`g80h|&E+DHem%rn^msPWd3w69PCj_@}OfT397sDG9eC%qFTh>*OHDhp(&pUt&
zRt5zXkSUDoQt+jAe}+fvFVp5h=1j{NB#_5{QT_GxbbYMW9hw(?R^5A;T{Kl?_`6^J
zaecXY%E@ZP=PSWzzQ1sK6svlleMeH58K6Xtzle(VIlsARJKfW*;u4{d``6=BL`uc1
z_T%-}eKYb{vE?B-iWA#kLNv>3@22DF+HJE#GNi><X-X9ajZa?IzsVM=L8cKzV@e&-
zigtex12Q!$t_9m=&qvK%zuf;(qFA424XJNR6#G8=h|LaF+$oJlwtw>Y+F?YHT+A`e
zJNmCBGkaV7r-?*fPwIat(O-b}zn;wF*b|w5lqiQ+W?F^prB_OVd+zlf|6cJQB|5f5
zBa6>@e$|3&-v98X>!sYz{8qPFz|0*&{D%^89(%H?_OvP}LYCH=0r}bqYl~}R%kY58
z5_`EC7cVDP`1*Q0ekK2#0%vmSg!OL<oU)CEID=1-a$I8a)j>INnY7WOMAmPn?<sJO
z4#A391&UKU2hdF0O>yM^)NS_%9^@@skPSTBTRu5o|0vP8Qi1}&y<2wqn-aNP2Dw)M
zqeORDJHHe3TNx(#3)wfg2m>4Vhq(yNsSTtG0c4^^5jFok1Wsg9z^&Qn{|tdMulUap
zxPkwoL|&=ilt|3)^R0U0A0;whD%sNu7so$-lC!s#zR}6ywJvU1t~O^nzC6e`Zq{qn
zH~((R{H8>VvMA<Vz)reF|Di;Uee<B_E1jfYdsSwn`zK-G60|0Rlg=a)Oz2a(<mBJ-
zHd!5c#qt?s&w77Gcc0xXGt&N@s3LYkbjQ7p`>bz$+wc%d`^5)~wx>f5t!Kkhb#bk+
z7+k;=?ctOuej0gv)LO#06<m`B#2KZT9+f{hi>uH^PN%S|lEk+>ODl=kmJ<*w6FCr`
zky>40dZMz!-L6bXq2`S2`Ymxgh1Ez#Zd$_;YGw(O7#W51_~HB$$sKQi5?5nd$xa>`
zyIn`@3=VgWn^3>A5LuS=cQWiw+<$7}6c+LJ!^q`A!eo-<?Vb`y0-@R|-poN+OyC<P
zX<&S$ocvNxSJ0gJM1+)nNkTtljp2evh1p)c<1R<s1tZkSuk9))!&_}{rVdwZoQb1v
zvFw#h-@~|VU@SPyEbXrkteuM-8&tDLOI!*tAJ)Ia*~+y@8_Rmplj{1*A8)>m#B)ry
z5ek@h41J|))WNC&0^PqHL@{OS=leK>&2pGtAeJXbHxEwDf|{N_`d9lV<uhmJ7RqQI
zUx%b&+Qr$C`ej+KwKqS8ZB50Q<l{2PSjBMac#4ioXY)qUkJ;J?KDf1f*+jiH<dWWh
zuDy=JQUnX)_xE2P8x(8SLjICK9M3%|JYpskxtP_gd!2s0WwCmqg;4bD3s>vdqv;KJ
zA{KCSTEc)n0^A6m2P{HsSRatB1Ij12!W7GJCdXlglm|ZThkYROH2Z^`y|`t?^l{I(
z8I}`S2XzLg0M@WgYkY~aOV?8J;deu9U9WsWp1hMaVpNdzm2R%+KtHy%_!9LJRpO9I
z*tSb?Q+G@Th1xWfp{`UY#uh6i>{<lmX;lo7F9eDPH!sqc9uGu!ntVAt;T#6PKK55F
z)zm;KXec%sPV<>em67>1;EO9Y7=V~2b9()|bB_y4+w$cOFgjfwtFF!9?DD;<vE^Yy
zJ?bs+1Mbf~j$MUnKm=B?1(k$qh&AS0RR|Tk@}Vn-^wGIQ_O9Y%c9be|V~iLQIV?<<
zJ|w$QEZ1&Y-Oh)15WaFu;~7Nkm+Ow+S~eRaMlpq-ARjnjYL{(3NjA^O0GqFso&7}A
z=$A`L>qg@Kl=ktR9O^SDuq-#VH)>Ts4zK%ByO4L$JedOe?KRp(Y2ogd5>=aM^_{nU
z<qHrZQh?1D>kxl|<5uBgvp|yDGktOK2I2UpRf@k;UTj;kHp`Q`B!%dy)&cyg+cl|a
z9qCA!&QINUk{U1KFJ!EiN*k|G;Lz`~+ojaUdv{P+gD^tv4fn3kv>hR&fFn7}&P>cN
z8Oy^wRIdcP&Q&DAgt|Z~gMSok`7a6pBb;QDxe7-PbuS(UBrY`;>nz9ILxi(BS+l5k
zGZhBN$Ud6eXMo23w9ZG1I?+Ch9q|{~wDOKv&f5~kXPykiI-w%xE9It<HWpghk_+by
zSVy5bBL7#bH@O2wytB}uz4_vhf;Cl*TB=9<%<^X;P}>AG;tzE86^anV7+eI>DYwd4
zl<yvmi&DKnY0!>~K+yITZUgs%lCvB^gXySZnBFg@dqMJLz4Z?3!cKmnL1t~Fa*On4
zAj!A=Q~~`7v|&R)q$|ECBa@sRt~K3~@2FFEYCNm7-bAE<Ejb}deaB)L9~%)S@jNGK
z+qRV-3HaTGeS4Q^lk+5hIY3ziIYt8x@Yjq_+-P@w|1BAh0v&9UYGcr}iJu|m?f{ND
zK!QnTs)zOuHt)bDI6x=n@O`o8<s6Jv8WK1|O^Bl+K`lTY(dkuj+#mvU=peZis0$B~
zcFYIac=JJqX@@Lf#Y=faX$7*cOj7?Kz&MvVAG{GPj6S1jTjk3%8vK!MuE>{Z>DwvQ
zSd=T()W2aY86aJ#=P4<=wJ8HxKNn(3t|;zseB&37FrpAEBPCuxU)Xv81-<LXgQzoy
z<Y2P3k2Kp5E}ChF5)94PsfhmpV!BKW)`0?W62jn&;-`%N!Kd-<brMbOf>BW~0$qhN
zL=3j!H(<<Qf#O(cYeF;MCt5Orty!TtUeMsc@Qs!>%s1EnXldK&R>1!@F8s03fy2A<
zku%UE1avDMjfFQK8D{0(Z))6focr?@T$=0Yz4CF&m&_ugXVE}-4MrbX<;oCwsVb#l
zh@?uBMkrcbtwkej4H#<PeCP8bmB3S(L1iuC(M<@DY>?{Ilj)S;8DTrOj#h01l-Q7%
zmODewoz%3nC5@zy(GL9u2|GsvEyy1A==}6?B)^Ic;TG1u?VjIk&4s?_$!CdlFQT(@
zVP-AbB)1Ksu})ZRZe3|#-VM?8lT%;I^4Dry=#$Csy7*Ux1r;s0!eas1g~dJmEJPn#
zLiN=i@W0*pW18G9zG_3%&aK-C^}+#0%^f)!=QVy!;$2CosEGSo3f!oO@e1}IaD_Ht
z33JsET7Jr@NjAy{KdRb`m@4Uk>Em1VHfsEm(8AG{^8HSlfMuRjRmDa>6~k>v4Sy6I
zlRHTvz=#zw4DcfjA8a7u97LNB4%&AH1v~&>CNQw&GzNgisMk`3ZK)vgEifCSD##=H
zH5CwaiOeMZ!7>H2??lNF=2y`r^xy$TN<<9U2x};1okS~r-ubKDTvWMxo|3@R!LPM;
zVWUt`kWJDJNW4zua$KoSYZc332c<$qA7u>H3*IA&HG+?JPHcSYvmU<+?$zuY(vYf!
zFI^YZNZ6Q+L@C!84NE8!9|0rr0)VqFeR<+76P&!Io^nWN1nI>%4hOdQ2&M8YL_5Rv
z(p5Sm)VOfDi3ag_!zw)P;Lc@0*fKNZ@+cW<gVf1jJnx`4(s2g4zQqh)h9LND<~g7O
zy83;4Ze^gZobwX5w}tevy*00Qt1U$~`R^IHoFU*c>{vS_g}bc<P3v5oCiHwxZ&|l~
zY<fT)JG|c!@v6JFZzQ_5FKNCHK!HKEY;K5?|8V@9a4d7O#YV52YqMV&V$$!$tIOzw
z{qW&6IAc9?jj!=*2E?26s5b;<6TdPwmo>{`^d$tPAJpDs)cw2o=Xi}7bz^4_34dX2
z6HK#0W*n#Q3c72`7bfL!;PNL-Id3O!aW38GC*)|dIq_3bDqS2lIvF7ZT>{?E2;A!E
z++i_An+2{Z(n)C#l&AMKr5V2F=T_*nxGgTki)(zd+2+3#KyPmpYt^+KRT(;${<Y=R
zXm`H2QhlId-KaFpQs*c$IaWNNeKGu~s==aUOC>)zqYrIw=|2*3@A7kOXL6jy-F9<f
zWwI%`Hlrzuko~+PxJiVzcrqf=^1xuNI;~TLwsbQB>OwA~0J4HiE<vcuE!!z*%9E>m
z0O6%P?P^B2W)7J5P-FB3m^Wlk_@KfWcfK}0r!ruWF4FnW8aq2gSXvMqKV<?~+!9IU
z;)t+S(GaCZ<S8Si#>g&>s+w!e#S?H~^(L(w^XIlw6Q0VxDenhMQbC*7>6X}IQ0mpD
z(IxLi_B-0_IY?K&`%8|By}&6pcI)%k7Z(M8*GH3#Ek}skEUWtvrt{Y(d+L0_$sU)G
zCC)aK3=KXTKvDMNvl2Y_cw759r&a0w2&3&w)a6FE^w&Ha7{p)8QIsl+BEA|k9|V+w
z@Q1^cd{SMGJwE2hw7r3G!Ml!O@cWd(jJ0<}vdp+S1*MX1d47fO%?2V?UajvVAR19=
z#3dr%phbON8r;lO02Cpo8N{BK9iU;%1pyYyu=2Q;FN|iM0ya=IuM@}}AYLgxA$yXx
zWDx%F7SYE)clwFuY!o+F<!nJOmSz$(Q>pbm@PV{^4HY7z8L)LiWJQ7=;shX-t)oO$
zi0_;6+uk6c%GL4o@%XiX09IVb+dd+~moW2DoohkiO$>XAnPs&4*GE7b)@j1poi3`)
zhsF$!v9g1jKJTji1f#aY3p#93J1b7H50$)#R674GxXStB<}L!AX!&$5wHUQp#GK_Q
z8Nppqqh%ohxNHD6H5W)OcQ1Is>j0AvaLCk$vnollCNj*={Ral1fiB%gOeR=BHi7ir
zP{7Z_m1{;|j)z&b9`dkt8sBc6=A?GK4PIQ4c{<<TQNUAeUQsI8H7Y?ivst`=e5Am|
z?y9x79J-I(gGnPEP4!{Zq=!#?(;-g-R9OGm1#RJ=ab+wVAGluo#A@|;t#9TGJegPR
zIrWH+@SL)UYeIuDtgf;MYpU84Ic?Tlq4~#d(b>I=*#jfOceST#wwt$q8{^Np>v)0Y
zDLD!LJaTsRI-b49UxRmP@#mAzmZfA#1x5#s^H*%UduuO{8cqA|J2<vFgP=ofJH0^+
zPa_bSc!vtL7#F<?+tey{tLxm1b^=R~u@z6Fj>uimh#?4G{O0@LKs!bs+zn7eepj>2
zi<3}ZMx0TLpBXP}ZwZWS4t*L#^y~D~&oo<%-^8{r@;Ry(8qn!B4Otb}7rMn*U}qY^
zEF1dH<EU1Wts0xW5CU)Rd2)#h4$syaFQ*(kzYv4s?s<}p2ksPGTx~P7E@=B)*RG2q
zsj17bMXQ4-&v8^Wg?n6mOrF?|w*T*~7ux;&b(Ew|VC#*TJkhLNsIs#zOIK5O*Uy0N
z3#|^DdIu%8GLwNZRkJYeQqbDsV2EWDf)s<=g{K&pE&j@okE(5%^KZgNwT;Mgemizs
z{nfJ2)lzEIRZcFJ{8;;g{xzqJt2KLDCR(9qbA{5CirBM-a`{Ge+?B^kuLo&M#Ob^5
zIHAwRvK0q`(HUZnh*U2~)jC@>p3BHeJt6mSuQJ1rR&Ltg6_}i%6*gL0_@%a7Xqu`F
z4i;bGW~AXc;EsHcJR*Z8%_QW71}z$KiSqTDG$ZbQ5eWu>t_0^k+{I>FE#*6ADHsnt
zJz{~im$iMJrgbD5HFv*2Nb}gB>MK$jggC@=A8BeU@3sTdl9UmNyY0NPf7|CHnO?-F
zM0b=4Qm{?IBS|Jvy-2lkOd~jhD!X(jbI>?@89jT3@X_Wp&f<OqdOhW@*Fw*72t+9v
z%q1C2h7&PoF7qv!V3xq=r(Y<FEuZ6r@)T<VZv%RIOGq0UtYJ-f3-g3!c#DoR4MuJI
z!KT{cbgFBjyb;36y-l+b*Y!|d%)ur{S%xb%gz~)&>;m5w99?qfI<OS!_0x<~g*$6m
z$H6B2g7%$FmCXvN27AZ4mUQ;wj^|ocjX5g=^8I+>WO@?vkt`Qj46^Ifap-;ar_CVy
zr0dh4h}qcdi@<-7u6{<$Bh{zk%<Zf?uoA3)#Ug5;j$8E#&Tc8eK9AJFfX|hvRL7MH
zv!+8tMib;kIyTEg*TLp~)5|=33(xib-aF#@$dyV&bI|qv543sZiw%z&p>=4t&We}>
zG*PwZ)`KQQ1T0?PDr6*EF4ZSETYTSl#ONcP;OT2_M)euy7E}cde#fW&cYH!qb=S*^
zDZQDD;g;I%V83rh$g|x<d)Em-Lv+_8sEF;_85!yxoltNIzEu|ruEG0TQVZKnC;Nb8
zjjYq>B^N{fcgTN7q2zZI4$OT=VT=FujHL8*bgN+QHKSK(w<i4-R<|I6%7|va>&YKz
zcgvWI85D$hqt{a7ZI8^j3qfg%jSw<!)jK#nqSawL6^mMzPtr!s#i(;ZWmXfx#oF+d
zYAVb{xb5rI0oryxgVcA7`pm_Iv#6C#3w~#j3!CP=H(&!Q==zC6o4b7nTin@zQV6qf
zKX}q@ppAeWh>@_9r<Ij6ox6J=Y}Sx7Y}w(ZRLKAa4(Niifyp;7{J+kvHUlrCzBuqv
zaXB9?yS<RB?gyp2MR{xzX}b%Wp+Og1cZ`;>v-6C$K#&0U1Jfmp0`9No3QXeIj~%Sa
zH|E(|XHw##eN58bGWAwCE_AN75<3=3##cXHkL13=2fT@qt!J+|22zeY@nchNG4Vxd
zrXQs~aSbW31T+u;%(?i$BwQtKF?RS0ydtAKaCn6uB@S_SK;MT1eU0}BId(B9=hVO?
zh%;IUfcho~1mGR@8unbVGl7&cf&AEyruhI$<*{@uFt<rqK^QA6Pqf=c>AsE7JO^Lw
zc<<-53`dJ$4e5TGC66oEy#@z*1uZE=1?{U=)!XY_8~>`fFv97E21Cx2a1rt9MohVh
z<1qcA6|Di5*wK%ogb8k-auP?Rxd@PoHm$Pu0|x{pZHKn=dADmw<J{Rzs7Fj~$F>~Q
zehMeDW)k&cd{l1lMJ@z?V%xMphg0TqH<}e|^yH#g2RS-~%R8Tl(|9ttpC;hps105;
zfhR=55w%-#^W>(F-;Z_50}u|QF|Vx<UrohF*}QFS$u#Ikg%}5jBZe7flkVCts58ar
zbEbQ^1OoS~3c}IE%172))^LO6@l660oRWJyh@|6`&ef;2(D>Oipf20t`hL}X;WwOQ
z0sRl;`d=XRKgv8tcIN+!T>q!{1_Ryy(tATQ6{p4CXIr=4HhmImy;b+FB=V5WC2M3$
zNXF>{F)?LN$Wpz4M)dU&kSE`(%E;Z1`=C}YfX8E}R>kiPbhc$Sq55nw`&{v1?%U(l
z{{CnB{`0u@dg1ffRd@6BE%*7dHdXfdnim=5Q?X;PUGEB?w?nh1{Ms2_a_)%7q|r$5
z@1xW6_v3nq{nV<<-B^TQ3wz1YWOzP3J}hHFcdG+~q?zzN03&R}iLaZ-ei+NXf(G_Z
z3ZuftmL89fccM+i9Ma0FNT=LX)CA&h^9`}Bp84l0?JT%Z-wK7!JEjK+1Pgmw53H+U
zgkRs2zrwpAsVCUi`a=iuqRG=keaq;i!lrPxsBmlmdA@4;2U!YiA2nVsTV-+Zx$~^a
z<3k?aFV>jrt*D85<_=C_q0?s+I$(QWcYlh6lW)6q24}e7@qs}iK2D+64+j`2@O{;f
z(;TCm?R{R{o^P|&725xzSntGMnE}kr=e?x>bMU;I?zoo*FWb5spL<g@V<@i2u)i-v
z^qXUXI%EJ7(+3hBw;UUy8ju+%_RbP@Ilqe>vXyJT?+!=Gu1qWZVUp4tpL^HUL%HT#
zHJnX!)nBQqo9cU-)Ho%b+{7Y+uai0*-uv>!UWW1JVU9A1Ow)V$-Ba9tlGliAqda2d
z)zC&saw$Az%({p?lICDmr#ywZGy8Y82@y~6Xg9d?1(mcj7j2gk6t|O*lgNvO9Unm!
zE$ML((oWk~Zh4(Elgr$ikX$z9VVwh?=-x^p>g`6jwA<rEkvRKVGANy1-@`x{yKvmW
z;JVhLz}w013c)x;-SSwCiU_dM`;&Y1mxXZYx9Kt?-J2K{9zKIUnKql3W>!*jP>-9i
zq>?dnjRC}Qg-^bW(-;$5sW|yVyo^`tSy1#ESbc7tQE2L+Bgrvl1^M~-+LA^o1EJcL
z2txf^Z-o$trYvov?2KlnS-D<7_79Yct1v6iaJ)_VLajf8v|}C)%qNY|K^RnuuCNm%
zUJ#7+?k;3adM0CAa&f?9WI*$)a7>!mW=T3(l!8aXr$2ptb(UByOZ%{-oAS*ZUWA*&
z%(557z>j>(J(HU6px7nSn8wy{5ZW!z!@0kSaCd3R!X#!XYDoIZ?XB$}$u@o&Y>L$w
zPAR5G_AINFbxB`~7HSQQyQCAF-xL{%VCx(8;}Kp@yO|B1vu%3pq~Yp`Ae8DMiff*?
zuYoDJ>3fZl!J1>s2BUQnWBO>tYQANWS474{G`C|UcTm|wsm>r`o_86@&GJ~(wM~Qa
z77_<hHZegAED=|s#+#a!1QxEoy^;Ve5zR51{-GHajHa`<X6ov8M{&;SxhjZMx(Dh@
z4?8HyX;0Wp1@kcpcB_SK2LGsL^9=ZkVw5J-42KzfZYN(jd{#Igqu3-4^fVIcWMeNP
z2?B|eq;RNKK1>-lUVmROTgApAK0vL&wBw%P+Z%K#V`Jy$C;^8Zq5b-(-!(mY)<iF0
zV+vL7i2?E<A@#JOcso6Qa}##VCv!teSMt=Y;epXeU+Ez~*L)krr^FH06K~fPd^!FY
z$;ZSF*F6W<M`WJQsKz<=uYI+sd1Jy2<8|O@!8C9qPyK4l<4Bn63bg=O<ia{jB`wAo
zkgHKUCoI~mHp2q#`-+n*2Icq9z&6(&)}Kab@W~%t!!7-Yb^udlw5QyVM1YFcA(ec5
zjDk9nVw`3o`!t<6znXP&&J&k^rQ~hU$))b|10A0uynP6h{DlcaRPr4}k#SOaSlB=P
zTg{dq2Z-!y$&2{*KH4ECotmRV|JGP!TH9GdP#6hwc#UWRyN@5Np2JUMGeoCj^Ju08
zUmT6|vnU=Jx7ftQea>cZfE8*<7FLm&PS*6k_u6!L48`Nam!;qF9FEu7SU;U+g&S}f
z|DZf|RujdLTMn^Q8uLK1@%hbxU&~cg8uC+v6v!C%l%qF`j$;ivF%UHP6yWof=h7a)
z0<Lfr_Y(~%aa_pWldi3k#Jflqo0QeMNIOArHHVt}l)C{i-EuneHKDTTedgA#5lD4r
zAzgv@Q@d>gnW;TV1c{dPV2)8VRY3$ng+wt>GL6}>-X4yYiSNjw9L0_vto10NoG*_7
zF#K1Y)kG=+YTj|LN^;^<<r1TJ^t;cQ2AH$)g&b-nZWA!yzz&Skuw4LZRaI;UZT&r9
z&NDYPY&zuZIVyE%vn{BN5k2#6N5IjPmgVqI@R7~d3{{WS22&BjwzOb~(O^SFAz^>g
zYsduq$MUn`&{@HHy2i$pG0;Z!8a)uo<`j;M3xnT%LhNZl@NzoxrZ;SuPo|cXuEeg>
zguJ*wduLcgkr&M<IeWHvYZgiF0W8@An>f!XrqqeuTn;(A|HQ(Lcmy_!0f!RP7NBTT
z1?jYgXQDTRj1wXT4)u?AgWOLVDHjPRRyov97IlhW#dNE`le!vrC{A14B7y*_cJ<bo
zNk%9kt+2@lj7O&7W>AgHXuwPJw8K%r*iTNJGqG$kJQjcwg(>Dkg^#qkL;HM1vbec8
zcU*mfu8ORwBrUhVJc&gFI#Um|BrUdZbEU~2bG)j~(BiKnHzQ|-Bf>I`g=bG1vj>V;
z!L6i=#khW}YPMkwh73%-d(~MJo;&vWVjxbXPcw@s)J2u1s?Lc9=GcA}tYc_eRbOl8
z%-WzRx8pTFSuzI~rf>F$NVJdp90xM#!5i!L*m11u_JT}`CBlxZ@WR`5*rkd*XG6?m
zF*Y~?*=Iw}a$VT0a22lmhzqX!ykg;x2@Epim<2)H^Wq^3fUNlen&J!E0xHs0B$cr(
z$$47*$2lypE`M@3D(%)%l)rwXH#;0G;u9hM=OZ3;xZt!?q5hT(_$oPHHZ*7}z0nj}
zlaOzQ*vXXup4D~+3wARtm-RWa<lb!x#&VGIagIJdj@r)Q@OL$(sg2U*Cey31hsmza
z<K$Jp#Ksr*ysSau85s4CxXt+&^HuI>-c1?of<H`ev2h}X5^&}Z=Z{IO*1QUTLDDS0
zY)8P@>A_=Y=3aDpJM$*EG`8W_+w%%6hDXN@fk9tZDYKK{5?_!y#s$vqv|=lgbGNv^
z9!o=)b@`G5ivLhSwT&M_X*A|QSsMY(&XBJq6qf4&2Rd%Y9h$EQkSBkSJa2*~qb0-X
z)-J6M=&tLIiYS$=_dAB6VW+OZU)J~L+41Nt;=#waPqu?9Q*oe8am8D)CB;(I<1Cb<
zxL<7VZnEyYO_H&ifch=B6rf2;lv403bC1HiMqohvv!n|rKW|DZ)KMa^@Iy5dvY4CV
z%b6QU%MPZRgE3S$w?+YtM1-sHU7$KezBPMbXIDC=umQ4`5)fAXD5(*$lq@KuLDO%s
z-%C+xEM|>^p<fE!^izgAIF5-yiGgVD^O8I(>F8HZH=@#b<dPy}HUqFgDCrvkzZLIi
zU(=-&I&AylA*irO+19}v%{K62DtbmikVk)Bw+&UuOSwcdn3KPQDpicXzFe-r5>YFk
z3m~A@L6|Q$etcGQ<kP61c){<k<0!EJLUV;;AZyxZ6rO1!_$_7~9<C5+q|!Y6p?}VK
z{&2J88LuqNZjDW$P)X-H0xA;`M5Ev?56dM~gsStP69Ow)h?X1*&QPs!^+aljt5dm6
ziNK%_G<zc8O}NNRIflJ+r%rimH<2*E81*BpL!_u@S8FIY@`2n?&442{nTyy}P<r>_
ztPDS5_wd=$i|p5WMyU$tAL_Zj`BrZLFZJi{&G7`nbg`>x%Kl-dy52x^=XpEN)2z^2
z#NP2z9lVa5uI`x{Ybo(w_fKzDhyBO2>9VHu?|+bSL<(i7!BT;#JcAv)t&Q|Q$gfe(
zji%rbMfD^g$g;7mVxoF3(Kl9jI(|Y^Z9g~bNJ_XSvaV%QTH3UhrY~g;uw6f;20;NU
z!SIKC-<h(kO}H)Cqf!{EA)Vn@$0J4X#rh+K`3m1}Xl{v;iDwG1g><|_ph502ce#y1
z)V%I>JW{FBM+(<}he4+t*Bx6k(wF#}<c%Vdni1203`sN8T@<rQ3He*6J<_@aEUjUc
z@I_QR+*Je>rQSi*os7x~9RInktyXdI!nM1#b29}7<8E<4+*VBvX5?7VDf3~52tk)}
zBC;KWG2XY@1at<5bm~7Ty=`K3Wy)20?EBTTL00U&T-+(2>d>0F-x_tB+^I)>ES+OC
zaHzIfaJqwx<SO)lCG8JGOzYTe2Cao*U+S=gr`xRFckh`pAhVbnoI|R3<e37r>w+r@
zw8oLb>GwN^6sSwv3qLag*w;eV(+i)?)tMR?3;dPc3TF=DHw>`1*iS+q2?vA|!Mn-!
z6v6RUOZHmhjb_lSdu~afC6Di~XwD%8dcSv~w$$=jZt#N9Nb#lj9@{k&y0MK3(X<<I
zemG)Ktl8OXB0MpmjblMn6=yE}fdX)*G@Scw>{?maEZ#O(RwPe6TE+csC*oW>@gOu3
zSxXFCwEU1=MWmWf<kyg)fZ@lElxRk-^mLqR`wrG0tLn!74*7?x><%8RxABmOp$9^z
zEsz55PbM1;Clh>Ax24wdRvvOoPR0|<zw|s?>;}IQLsruZSJCFuR18ZJoNn`=vC>tK
z&CTaJ#$<LSV(YhMArfd-G7)JrX@=_Eq0{uJ(VW;-jXs(5s>4K-Z7z%;wGojsJWzcS
zz1T5FjA*A&5Ou<}h(v$Ef6NW=WGhrjh3|of!`9I^!(dGbmmKB%Oe3mVNBj!<mAN!)
zm=J7xj0`@cRdpN)W9{G8dV{Ma1zgkCx18dkvOeF!?AsQv;#j?8Pp6LszHIShsM@36
zFA;Fhr@2e!r$f2fej$^dGFhA%_ldNCZE6vV9~%{$r9MqKeRwuA!AS|u+Ng(fB(>);
z*Ie`f2uGieX_6xQn<i(NdOq&a(I_kalcBmXrM&wMR-q&MRE1AB8-QaMbG+ue9n*5o
zQ+<w`cpKV-z4dy->nt4Qcyv?w$DSVI8jHA7IjOcN{o>98neQc^T9*%3s}I5W6`ay8
zAAjr)O=(AfH|e=EP{KYnW%q$)7DmRjm+u!-Z~-T-P<^E^=+4aZM82VHW0wedj>*W+
zZc_=DXC{jGr<^0wG(x4V?I@C`tishBiovb*yQK5UZTF-r-VhT={KUgmqP+-GO`KKu
z@4zv*7K;1XSss_>C0>4nK#(rPj=7EF{RvzY1NpO<_$*Pfc-4QnGR*-DeVVO^<QGPT
zD4+ycoAxaNp@m($=1t7sx<{hI1vA%Ge-&s0Fz?s4v;Lz~`PSd6WMbR~EjP})BOx{f
zPVRcqcM9F}$AMJy@{V0OD^%!tXEk#s^#g`l<(-LtGqNm@=hkk1zTytZjd#Dse!AQz
zG?rr_q#-RUJcj;+wNt#cbB$pp^6JtK$DB{RH5_dT(6ZKVW3FKTXc%`|V@+IeloENn
z-@z0%%Dcc)B@_Er?&y1+NiNQ_*$gxp^R%d+HQn!jQ=*-N*`Jj9_D>xC6o}=IJy&)1
zS=mA18y%vV^ak^cj8RSa!Fb1QItHf$MB83UR!x^&alza30H~Y$1QFhkn?RvCrtrA$
z>6gqL&P>|B?YI>iItuw$x8L93;TyV)X^SgE>=>TPoaPV3z<A<}zc<H46e>;O5EXuy
z<fOLbW+k@r_rz{%=ljlcU2wgd>_k6L=SE<+#&*nxP|=*^$wj!aZhhxMvBU-nJ1k?-
z=s>wri!AtxRQCBikK)F9pT^`Vzv00tF0L9^M7*pqFx{xi&`{@F^=m!eZ}J296X{fZ
zafoCd>(x7n`T))Wt}Eikaf^^v1zTfSIA8?U8Z!Zrh_<u$eRh<9rv3jh_D@l^1>3qV
znzn7*wr$(CZQHhO+qP|Ij<lU4bDW&>uDi=AWu1rp(j$87r9ZV+Yef95*8lU<T53;M
zAna*jHX9sgucf6_-(<s@ylfRVnesJFxn?vKGo1G2RxDc9=7Z-i%52?GlGppuRwONk
ze0AJoRZDrQy8u1ykrwDvtpw-b1kDEDQept`dOtaQ;5R*DC3zjO)*>R;GqOBGruW3@
zFJof_O|)-Giaj#tC7R>sfV$YQHW@#@<lyofBiwgMJhp6o^Ob1`gTm>SKLoSw#KD&b
zTDhCFZU9TZkA6g>F%W8}pdSPxdyewH9auW)C;A6~jB^Pl`*-pZC^;C}iBzlf@pQ*;
zWqN~2u6)LWw>u<(grHru3l?Q9Q%MvUMq5v-$=hH<!(IcGVIX;Mykq*~wN}Kw=g%2!
zpojV_^u_|{U8gPdfbGXNor1>!uewd-YKD9JP21z=C7KF>M*s@gwQ1$AWf<!$1D)s0
zWrH#pDP55E{C;}0r{I01=4X$i{PNq<u^TA@-$s;{Dtf5Y`x*UzLQGw^t`;4Zy^8ng
z#6GuE$8Z0=ugBQndaSdvGkAjW+zBOHthOKat);K-#<pCBpp^2`H6-x4D|q5rHXksh
z^aR6Dt^-olE;teir6yT#ACZ)J)90f2Z<p6cELMPt6Aie9n*bAO5YEl{Mf4gKw+2?Z
z&FnWgoRGZ!e(<m+6ruPR>u-If#TP?I;mxkogpnzI#D6yYtd^;!;}IP*eQ}9vaZXFs
z++udsCR`U7umibFuo>K9+5zocVg%WgPfgn65)*y8;}K!7O~xZBA90BRT+57D1s%pL
z@KM}iKq>cPy4h_#hz~37rhrM%FAsZg5~tCZ5KGuSi4TjZ`13$Gf{3DbgNnD`kK1k@
zV_h8mH&AmSmfyFS^g$cx4{#1Lnd0M=^hMqGDdrQmDZl&Ruib{ff6V)NrN5~q<PY+K
zopS>p=e!Ofw`{@gXii{advnlUPXi^);{3gnI*c5%5E8LYq3X~|ojzpcqUrBY#3dz{
z<C<+$fatR7ySsmAw*Tks%S5^$n6qO18<@6Jld0(Tj)+X(vW+g{_i~kOIC&pn$T}uZ
zGw2y$W@R(RXYaj%p42|U=VF_LU7_~B%hq|WQfCOQ(6iZ;&$Czw^PsoE(E)FKWH7r?
z7oQt&5n8u9fmI_mA}_NGa12_P`+!9uwxJH6`a00d!i`vlx^3J}grWKYm`!XGunzPN
z#I4W{UeWIcDeL$(Y|>wT_*Ekpad_;~fkw&%i_54uj54%Z=gHw8`FmkjLr5!WJ+bO)
zu7hK5q>`3#$$P^dBVDH+t)|`5HKa*qtRy36Q#AS(>1UB<dtu@g8;92EPTn*Yvh5XE
zmrORsw6cixUnHN-OTtAg@a4T-@;lrXT55Uf8kq`o;fv2=S+7;*+Mu$;#II~79Y_2u
ztBtNLs?4@WMg%hPHAO%$rMNz5{QYlw!Q_#t{}284|1eBg*qQ#1e#`j38754O|CbCC
z&1U0Y{kDHHz9YV;a)$AB)IN!{8;3jkMlywp8$OLJ3CEg5n%KL@KOYPZ>;3aSK{!aI
zWhDh~B-#=PKVWPf8qM#c0`0qE%Kzpcey`8_r2W$|c|G3BF*&?G-}z^J{Eh$bWuG@r
z$c6kZ+BMLp_rJ&CFWH}pe;P<^@r>D|*`DR;@%{YY4<_G9t-ar`mYG{UOp7DK`)l%J
znF@W_933aihW*ox{;S}wE;IjE!R4VI6*IU0clE)ax(0iw*)-MFa{H7d<P>u70c~{`
z|IBNRZa#dtZ$+)=S@mfy{~rmr>NZ_|{=X6~i$;iZOZc0F0Jgj>-cRQc10k2QN4Z2t
zX@Fn<&;)0x!+X#FNVp6CBjGAn{zt+^u)H}(fX83g>VYEp9!-{vrh4&hWfT79_=^M5
zFsWbPpewf%{P@6cjqe=S2sigNkMH+nkd}g{zYOwo6d0Bi#>P9ALNt3n4PIZY3-j-L
zbNCK#r-(<=ebQjs21W6z!f{<X>ONFSl3p)-Tmo3om#9(?sivH=Qi(j3n)i$p92tj7
zoyfOYieF6rJy$RFDtF7fT;lWoXjJ`_KG$ijvodLI?0mTTkrNSPpC24m7@yv@NV5pE
zqt^thj*jcRCPW*R2{YfO4ocEF(K%DL6+}yhlVyYI56u1feuXwfy!8KH!}X_(twwOU
z4_iY#Y@Yn^@X!?0)JFr1yOO{3@HS5-ucaNGT$cJ&zJW(|w*QZat4Z(su+lBYb(apo
zdmMBThiV%`Hyzj8T^0Gd2-sCG4d1*r*Qh3<)DTGPrPW`7+G~P`OAB1guJ!Pj64`7i
zbeKJ~q=xiwC@O6#Rkb4uH;4KQv};kiOt5p7i;%s`QLiew%?e$_M+hOej|!hS(Y!)t
z4ZJnBb*@ynL5H?yL@IDK(uEDLqS;+RJKLgyAh<WAOT#i^?G33{NwJ!fJ0%&@6|^*Q
zYa(Q^AP4`wnf*cR`U(>)k81+jKG*#!jK$G=hB|WrY-XSxXpOFCFqmj^<HzW7VpN7i
zMdViHn##n{X|QCLlni~QfYxW%8bd<e855N#^Lu^u1Cv~7s$2~57k9@DmHosKAcc@E
z!r4nf1(;F|fuL#?EagHLI`B*LlycyEv<&$N?(-(0RRP&jI0ho+CgxF^c0v;0X=_+u
z%T_}|>*K}!_9LftIgtN~;OVjGVk&%^q<UZ7#2TrSu|lHuB&t%)j##pMx#I4m0j--E
zN*5`69K=Xnae><9G8^7cI$u@>t*i_-_GyGqRIph}D`%Tz3t`qPuNLXgMvnV$pDLC!
z`ycL%$hvsj7mIA^vu!i&SX*{42(eBvc$1V=bH97OhAs8x-eLF_6CquX6YDyk^2w`;
zzYD+p9cMiuTEGImVfZnHfpmWt1Tm3mhH?mKp@?M;!9iS^`7!WSPQwFN(7A8z1f?2d
zq{v%s<PW(3T|%)*7*XO<7-+hr5Gx_tds7dL;7?*upudpP`*o?Us4XJ~teSV!R6P(d
zql+R<nt(<a2$rO}CQ^aRCxXy=mqXS+T@QYMBT#3^st%r1L1h&Tuae<xu9N2kuiz+!
zo{Z&g-rtoq8We2e%yW4a0Vew{NTl3k5KkM##QpwQbbRGH!@8F%&*^A-h7G;)*B#cX
zqRg#B44Kw*WD4|=gI-uW+(gy)aHY{vN6D|fXVZ`_l8535<Cs<*Us7O@1aD^%4I@*s
zP%s}F*>OgaJzBvYTSjR(r@Z!!9DoY2si{PG^jAp2<x}*DC-TGVm_}H_Sm5&T{d*aH
z@_+w6gb!y8E?|18=~|^r(@+-}+Ul!_67n<R`&+xEz?7{fkzaKxdJ(9P1go0;oaAfq
ztt7X<P(O^H$I))+r~|&S^t819ohC2%<54?Rl|g@b^ar3)kQ6LFCrgrR$MA7N^LX<M
zBW4P&Z0j{Wz_NJpkHh+-z1AfacFtRWwN#G9je<h7?BE1FHIp>~=#ZFkjWq*}nie>E
z1T~EY_)ii8g07V{Q%Ed^5_UD=%t*o(Mx3WKV#QR>(-b>`{*Cv+kj1s<nGFlqQY>m_
zJ2p_o<`Zbh?uH{`S0ly&+nMp69)!!EYf*b|Yr!+wFpDp61E$vxSp}k0G<rJwS?Dju
zTyu-_fB!te3XG&V4kl{+!)g}hDAv>XFt5F%Mjo7HGm^W1UzunMT}8E*a=-)y6VGo4
zY41SMr5Tcyq_&3y?40|MNb?M<ph#~D0jQAH-l)!2R&$A5c5M5Y0R8&Oz*)jf2CQ(a
z&-kPCT9c|vIHt!cS&IRNjnXmhCs0F-(Ft}-JA-22ZZ|(Dx69$W&}V|pP6qKVcuxZT
zHvsG=ffirClR#B$wOj&B0Mlp4p+QzMO$Gr*Cxbi{a%d0=ZqsN0N@z75fS1x}5F}>x
z3_NEbbq@nbyM`Q_2+ngz4r@U>)_he+RRgViS7YZ661M2rUBCpbni&=_K`7mRXG@qX
zp`t^)OJKlw-T}+!UEmStx2c=}IoB|Az^3L1fq9N#;@i8JId<LL!A?;9Z*vFRe+PA+
zz_H8U#S^43p5BLNNMc0$TDgY&IMPk3GW*G|#nZR6Pz?FQR>q1Tz8Fi`&`kIIRIYAs
zbEGi@*vm}!k_hIql^_Fbjpfg3!GpNXdAydjotf-;8ZPhyZalIj-r<lSh40#6!V#Gy
zLrD+pxYBh9M`a`gwJiUTHkmdCvyUwHQB<=rJGOLF#NibL_K*uY<Me`i(Z_|gg-d4G
zc8@L=-F%HMs@UpLSYSJ{q|b~m0#R+?HAwVVQ`02X7dLv)=Ur&+fupJk;j6@<_Nsa^
zb~MhARxHvbCtEOT)wE96o)VI{NZHW^Bgpmb=z$Tky$D+@En$E9S!|~u;Pz2hy25^1
zK2L-3_>R|$Z^Xo@9C=TIz~NSo5;z_xGRnyR?a63LDduz>sPsZLPS}{uz%5>#mO#?>
zFz$isNs{x%0Z8VJv&^}6fXNAm&&&H4|I92FygQ#^>)^`FmfR*6IlU=vIN^m*2#=5F
z4YvyT;e|>GsL08a9~HBm)vFb0JYKpb{mjHB`)Z#A=`yz-e2(wqMWcMf=+i!vtd*kF
zQV{F!(<Vme#vAnyirQ?UB>gKd3a@dTzfLbU{>KEStu~w5r`0cY;=1G?n6ML$&7nuD
z0WBFK);~}&Q1kPcH>Kh)1CK&6^G_k#zOh9i-PWU3rnN^zTKE?f5!z<wIqxN&ovK=W
zXF(*pbR?7+rI`+4D9()145x6Eq=sxM2e!FdaqMKiGp+_lj|e2?34@a`*0H5Dbuzg~
z@tKXUAQjG4RJZ{V^?>&yK31WavpI$1fk+ch@D@j6fZgM<y&{9@N;FO|WZ|b{Lh(tu
zeSNVS#hO%<7d{_8K6kxL>8=iKpi$33MpLP0CLtcylJQI?L})zK`No3H22cE1`7!aD
zV`9$L^3L|^euLw(pIj?eOP2|WzEf{OyI2@X!SUZA0A<NBL7SK$)CI?G6N}QZa_(W`
zP;>|s4%CXbXgLn<!xc)WTp6Uj`^D8#2zr39dalqI4)^?(qow_~r=@Ciu8;%Ip7R-e
zvM}2?1Rc&k7pAnIcIc{$iozjzm56edTy_ly;P=^Gtym&crYj`$ymZ1hC;NM}gAUpc
z!aH};_gA#D9?+}di^gKk_a<;}+E;LU*G#5;*Gd2P`91Bc(9)7h__P9j%A^NGDGHJu
z>NYjK=3<Q*IyXq;fDh`^ms5s>p`AZN{va5y?t??nG2d#;B*8PZfG8~<oc;jIARX*c
zYv5LM)*LdM3V(Gq=CAhIZ6lt`w&4!ATJyug-F^+U8*ht83vbQ0ZQORliN{a34VSIB
z+vpI!DBm_@m7ap5rHs2@zljM-nuT9(Iv&r7O3|~?F7s;UbyWgxKjce~VB3yxeW%~O
z#iHWL2`C)0nUSorhpQROk*-THO2$tjp9M{pkFK>R)WPlzal)KS`XyoGn8%&bsUT~j
zbEs6?vj5O%N*(`3kAk$oIu@7`3$j30xPI-~%<wh>3dA2#fyY8U?LNRHatUi#U?eP-
z9VIZ}po;ClNtBcZGGI44^-AWWxs^l=yTRO>q-8UTed@2Ct`og|Pbo7;mVY7qspv{Q
z!vt;`u}%|=-~nc^tBE(T3l(5Q@YIF6iSZ?c#icO`Q<ZMj_JJxwqp(CAb6w8QZDDWR
z+Oh|?pw?jLCV!7W&rd2($QCO5{t^ht>*V9hFmKo~$ePnKC7u%E8q}&eg`zNLx<_iD
zchW9|^EB^HAI7|#f(Yk9nh%*C%7E`mmkBy^G=qDw=V018Px@@r<{;Yc)8`ag33K=E
zA7RpE!drl>;5sXGy3gZS44n`gFr6yaHNliKD#D)j;zVBTy$&SfJ_9;_Eg^eD+cg;z
z+KfGVc^g2*i$*U%#gA$ypki&GAET}XETj^n10(p>^AP_YLmxw+Jfo17d}jln%oxEG
zxv|`G?FD6V3}tvkss7|iWBdgYD|~{^w<4@;;P@?Ul?Lfdk5%Uxno2d!>xZ`8L|y(X
zY#|(+af&ab10duq`GeHFzWz*CjIHzSff=q<;hK3wyE@Hrl6|Jf<c>*R&kEz?T&_En
z?NdSm5npsUx(70U<#ixB5Ud*9_XWWm=S0qv|M%_L#pzPyV9}WO<a-5DVuV>U1uhx-
z%(0CG>R?B@$RW7bpi6MBFd}e}0Xz8<fg`=0#I=*R65e0GhiYjwVN`H4DP|$W%6Sy<
z=n0vzlP6}YlwbSmiS}4^+7HSb^u1M`^g`jUT9eM_?9syhu}R3*zn`n<Wl$bX?I2W<
z$|CLfUa8BOB+BlTlR277Cke>MnI!7)6odK9?9^PEY0A3mTj8`*0s}aSwAvG}CJ`U@
zq|<<L6HfT}Nu)#BkUy~`Un5XxxtZ&8Sr9NBjMvR)ogq&E<P*+NxXz~n?c=GvqLX>d
zv~Eo!4+F+;14bV5(aRNk5jEDnJSwfz&HlFQs6HJje$%ml)`|N=e5!|fG;42GDjqW4
z^;f_>D6h3|fHiK~Q#I>bNfNAI4-cDK?!BCDK|=I5<=RQ}=m0`+=_os{0!dD3A5fw>
zm&{!)wI@)OV|!%FS!zR1rIdeacRJVMCdQVM;G64lVI&x6th#@jayhNz-{?C|peXS|
zN^X!CZDo}lZ+#`?ZZcHh#B+TyA9N*d1SWvHqs;Zha5B6nS<L3HuMPL6uLZVsnD*VK
zuOr&-(l-k^be~iQS(}GRpE7X)U5T2R863^b@sQ2kK+5bXn}IvD&HjMx&^8l0%$vIb
zY-MeB0&Zq)A`aBeU&9FGY-Wm&{Qm7id-59KGVS*_*Xe7BmGoX)#&^?=kU{#KO+w1q
zc`0@~d(xn7Uzk&)^J(I?@qpi#d>^R5XbD>kgkUrTqof3^=nWYXxXV9ufY7U>@sjNU
zq0(o-Xn}Wa0iXx10jFRdv<8Uxb#u2dkf%WxA14B$0A1={;0{icJPT;e01LSprY#%N
zW!fhhqA;`DAoE_RSA3XxjJn!os4<#z5+ptVwSM%I)Oo^E4i-u7Jc3Z1ER)iC1fe)t
zcAck^YzaEKF8E_EmAHNvF`H6$1~Mb=#Kv$QGZ|0!8H|W~E>Y$(G)fmMJ#J?r2JEFC
z060kJI9z4Zfp+n9-qAq<<yzM+k;e~gqffo^4=}h0H9050R=|2bGH|}z|H&fF?TTd<
zZ_*|n{W7QXwv+cMRJ4S9lk)SpQ_zESD+!FJO}?88q-nJ9M=wc`B_qpiYXB1UUKt5z
z{*Z`*rv(USGC?&bBt0OmqmqKvwR$W9RCd=zN3aPMVWR6;086<~;Av`KMNCJpD~PCe
zgEzFJ0ojE)9O7(f;4}()IKH{5>h<>!g47)vWwqS7xS*>UHms*5M=O37^UPMPufp|H
z%tl5xGl)%qUEE&F1>QxD;LmzRh+AfFj(xUrEJoYzGAvfBaHP*7#?+mJixCRudaAeu
z@2abw3V*Ar09<Do&9jc3Tu>M~8@L3YGN$H>Ygl1q-sb$sL^}}OsI+kG19Pv9d^uWS
z+;Z1e{qdlKa4#=j7I3XV4C`Kt01>?xpAt5r#U#x460vRF{k^(wZsm=)*FF2qm*8)N
z=BYnMdq3~#Ao|hWnU)EN{>{P`&dw$to#K11Z44rRB)H*ID#iE^1qSAdzKg3{e<Stq
zo(3MnrlZBy^lL=KxBBP;9Ua8-`8=thA!_b7W5yQ}?)C(SHQbO<lG3+3{GM-Zj+G^0
z5ARAZb@IZ0ZDF><s^s!!%<^^>a1+KFU?h6IBXtG?20{L_UDbW{^L4`#8=c*aHs==?
z?@fTxzx)G`sO$F7E_@5RoUl2)8QgPq`drp&(06fm5>a;d=R~2k)8lb?@-WGhTwEa6
z(Fu&g&FM_AF_~CEmV+~(l9Q9&z)CJ|;Q5Aw6QKL|+kl;1T!63NzxZ4nJ^0_;>STcb
z2PI6}HwP{P`V5g3U7UdH&ymCOZ%(y*br4y$=gq<I3Ll07THCYDe<3Iwpr2)}-j(`Y
zr<HGGwBS>MTHQ@mcMNu>W1lkUSZ^)oi}G1-ahWr|BxiQJ?hN)?Z;NjX@65N&p5kna
zJ?&qUe~{AfW-t5ewK7uh^NJJ;5fm!fX<e^$O;zax+JZ7|Ihe2+rArcU$MnxP_?4Q!
z)IuqPFMt4CV-w2*)4o8qFGQ9p<yTU^I}9Fg{dDvLea8$FUorn2Q+M4r4(*#AA~kNw
zL}fb+WqR=-u^We@So}>e>cv(PW)D}{_5!7(1FY<v-FVc4Cbu-y2WY#C%U4z0#O+mN
zYH%E6X}QG$Xkq7f@!o`Q29Tl49G`>}9`9^!<iNTnU50gI2Lu~~3kGxh9DW5M=qxzE
zIH)7q8b_Da>cfSn5A69=$*}U<`DW$6L5x=|9~yA)a8oI-ALJ8jko&@TSuOqk)QHyz
z5Yz*?7Bcz<^+a@lIDCYDBsxGCT1B_(gs6aytZ-|_+iMxq*Xeg?W#rKDRo?hC61Hhb
zdw!ww91pX$gTtwB0JO7@bPP6{m1W&f-*~X_`6GSZGo%9Up^A~eBUcs)E=kkX;K<Bc
z*)`^zt@E26>g7s>J*iGf=?<uTgPE)T8V$uTSJ69*ahn|^|K20a-NBx#n7B4@+1a+)
zIf^!4d2<!d_B^25Jqzm2L0r)q@;C+HGUcE1IeL)Qe9$d!k+pOod@l`J-}7$u3JNb|
zajp)K)jpb}l!qayTHZ<V!SED?UJ`sza7vY={|;>`1gz$jOrV!y?X_v}QP#Q2JRjRq
z4)kDo&P4Luo8vlpMNOtFF{O;My#{9y(4)YV(hdcb_E8F1wK&J4W6oY38y-+1Z=bSY
z1CwDccoxhh050PRacJG7xps-Pl^9qPsL>{|5}iIBec69@7w1P?-Jb~5pBek5-^_@8
zKL#6EUry0w!(K<`<#5TH_fiIy$oG%-JdNspnoxU2eMdBm%Rs_gGpWk?@%7{PQQ1z%
z<Et9t+!%Wgu4|MeFACN<suLp$_?V@IF=<OAB70rEBNJkx)XwhNFFj||HJ9FszE12*
z+OW}r<w=StEEouri)zLyBUQlM)6%;1j-iaFy8HWe%7aj-*YMAnNQ>2#t|KFOF}Ahg
zuK>k#c{s4dmFRAg%G4w!FNstlukn0thrhDJ{{fqC=H>lwx%|H(_<zftIhg;C5h)Yn
z|0XCgG5uc=ly-Bce+f#CVQ;qlBJ}dyJfb_$_i;p&noyeSY7uag&`x1Rrp^+PvX<BF
z>Oi5>`4lS`b}I0-4i1CN=R5<y{2K|9051PN)aV}6hyK6+*6r>2-c>uk9}j;SN}f-D
zurq)D!%+IXxq-i(4EUj(Es9lcfAjCy?4z_db%;*Cbc3~mjQV+gJ#$ar-=pjXsigPs
z^d?24ey&=Z&TspLD53LLyE5v1O`|hv)?Z;=pKf=nYjui(xO$={r^2ZH8~>I!x`=wF
z5d^`MZZ~|G!&Y+m7dG`3(^vjt)YAQzDAg#mw1&S6IhwJ$^!k^iE=4C)xjF_^?5Oc_
zYWyEN(#&*!X{HqI*e)Lo+8HEK|0I);gWhf*T>f99iKle>i|iqg(%PQCjAykm`n><a
zD7EYTBset=CBCq`Ptg;dz1^t6@Q$B`8w7~{xFp*K(aR(7`(@=ZhPcY6K23hl(%Y{b
zecy0f4dCx8s4A^~Zm)i&YQ5jYrdI2ze7vc%_xCYIH<;+s=El_`%C;5`$;w8K$+tW@
zH7@PesUSYfn5Zh}w5^a#UN$&?Y&U?E=M2uBR`y#G+N(={#_n*fhhJR85?oDZv+s}j
zOX3H?bpHQHN+a`mBO9Nak*lkpNmi=URf2Z1nQ7F<_$*n|vbamsSFRGcNn1oA8(IHB
zDFsb8i9!ILEbgB-D*U39(*Fz@eNUZ!)1dvA0FEVIBJXXyKD|vMwao$1pvN!qVjKIK
z=4C7MnkKe{zx<@&<cZZ^_xPwP>+7tJAN$#(tLD*^#PDs<$X-Ww5B@c{CR?HlTk%E5
zXPB^*u|%zQX&}38_3KJnUqShFX@Nnp`4aWjEs#}7uk*t$BxSvXUs*w^Y7yD0F`Bv3
z-|(C5Egi*_@)LCP-#xC7y;8>-Uqh(6d*brdgUY3Un;WyPv_9Vj6m~gxYa;_IktYji
z37h!}R=1Q@2Z7g6JO-kOv9_nJ5XCu9G1WkSc}lZT3}qJ&Nvfp6tw3HZ)l34}s@Nm5
zR2rgBzh)CC4O})NtX97ea3hdYfmBgoPwNr<VSu=&93-vq);S6-d*oOZmc<rL5zDMG
z;h9PCQ+vu$#i(jZ<Vy=Nb!|A=U2#a<xP&G|iA5G#H7vA?W~ZSW4@K%4K$E6EDtd)P
z%VP&y{C}WUitQ$<{I`pXE^HYyP_*Y3LX+)X|LWtwTSW*)Od?akHCVIlMMe>xmKoRv
zp&oCO+JzYi>MKj2vq;-Ii|>!=4W8YeJX)HryK^PKZvUK+d3{FDE=Lto>X?j6(x0&V
zCT|6PIz;fP&1^eS+PBqMiiF#;O<iQ{3KJ!yK*Nq~f93D({9C@WnjB(SzPp{Ubsf2x
z?miiVoc_t-*Zx%Z8K@9X82`YM`FT3%><26S2W#PranRVt4$$1@4w`{*LQ)^m^3Lm&
zg}GFP8m!Yu1z@cP(P^X#uvUZMv}uK8*>Xa#Zg&+vK({$&^a!q-Fa$Ji5`hfkyE}%M
z!cj(aZ8ZfmzCvU|ZW(0UnucJboT|bZ78Ri6G{Y$2rp~eELbQ1nf)>YGNF29{gt__a
z6pk}oHAyQHJ&c>?Hq%JP6VCZ3%wtMRyk|s>iMsYd>$lQ}w}1iUH(+tb28x&*E{B|b
z+9?U<lYJYBYM#vrYfba{r>reYJxTqN*WTDHbRlx0Kz6;WR@cmPrfc;v&eV!j1S(GJ
z30JBV<vC5Xm@f&!C9K78kIy2-O0-hOM5o5;rtRCN9)h}->z9vATlcD``{Uos)Y=t(
zQgDQs6797VqG~9Sfh=zh+i<c305(tcu@ABkuw)q@0fb`8QM|<>A_0-6Lj?|JTE7={
zb#r5$O~=;Ut_gVNb;#f%tj1?v#tUq>xE|-6(MDi7w4tvDx#Km}uo(#T@$eSNrLIJ*
z2#A@S*{E*B2dQfAO;Ie0R4_r=I%ruWB8_0v^MRNA(VR;j<o5sCL?>7lQZJ-BMD+BJ
zkuGAjFrT;HXhKVf)e)0H_s=FW^07JdEJC#Sg7UE)IET+{CGZMkGFrwFmP00V`qDa>
zs8Pc$4rFfDV2A>Tn>8C@z?xTFSa1<$^}w)7!q=K7WO1H?uqqxTS8j%&Sj|CGu80sG
zF#hAA(^YG?A{v5=Zym*;H^;I$F8;#=hqiJAg_2DaHc2TN8YR0F5!EU(H<^jVPQ#@K
zsf{28a*P3zU=~pu9zOTIll%lasL3}TM!+ry5fs|gUJnIxOgBB4g<+Y>#vVgR(YGz4
zH15wNRNVTxhpCmFtIXO!QPe!yPDwcOJWu}JOP~%e4;zNi#-a+zzSucc7QwtCeY4j7
zD3RpV`&T}D!|4qntSbi}v|fz3;jv#TjMGTTX%hoe!b~(>vJ`cfEN`>usSF(LdzkkA
z0_EidXS>M%)T1JeB}b|WVe1HG8G<gSAk=hbjh4*~pLA&KIfsdP9aPI2lnnI<dOM=E
z<?&IxF>Jd2=np|Da^PmWrvX2JmFaLoMXD_90a|8_MUl(KxHHt%S&54#soPUV_tVaL
zg<4HLYW32|EL68>BUPSg^Dohj^}>1~g)THNmUD64bw|&Zu{?<h-`OZB;nS1y<n&Tg
zd_Y0`7R3xd+NxC@sf@b5E?U77n%+<YN*Ca(L<8lVhVn@$ntdT8t|w5-NH&wMkuE6?
z3(@$J;rrWIYs;#?se?(Z(Yc2lq!pKO0@pA*w3db(#z^$;(=m(mNfNy9gyJ4q&6V^K
zd9Y-+>eZTTCFqH*i=9*FB)4V#Sg4j1qY;PS)c{zvX$ZFGnHMh4Y(!zC6Ie`wC=QoF
z2qCv9uAv1$qkZqe(q*l6$xq~)rJ^}>Wrk?phAbf*Jm2t8a5|bpa`b{)P=6662@Q|a
z^a#*Gq;BvY+=ElBwMxt)R|o~FH9pMF!1!JY1>A(FMGmp*0tcZAbt1zRN<p0V{kP3Z
znF9W=M~sI5LK1w<Kf~#H>+Po+k-fJTFCm*R(ZDsVIKsN)%;@b~EDyE5u>iGNuMx%~
z*6KJ%7|YqJ;2oaj8g=N^kF~-r$Kdf^>rz{n((^Ab<9?+%G4-mF8C>B8mM<n*492eh
z;{NwkF9t9M?2J0w%hsu@3RZc_vZse(Na1MS(yX~S?WYW&LSmU!ByWdVXRDQvyfLb#
zi)I%7G2y)Kg~+nD7n+EHF*>_V!fA(w=Gd;WCZ##obc+V^48DG_2q8d-CSZml78suc
z_4Z<DF<bJvHi*GI+16GsEk=&YXTZah{_z8qS}z?&4%TWobr`wXs^L{g4o#pSy*EVd
zzdLLD0OVDP8+WzQyflN83R1EehRbczvm}Hhi{rt=I>E@l7LKh$Ttc}3NKwqiuG@$S
zbv4%l=HV5{0#-ue0#&515nO;IHCb&;Tv&As9f)!~UXrU4!>l-?!u=a;-98L=p(d3s
zsC4<_Rut=u$D42~fy`~YkQg|r6*+W-rnInJ(Xwhy$Z~0sTzLiKP#;aIRCvb2Q~-z%
zheyQUKS@muL=bIydpru|PR~rG-#>Mmw}D#T+VL7-DulCcGLeL9j(KXtVjAO)TQLX?
zamy{3g!<+~)u?4jYKddL`>WQ1nyK*(*^2s$VVAScY9X&sTUjz8yD4)*ZDr0PZCV7z
zyE1{OHX4lJ*c$oxF^BX|k(7seHn!rzYs&pt4Ci;#{aa{-b3Fv&R@+QX_xIh6&*YN~
zN&R6smTJ732qXrpg`ko^YPi~Gibg=Z>CIot*ANI0=8qC4+Wd8VW~c4ZAeP@9TUkt<
zemhPUj|SdgXi*8D6PXXO`n71>L$k=Sl^}YV;*n-?kzichW3$AtA#e$6QrCp+^DJtt
z3_lfoFspvgoDJo^%M5NV6UfE-7Q=9!c(@$4G|Ql7P83kZNayU|mOciq?sP1AqCK~A
zm!`0BxEnn8C{MoA9z9RE<_8o{k0Ej)k0GqU@wZGJ4hdD-wiIp@X@E^HDR`MN31S%%
zJ?%M}f3xJ7jV4aoeFh*RC+R-|5P_a%aPf^{UUCKnD|~s!bPsT?s)$VHGo@K%5FAbn
zhV3mH$z_uxuQ{E=!Cc}5l%Y+|Ih{kpRA&Y+PXhJnCd(VX6^D=Hrp7(5;+#yZJ6dRB
z+6_lj2bJ>EVDbF|M=Dh5u-aFa)_vGDs!*}{`mkwdp~mCy!lavr08iv&(Q|J#Z-o|y
zGrifhst9oSl)Ww;1bNL)aB*PMsom!U$l(e&3r%}i3X30<q)mt|sz$gB8m#g-4aT;&
zJ$o!#ySVgbW;`n8r^OQf0E>+?AZU-K-yH^6s(m920RpS-{?8{Dqk#!e0M=Aeybd&`
z{gyw5yEqK(#1mg+yO|G^SS^>BI3+eVHAQY?X-XD@`-qw}9SpnO{lc;Zqd`!VxRqd{
zDwUor2Vta@wk>B2E1)Hqc|DV?){|>bCcoP>2p|t!T@Wm-n+ISnu7lh`DJ1SeY3c^B
z`45BLdZRVXF(SVD$24#V=tAuH0qy6v{)qr-?FW9G+?3I$^;}EM!It9AL({1yyekn&
zv{#{3oZCP+x=noBil^Ucn*(1~CBz-Z=r=4)kHOGDDpCgg8sTy3+P{4-8G7PLPW<=O
z^*Fi~!_D~^8@v^kBNn=*rMLH617;&+;(Z(t;vDpkJpzow77sIPhNTUDv>+^8aD^r9
zOVpG=WYT*Q%6bK5Gaz}D$U$dCad7l465Y|*x`~l&K-rcTx?9Bn3v%6|`yLB&^m1VI
z?-*nP)36%bXyvm^T^TZnGJeK9g8bJx@Px?UE3z_W&J9A9%%-$iv$^JO%;KVNejHAY
zK35o;8IzfGc{sb^JxKQQ5A5Ea2LO1En1azQHHE+Rn3uuFO>MBi6#HMxEkXK0f?8+w
zj5=!7cm*-(vsJ_tz-G;t2wp)MAe8F2oA}qqxHvCGI6bkL?~i3*w8iRrN@fv~&~W~u
zC=2C~k;L=06e9|M3UlNk7@ZP-ci9upbAz28`Kgvs-Q!o3vT<b&=AC8K;@evG7a2Z5
zgBs7$z4bsYZYq3$hh#1-5|yN{419xnd#0;c`t7Hm!U)@&F|U8-`f(N;y7|?EzRv~u
zPh9A6_d9qv>VsOsjnVEO4#1B0qItV^!95Q#O>!XP&XU8czbb2QyflcjS1)CKZZ@`Y
zYZGT@tIAoMFl)H9h_eT)ieGrLRT-k+$Tw;#){afv$9^Fy^Jl_}>l-jaOxf}flQw2T
zWE(J9zjKQz8!*{{+?o9~Q7)l*;LO#*WBTR}CwuG>SDn1_`wliJXYEMd^gon4u5<nH
zQ2oVP=xX5|J=g{v{W*)Z$K3<tZ*V(nGQ2?#c&wU~RjM6kT1t6_st37jod|m-terWu
z?sch84`b6KrPjP!R=0Gz?6VA=GFEiyb>dm#?RACMY^Jkmx%RrvP5Sp+tf$sbxZ6HA
zT_rR6-DX;cEbwB;4|}XR!*le?5P@h(x&w^j8Z5L#tW#|dUAvg8EeR;El11UnRyc8D
zg+%!K>nL*)MTTo{>??qVsukVT;iy{iHp=@Z;WEs+>bi#?&DzK-XWg2jmTt@xmMq<?
zNQQmcG>+N3JoO>yT(x^<QMP6&D|_+ytd~U+9(osB(fn<mO?_<hZuKYBh1cZ$Gmt2}
zPTlo=L=Ew%r|tPA?R%RG`9Rd^mH6Gjo;7!o%A8U^p9MnQxq%%A&*l2#So_Kib>i0j
z^>6Ua&iHj;TPZuV1fA}R;gIjxt{E!*foj618`7`XPE*V^QS^mJruaU!XquzZ3+6r1
z9gDSQJ<)~)-gmkxvj_GvR&{%$0#6Iw7N+d}#%<R}x(1u{7rF`7UAqgld!lljhq?u8
zZzsAeo15Jk>bbqq<PmtD=&lHBV&Q>W*u6d4WVy3zfZ#fEgMj3f9izu}Mw7V4jI&jD
z&lu5w)pg!RG+;GSE?_le#*9m}gMWHc_wn}ktxeI_&AZOKCdP}HZEGK1;zG1a@poZq
z>)<4)=H`3Knp(tViwRsFytEEi;j`#^>K+>%u9rA;Q?bqV^!9K;`9F?0zRRTV!OLgz
z-k4g_^~>OKAka)D$oo{Vj&}p;A2l12j;#1c1>S{aK_lK8Lv%p34iz8B*#ssX8@}Ia
z*gWs-4iBX-wCwLBry|bPs?cE^O724AB37dqnOsC{)Fvht5F0fKiH9+Xq?YPle#{B)
zL;J;(N&Nc!j_9yT%O9EMS*N0&<06xom?f3?H=Hcw@6QPbf`up4-43*Bk53p>FHGqS
zk_cH`8%X@N;Bd}ID2?ST@(2XZ4P1AmOrAzmB2Co{RDu7@#m$k!i1~hmM#kbjb|Q~2
zPEn>yH9vi7RQ@=y#*b~A$V)@=W}u#}SAk}c&+#=y7Us}Dgrhe!nB5zKU(M;w<n^}7
zGh&6u^=j3nU^lV*$2YcXy|9>F+p6KlVtQw*iXS6iw_0<RvU;v{A5>6X1-J&uQ(Uiv
zp+sCUxB^MZ?m|elj^HVi7d(%IZTYmI*ePv)bz0;>(&4=PaJ3E1u}UEv*8i2I&$&O8
z<l)AcW+18$`}4~04`?wZ{k=-@qZBR=w{*BVVQYw(K0!6g2&AfHLyNt?e_=iK>m;Cc
zl{~X?UG3Bj>;lYAmP@j`_PLflzW%9JfxtUVmhDuKf?QRMkMcKJ1Rk~WrafFBG3~+U
z2~#rb%z(VJtXdng@-gd@clD~^nlrwTzp05aX^9At^jGOH<xAk}{rf^Yhv{{T8cixd
zv8-soj7ZQT4~4)s%MdJUMF%*Pc%~SDFlG02WV6XBFfrvf2tat+tyGCX@Oy#)6e@f9
z27wTKMI+!T^nylUTD<$GyILDR&{5}GGJ;InS;JC%_&!6)!Pm?1P)_OSbGEY>Y_0Z<
zAqWpw)3q0ahogb|J0s)e5L`9bAKp^7EYc0>i1@>{6qIT9^*(f$#N>Puh2=9{80oiJ
zm&d!HwmM3hTX%7pRCIn-zd)ZyRzcDCrzhsfn++3qzd2RIcm4vY`YsZluG-qeDRt}B
zQM}*pb%tSQxs40Feuo0@bY#B$l=NcJxR3<G1CQsJKOH}*c_rUUCu!I00DaKOBPEmw
zEbnm;mKnv}rMie4C?QMW^50d~mMmoL_*=5b^}~ny;?=whMLP#5f21B#T^yWLWbUXG
z4lcE94&(HDHFq^#U<-xjBGrrzjNrP*XVDf;d`=F4P0PKYole|a=@Tdqvc*IxI-#{`
z`n&f|OI7i!168=pgs$TXNIq$vvTcc;Y$PGg$G5u@M-`0O_j*RIcEw(CS%(Q)-cBCr
zY9+BOnOi5t!s1Zn+8w?94;Z}rxa$9wfd4D({#OEK{eMZoO#hoj#l-Y~$D-2FOvV{S
z^xMCW&tQM!>XF?Aw?Ar#jfFSB9Rl=gXd!SQK+rOr>33Ii#npdxfoI;OemFV^RI_z6
zS5rGn7gwEq181BHmiU+YkKfntWo`W7{`yA>Cr{VcpY#bI{?uPSAAhft6zH>@rI<|)
z*RH=OYcB;Ha~Gq8>%C&E;#_{do(~&OpPE~&G916oe#9d5bqb!o%$zhudVVUN?3}?T
zF|75CZ|m^%C05N2MG=<WQe)EMh2Q>PMhA70c?{9i$nF?phk-=UHuupRy_fveq6Pb3
zTIa^0rSkn=v|`4+r9XTfeTl^iQ`;j9h~o9(ZxI4i(mdh(=8V4%!?5v4p_s`Fcjk;n
zFoUrBW#-1I4u&3L_E5;FZBFl8zS@}wz{He3B~QcYAJl3bML)M%p^7DUy*V2TJYoBQ
zfK7iLkhVt@%oFhVXPsjfziM*c?Cbful#C(5@ht)U{Y9%tR>Okf2bZDv`<s@o6j%NI
zonIzXF+)i&#V%a5VnwQ88QE<~5c4HbPb^BgJ(NIZBP+HdmebNmlxwlnIYt<{PGPXr
z3A~qu@Onr;Vsm1y6!Ur!MR<31du9Ip$6h#^@)tsdbGz(JU})p*Hq>24U)h7z3+A{z
zVdiMsBv{<pu}SbBdtsY|7Bt4PRg_|4^QgKATB8^Oyzkhu(4*h#mZMINt%FVvf619p
z=Os;1Kas}^gBtui^THNcxd1O;>Z@%mTpCSVEVwkDiz^;{th#2%z3IbjHZS+xGBGxL
zad_rx;B4}=n#Jr1^4B>NvL!FzrCurK7$q#_+M(n?*UcW%f1=eE#M`CB><Uh1sO{^C
zCS=+eeo_Rbtdsc)ipv!XNUa+o%$t1+WLpzvhcP7C3C!6Z_DzsCrH(edf~LdE&)1MV
zx?)XbYem+WOCbWgraK=oftwK~D`^DHvcC{22~~zcHXvjh2a!HruV@DqF}i{(1kpKu
zs@MY2!N{`oN-QQ8DuH-8RooV3SMpnxMXD%f303g`D_6wTB_b+9apH665*nuyOGN(3
z*SlX#6cnYBqQu}h%6G~F*C+A5&5*14E`|1!Y>KQ~cKr8)Z2(RI!p*~mY<JGCV>^`A
zZVR-O=gdvNdEYum5WC&UhL64BCQvC{@)ahO_Ry-i^gkko*~s7sWg|g8BFeXk$WX#N
zug&Fj^^dzT<)wSoS9RIV^!m!k_)%9%@gk3`rHT}Fi$dup<%zq4kM15U69GpkL{PG&
zB?-<XN_;#1p04j%^J`%K0p>ndo<bgm1$~<Q>uE_oshB)hH;H@Ne3|8$EgJ#&<gAnv
z<$tzE78m+{9sjI6yEr0oHe^sxkQKRAq2)$MSqH}TQc)4QE(9|A=$bTqi+IDv&4K|k
zX2GZfILlAZHSyH;ge9(2oD+m4fU7Vk2ulE0VNToxvI?4pJS9qX98i|S`{gj3nTQn9
za8NvfP5=IzK>0OhE}Ics{DhL@HT~3sfa1dkIN=F$x8AFL!NK|>G1uq>#&V3K_V54#
z5iG)_xdj-cTLOY`i*wf40Sqcf-x`saCr8h+;v27+7E(4{L(`(ZJA=-p7Qb^hunaAQ
z3+n3n$LFysm4xLcTuD^A2(pimMi+4KXIKS2TEc_88zWg&8TZXZiCMMfz)M+GCe2i(
z#!+!OdwBeUb4rEA-%mH%g4gt~scwHBz!p@c;z35m<U)xjM8@49+{+Ve!%rfLh`&rP
z+^2}tOKSYq=@Id0b_xdlalg*^zgxejh=9m?XDTI-(2@2y9RXG2$jC4%?FdqlQ^{EE
zgxn^3r|aP2sNfV$EHVAyvdt-!8w%Yu3gY9SNwt5+SyoQ&yRMzta55^yY7nLeHd$53
zL6?dWD@d~yui|veN;f_nzt;KE+O;5nmEZUKc2aj|R65-C1X48oc&@+ZgSIBk6Rls3
zBuvM;$x(CNaW;Q+wXkE}jAL#=`FluAzJNhsKwx3;GI*b}D%-bFMrlitgoA2LFrxHI
zwAy2B?qNjfs|Ls!YwMDw8cD!LyL0nWi5ch@C?I{zDVG$5mUW71fjeZi!L_j2r({ei
zqYA)N5YK>=O5u>Y1U|b?IL(QT%qTpkHX|4GNai`X6>%>_wvpu0xz^WEpoLuh06qY#
zd?vY&qNs;BP9>lvEv2|~Z%Siq5E9k`ee$6_a*pNJpdVceZ3;RqP^L=LKJhZoMG-qC
zL`}mi!%lUse8c<lTn(<A)^@TY%ecv^kUw0|i{fn3ib|FVMwPgBG1?MqVXWC^%f652
zLDiGBooIoxMAvilM5jy{2uI|F{8lH1SMX^l&m5NxrfxKWyv4kF614ahP8{qqaL@}W
zmR{SSQ<3Yfop3U;br~wsU>t3Kee56++k3(iwkyvFVltprm{G(QK&voe?gVtJeo|f<
z28SDjM3fA}VbM+jOd3uh=bck7kTVA7;4%b10L@NDS9u`Vhl_FVmrJz+^5-1kB)IRv
zUjk`mYbqAVf0CaxjdR3c1f#l5>op&Dbo%!8<wsN8+*(`m(`$MQykkI5j0A^x{Xy08
zYE*9D#<TH!G0%SDT_F@Fx4yEM8n~PQRYT#1#uzCA;G_zbFFT9%3Qfx-Gp4Unmtp^f
z8Oxr;*=UQ_y-X!dNWVbc+j(?mx>u@W+_5Xxld$9D278sp+0?7QldzS|%)(}+bsM|T
zu3LpvJYx`Hd0-lX)k)4GY9D-DC8iVSMrmrEyg(=v@{0U|(o}H)t85z=xrCt{;gu6L
z%$E<5DkLr^UZX-FAr3KQk&L;r7+k*4O6mZ+EnDGi)U04PWX_PxY9RZ7Iv<$?`foX8
z+!HS++%lyy%$R6Z9cYc%&umSYpRgJ+opoqqZ1`mfSxpDf)qra(b-WrJYfI;pJ}<CK
zB3E&6KeuSCoF&sfx5gdu_cXH!8n|yO@LlJui`TEbq-bsRd*p6rv5GjeC2`L&Y78YO
zpnr0rNek83jQ$WU6nIt8T&PdZ8%5al74~ic-Qf)!iRG+{K^8W!G;Kw1HCY+xM|$x9
z6h*znR)hi*R4MF7XtA7&U^nu=yvSODrrjV2ub$t(StbTG*o>R_?l(cMGq_gUzzQk0
zJ!w(vMt~J(msS)+Dn0KlGn%Qb;fl03*(F5QxOWX*qKPGUXZxjhZ?>Xjwd+n>&n#ds
z&OB!px)a%b&Ma^vvVY@-(LrK_R{oinFl5TT^GRXzf!*X89ZrbrKnf9b;9`0t!)X7+
z6^nDap}y|6*}-<(@I$w&th0wP=W7@~QpB#GJ<8r;#y3E%BN<lA_$Lo+zKp`pxR{tL
z@{EI6xwBDJss;=lWJyk6AGoT?>VV$)@nDvy4ckNTs00hsL(TJ>EUXPqzQwEz1i{W=
z)&@*X#9?ESH(EfIVtcDQGWjby&;~?AF*AUV>xgH%Y$KL$Nt)jfGz`vFW=4bz5&<g~
zFsM`^K?MG@Zfe^w3@SENx}U32Lg9f>svMN!F6w+sVOaueN9kv(Lz{Zzw%V&(;BM5+
zV=ZUQU|Z=URL0q)SB5Z=Emv-}GusnqXRbGlW&G=Z^_^|K3)QyOKs>5$PIeNhP3<iq
z^UK(B^>lng-T5tUUZAUw>b7q%KzawIEiREH1PKa?y<o$Jhl;HVhb*WfEKqJ`090WG
zaj8Nh<60dn5J=R%@Br!Y=xWCyhLvmisYqB`8!q08@&IX<T?y;gCbe~lPRdg!4@QA?
zW{*}xL>uK$q;TKEb!vaK1BmW<pt#*))OemPAID(i51)Gdrn0EZ+sO4L`LOANbe#Oy
z1K%V28!BkxR$@d6Vp#^^w*W}$m1^R)*I5cuRH($<7^ky4f<+aXz!%Mtx_7MJ#Dxe<
z=SK`3fzbXQN<$#DvE__EonVyP%AJj+%P>;G>)x25)18GyfGxD1_oXh=q0F(W!F;mi
zj2PYMN3h<<u-&OWUKxeJ;B&1hOF6Udp+5p4e<WRlJ3RraGD_;o;$^}|)r0+=)@Eg!
zuW%?v`V#gU$ye>WL~@JJq<XZ)tC{3c>Aj@MZm>1e#UhV!#lFb1*oa44Ih)MY)ayA!
z78u?^=JVVccAK#oCENxLgf0@dQ$*SKC8_RXTZxcZGJ^=y7sn6`Ka}_uI4X}HPebEM
zW#^VSN?KrqhNNi#RK*3PvQ3msX5PHl5JIC3yH~13H7pHr$+Q|cOSD=rBEob<2(Gc7
zc+(?2W7s1<k~7yGYjdU>40jw`sSZhDVpOYH5UO9__TWitcx`yHA-=WKv3^g?+OlOd
zveno(R@09(yBmn<Pn_im-25%Z;v9xscDFn~k#kLER11OP@aKL4zbc3M-^L*vMH$~A
zT<p^fznjN!Q&?PYVN+iBIGnk_nsw;W{9BtwZLlTES`w+`lT+bE88Tj;qx;v)wcVab
zjGfxkY<1&lcBs5zTXSa1LuNa<9VIL;ef<oq9PQ?~|0TJzBZfU^5E=gK<KM^C3D(m5
zTYWW2?2pRgx7n6I=MfqeMWs0S?&?$45uv#jVQ~?m0warvjR+AOT(<*4w&JSwku{`x
z?9$k1SKzW;893PP0)$eYMb=<BoE*0SLMFaIP~2CT|DAq&6cVTIes3#rc}?@++xD?U
z(lGnE?1z>dN6hQ?7tG$a`lS0$#14e~79f;uet)C`^e9Px_s3LIT-Fn+u&ii@{74TV
zE0tn0<T^7No<eb1d6)V!bj#+U8m?mX)#}oA>1ThN4z0iyI9qRCJV4c7M+!1Ocpn5b
z0@^-<O9aT{VqDCz2it?KnZW;c1;Bl<&b#w+p$^;s4gpYrJ5Rds1wtTi-fp~0r=IeE
zr{qXG^VCkm;igFs`DHzDV{*Rfz!trVhweQbw=wP2zkj;E57s~XT=H>`e#$0QbIg;I
z$sPKd#ZLCex&e5PeWA{=?ZLjYJ=9Cgd;A}E6Y0xfBM@eX*e_p&fbrW98_*=wPKGRL
zg6DxAfezD`b!x_UP4k-n<dZwPNuC^gXQEog@;lmB2OgesK5_-H78@m;efUK%#R&i`
z&wk<wH;A#0vxFJsT7_A{405f)EV~h85TAx0PZKGa*MElr#^4t!CcA*ib1y)E@-~73
z)tJAx0LLc0QL<R|{Q2*c-R$2sok5lh{Bw+y*DAHX0Gfi*W(aGu6dn2C$+^5zj`Gv)
z_^LA<HGfpNT#wV)$qyc*(Pt!n;mY3-*te%P9q0M+SoElk79<b5*0>yb*9*<IXX(mk
zP%2I%oA8FqJrX=b>f|}`5i$?xJx<kB^pFwTjP`nam`>x*MD3m)06X|eh&{8fmYRwv
ze&<KZX2Y9oOV?TkXnsnGB8p*SG`U+*+Ol_hNmO!)aZwC5+LWSG^^EO$FiLTpL-LHP
zfl1b)M3Z{8BP>t;u^w9VB%c5nk|LU0f@OFw3aVl|m#xrtF9uCas&|7hM*7@l!4lnO
zY@#?5`sE>%q#lMar+$j^RK=(@FD*=DNJ1YyOi{0vPDz0(XDRkuxwB-w|EISv0i?3|
z9u6%iDIpQAvTt{BS8E|l)-2h}cJ2F;y^@qI3PrS%Hd)J-$}UAz6e46xL`os4zIm>t
z>t4U#Ki~KNdf(T3?>*1VoHJ+6nK|do%z5sm^|KLP?%l%gDUe<lEk^tO`e>7uC$Gg%
zX7`Upip#_>7GIQvBw!bAeM9%Vp}2;uEZiW#g9z8PvM0i|h_;Saithd}Gw3`UhJb<L
z(I^W>xSqR@l@Aey0HwT$Zay&R!nhs)6TRJiy=;iypb=d!cN=}8j~QH7Sp^0+Ao}}&
zdVBnRRP{+!QP69dKou2tP#r~j9}}azJR@8Q{01$0!=N0ZdJqWE57C7pyOjP<2%IBe
zo<LIe(xn8FVm?bKBs&NAFDR6n=Q@dnQl$I&ODU9^<MAIJN|A-B{so0-@<B!~Gsseu
zqm2H?ql_jWW%M$mjD}c7KFa8SJjxjIQN}DY${5N~#{A<^#y}idP-QIX;OkO3#88eh
z79#l{PBz%NL7dZNEGaI#ltSzrlmBQ679#l{Qivnh6x=eJf}LaX9}02*SW|H1nu1$K
zQ*e};g8Rpsf+yD${4$z?r_>buKh_jHxuy`7(G)zTrV#$Irhpaxk1i9I(G&uurV#$I
zrXWc#bX=HjkaMqcT&m3kN?k!B{;{$k5#-u}oJ)Sblt?6kQeTjWf2=S_Qlg(lR?emP
zp`a2;sWV9AKUNwf>3+o`m2)>MD5<2>8zjlT{D%`ba^4)ATVs%On|~Bkl1x}~TLOvt
z*UB?*63$a8wah|;L{VuE$<X|lyqq@+7pPoju|bkd*(JP``q$b+vQ-!561~iVgPb>j
z|E$_bl0Eq^c}cQY7pcT7v*;k_jbJh=NjBxbq>^N_{-JW2g$Frr2$NI!ueFC{w=PnN
zT~2%EjbSn>Nw(#`<R!^={X^w)+B0tulT-PxwTEQCE>ekGPJ8B!Vlpa8Hs-(NCCP?e
zq!PcJ_RJf`WK@#u%zsHG$&UR)<#O6HZyb|T`LDHyWXmp6Nmx#M<_%;rDoOU{zvLy!
zp8Z4Ra@sR*B$HG5kF^IyGH4g5L?M>Zp1BvFldq5{lEwKCd5Iz!w2M?Cm(d>7yrKMu
zmnf3O`7fy?8MJ?>Tt<6P^M*1xmH$|KP!s{vWwdARBJz?E|K~wdl0m!3OVl#jgQAL?
z{_)K~oEU_jVWilzHw>=fXzL9#W1JK2dE<HBI-NKF=1s798)x2#m|vymm%;gUW_}`{
zpDE|3qIq4Pa}V_x=NvHbIWG}&P6YTp-z(&N#1%<0H357+IEb7N!6D}ZUC8-x5^_Fh
zgPaeIAm;-R$ayy(Iqys(=N;BL1=VAmQvv7`5;E{P-**cb2#@<h;dTt<S<DB<7cZsD
z$}T)d!r&^7Ufw>ixsJf#npS^)kkwoo;{vs<e5_pD?H2}MKAxiE>*M0+M)ZcwJBV;?
zD_8JKR+bU2O!Nk!dJyfO8!D1VYXJ~||KK_vM7P~GK925gFf-B+LPSBE0MO2Ymb)zx
zZs<*1XqypiHTYQB0{k%4pHTLE4<U`5pAeK6+cGvWh2gN01S}qd0er-xk&;qiI|EqU
zZoV!qfQb3Zlr!_<76hPBR||$*EQtKiXmFAQ98wB_!NYI>B^rrGgOOf}hBQ>m6Zsdl
z7f+P}R#J44tTsYQ5|6}UfL1NVP44UJ5g4H!>-`yJ(&oxMWqL?FBa)<)a6Kdn2sTs{
zd_@4+r>F%D*`NN*NgxdVw_GQ8Y?mPkB4NcONkJr%kwog}-;+e{#Zr?*61tU>v{Yv1
zW~CL7L?)bI*q2l%8+SBD5`jnIz?#2w+|f%J@*k?BQAkM?9*Y1`Ws2%#u|;ZzkhW`9
z%n*_n{AY~j=I6g>2+0#&KuRUar2UzNr++QUB$t<rq!o${$?aS~N<|WBLuTRW-;=ad
zZ06RjzvmLk$6P>4MG|QTX5r~ylSFc6=W78`oLfWxo+Oe(xqy_KB(nbg{S<^Hn<XHU
zNQ>d$lSJ|!|07AHJ(h*1f6XP5oBD@KbGF3_NFp;DsWpzYsj^~{NIoeUNh=g@l3%!h
zlxpBeyC@4!|C&p9vf%~;H)nJGJ(oyM-~v)Al1SSo3s3)=B$Br|Uki{lXaD^@NhFVN
z0Vy>}Wc~en@g^)4?>Sra?@5~52l<aQ&25Y<JpOAwAxW;}A4bia#w#J~zsmUBR>;c9
zBAF-TWUWxl=e9Z)@KTK+lFWz(=Fs07Nk|Htao%xQ0k_C=M^VoRNOHSy1!R$%d_dag
z{g@SyMSh=YIkNt*1RhCp2gwF;&aGMjS)|>I|2&AK?Tm%Te?N%irXR$uIWKP|Wc_!M
zmm*X26$~PUbvN&Hu7E7^voy;LBDtxy60*p~9^%%V54;kx$c)})$Ran_RzMa8a%IS5
zYtAKK0a@gyW|kw1tlKLgi)`#6Zp}pqRzMc{QJH1PA~)MsKo)kXcQ+rfSP5DGUF6A4
zw-u0uTk6ov$4^#37Wtu=Ww=FdzO95TvayE-aW0&*60-ig$dj9JD<BI`A@Xz4r4^7x
ze*9$_ZjqaDD<O+Q<mZB2D<F&f%*!%lk(+WWAd5gD@^i7bm5}w{MV{Q8`+Krb2nvy(
z3kCi?S#vu93yU6=VKcWiu<-b=*F+RzsmRYqCRak%e-(KYxjnZ6Zjl03e`fjlK<Ns|
zB0s!BEn6sZdu}CUEfx9sxa|ta`kx|rWSYJLvQSG!em;!660-iQ$fL;ZxxXii6n~r_
zLq#iZB6NHiZmg%TWT+^nq@}N~XpBUVPJV#kvW=IchmX4#Z0-oq+`)9HJUBNF#kZ9l
zth``oG%37I`VK5zxRIl+kAt@v48&`JNdWyHgF!HY)9WP5;?F0fcndJ-JNOrr!U5}#
z^arACI51~G0c9*{OA53_ia>%|qzM~(ieSW%4xmA`p;~k8{P{Nbn}nTYQls&B7zQlq
z7*dOK*ij_Q0|A3R@!)VO={cYn`UE&ZpaMkjU}%zKh9ONCfC2;pI!*6p<8JHdW)HgT
zw%g6yaq+jlueA?!h9BBZ0RisCodPm3`onoGEiDyI66crUJ827GdA=i&<a|dj@*M+0
z3K%KS5AZj~(|In;bBE#=;5qrfD6pL_1-JzL&GnW90lbAi0fZ5P{>>j$9`Fx{4i0<+
z@3$k3FBWi^^au8EaKKN(0KXdp_Gz#nyo3Yi^q^W$Su7Y`3>bSnSnMG<P72G21(rPc
z1Tq216b6O`a)1TP8`K^FnA3}GgV99+`oWlz{tt~m8kEI==>-kSEqsz>6Y33sqd?mz
z&<-Ra(053>AULVV&?oql0`y1$?n;p)2$Th%SnwPAB>j;*86;wfaDdjJVb_EI2cejI
zJg<+WO96{w1j)$a4@wzRcC@o2g3BM^wuc$mF@xLL!R;L3b}o!k1h}WKyARRJ(cZy_
z5sQEm{cT*VTy5R`+!(Pa@C@u3flVROZzKv0C%W2Nc{@P6MhhESkUUUM5c<1Sbob7S
zDT-=(ARSWt8!`PeJzz-jL6YcEh#<K*F83VurzQ{=FeKox9|^{Y2BE9J`2&LSrwxD|
z3*z2bu!)HUE#ZKolT-);1PuhAvA_(21OuW3+WNthHaii3mC&$a!IXpnjvgewPzeH<
zU7^;N^Z^n-l0}XNTLc&|VL_iLU}-?KLcdY-6C3o214c5b44wc~4Z<M>1V{>~ffS&I
z^aP?71borp6a0})M4+L$3FAM?8ua*oL)Ml`8M*L~*&QTlhL(#zD+x(*p#95bR~$uf
z)d}2ml0bo_0uM|e90p+lS8=hj_XfJh2;c2(16_6kP;gJl%0rC^NjMlVENLT@^bD%X
z2v-N&sE#(f-Rxb!9Vte*z7NrLKMW~_1iPG$-riuwCaqC`nc$)Ed^2bq2DAzmG;nE3
z7`_{>2v>qD!}q{d;OcNqxHeoDZU8riTfuGMHtw#jR&ZN55pD-=Tfyz&j&K*aE8Gq4
z26k!T?r?YTe-F3^P(XKExEI_T?(G5;4DJIz1P>4)F+j!9g$O3pxxMs1iZ=(CA0AK!
z=rGiKAEGi5EHp&W5%P6K39d<Wv-fcTODqy2FYoQ+MYM8d^uKUw|9S&``_~VV{@#1`
z%KUn0Xd39Q``D>KxR5(T{>^Ql?>BXBMt!Q|>gO)sb#xV9jyD4z-vih3b;gGUj_zeE
zc;wX4{W|+lvUEZ7t242$hh?2^NXaFAH<p?Rx4e=4A?5qs{rkrrsyF&I%K!Kn!m3%$
zp>kC>#_Ybdbl{W02*RUO$1htL2|h8i*H|J#ZXUTAEWTQVPq;_TVf-A!bXQYuuT|%F
z`g8-~eBW~!=S}WkNW5m76&TpL!B^R~|AEPHiT9xL?StAnb?TALwl|HO?>%Aoz$x*t
zJw3KDMnnDia7*)6LFWP!c*7+NUENLD>P<{d4eF+{Hs|ic_N3nFI(<6zbi(CLIfn(L
zlrj&btLx}?H^FCr)x2!@dPmk(F*eZGE?7}}Z51NnU}M_@es9Ou`8O{Zc@>C#!Q>40
z_O|lh(byZ=p76`O|IT>(=C7&^rh@V3EAQA`M~$4nEY+OsFeoB;BH&a&N_(}q)g`M!
zM18Wb9d?3oONF@oepHiG=6TG9CfP>WYS{+aN=?h_x^>B`RO-zQ@916Nk+RdANp3pp
zo#^|Ni)J&8QEej^m%=1JSB2nRKZ8`mq!NU7@7l)!kD1m;GBj+M?U)|zb6@>zLlnbp
z#u@X%)yzaCF?p<T&bV-Y4Bwg7DdH{~kEZ-Jr)g#^_#LOa`1Ki#E2@dy$5kro$HKH7
zWM4-=Ri9oP<YO0-f42vH+}x6jS+SJvwkA_AVy8}DYM0B9X8<A6xBu9yjE5Sr+B-hD
z<OXju9k@bMQ_2yw9%&$AHZJ}9{l&*e<O3c@n+O~m75`CIJNQ<SG1b6HjrAm*fx2(T
z+e&2N<G0TRtP6+h>mN5=Jmid9e@*G^pt$tm-<f9=PgKfA?NpLV^h(@b-skr9^=l0l
zywc?R-Ff3IX7u4#nuNAId86LXU~W-dw)zX7t-RR<=76)7zDZHZ>h~i<&EfEZ_HvFi
z*L1dnHgUUrwSLM6^VAEyqqTN<>U^S=uYY}^mBKxOmgHTV{;#|5aFk!$U1P*?;{85@
zH2l5zDI?F;gKG-!Uhc_cDyFT9Xgg=Tv&&8H$i{5%;tSV~s=%5~-<1`7hc|?KowKLc
z5LAp+!+(*FV*?4h!gp*seEUtY;f8ZRm|8y%9!*QAOdbr&W0>&2P-c0$Vdr}GCV{4e
zfG`aN?aoKr%fHhi6G~*QdS1KBho^S$q-&BirT1<@j}GO#_s;}HO?lk+8rpZEvNYz^
zU}wzPU6rfz#o4z7bK09<;hk`w6nb9}jS(zuXL!})q4tXB>8e|A_FGsTY%RR*x!I#y
z@vus5f|qs8Z$9x9_X{}+-^E@G<Vw@v-}vX}u^mAkJ!pR8ad_|A6in^p7a<$C;!cm`
z4IJK+8+rost>4ymCi3T%wq4x8AN!?emQMC`#!>01Ge1MhL&VOMU-aC?BVbb?ZRC0@
zxr26N+v7FNz7<zy@8@vof6l>wyK(<TW!k`6?W$*&&(8AAB=u?>Ot><0_FhbQ)sc4t
zt)KU?jolJ>$A2^ib6SKyJgtT<-1<GY`FFWfKN4B$&lFxiKkDMiGa~6J6n$-*(PgWg
zD*JOI<-VgQo6|HfitpbEsg4wnDwtgwfM46^Yr6hcD%Q*@;WVATt2Eb|sPruXpCs3a
zC`WSTojzH5`P+e8T|$O5+k0mWc|3+uNr{{7QZ0X!D@ZspYimpmsnx0N^zEu>`!seV
zC|31n+{;%sF1$fZhh0n7cD~@f@#A^ehey5&mi)xGSqDY$msJst=sk7X(DzO+Fe#hR
zm};KxSyS9+{=A-Bp?CP_^V9@CHIF32efh;#Z|}dI?NtJQ@fz*!f;M>kDnq@!d=wT(
zcRoKu;-Q?;$Gsz%N3M96z|8H3ygGVMeU?e*l62joxiR`7%hPgGx6FI&Np4q??(5u(
zAGLnx=|D3kaY6S~v!gZmkB;u<SK-4a<3x~3hhxf~ybh`u(K-fRF}3yZg~{pqlpMot
zEPNtQ)_+So*^=A*pj7^L$d;5Q28r1EA44u3S&dKkCp<RQ-zb>dE-J>vW)i^J<MmC#
zsK#=(G6!80k__I-&=^<U)gWJ<%?rD$`!J|09nY^SHeefo{p4_5G}oJ(aAoTk6DE$Y
z1LvEc)TlRqPRo1MR>-F4WfHQ{*0g+BnB8-ByiG+*=wsOFgWu0ittP6aTCMtIkx})V
zLvozyi0t9&K68{t)LVAvMsvyjq*QUsRW{E8Zz<mPNxs19FB9_ZqHM?Hk#Y+MZ3`j6
z%y{*Lv$AZy9|pR)9}fPyc~E?O{jhM5+R(x8<0h6bYy*ORMWo$TVmUUT^X}4Yo_(U$
zl>hhR!#A8ByU+4D8UAoxSJYf)5;1rp_(l5oQ!$m@4p(6K;z@Dg&0pGf)X_bBZ5<eD
zn|jV0``X8Ds<rg+<$#(t*7fFCvkP?XcBOew`Fm&Hy%+r=u~x%G&C%&yY%7fI-l?@W
zp17SAFF!9Tp23F6X?tev`eBW;RL@S2wR9Hige?R2GT)YYE1z3<dEjbe@Y6Tt?O9`}
zyKGON9#{NX`1VY>R%p<tBV*H}MZEX6W(3s)R+Z%yy*9QOdh_PoSZta!a;I#RxBz^^
zqvKK?-DhJD3m-!7EAsqtEq3z#;3_BCM_WE)r0ep(%j>YTAJ1oz4q9`YJ0!1B4&A5?
zLz)%R1uzv|7|K+5B_xPF$NdoQ%l}pX(wqGOD!aAMyf$U*L>GsQa&}f6tr{hMcTLk7
zQfZrJlNT2ow-PwOnbPo{S7WNge>yD0k=L*Zs~*l><$kaBAq@}x_rR|oERMkM&VFYq
zYB#9Jk7obU5U}CfHm11GHw~U@AkTIm(Up#zeX|=CCR>C!*05vZlVo|sr6O+C>?l`X
zZJCy^X0NtEl;4|cUWn$=3f)4t2~!)+(|Njq&0pk&F1{cA6p$UlP!@Xq+vFaD9Gq^}
zv8LP?LhU+M(M`MR^Ugjt5m)If*=ra)7{jbqtoBiXV9&u{_N=e^M8Up#rp&s&9SpT9
z_g%gwyrsi$WV^A}WAtbmGw+@sMSD9BrRp5B7S6^QZN*mbdF(aR(>gLK^PI7hA($QU
z#p{4kz{NIN3y)4+S6|c7@{;YCMyF<)Ofxj^QBm%Xp=~c$j}|s+y(&H0eA+%#x%O4f
z<h3Z1$W1!HsM2zBKKIBgVdMUaOV_oGP+g6`p1)@9l;L2)Z+m|l0n>@F+t8mbF1Ho_
zCP?8|+oergY$D@W;HPPSO>C)AuV+~A=;<_FT()IW|E=dKwI{gg{s>&K%)KZbvD-0Q
ziZWkz3cRf(Orq~iHc##UjQ>!g1F|{Pb@QUvc4zaKMcc6YKgB({(ck^0s%zbN;=|iG
z8ai=z+E&jV)mo1(-dx3kZ>xOhcZz(kdp~vi$iCT7_MaZZQ;%MKsyg$^{mk#I?Zdnl
zzt7cN%T{BFI{bTm>DtL-YY$x;d%=Ef+qcdmZ|U71wt5fxSo~7yR+;`i8^scq@A{Ja
z`<^)39Lp>DTT`bQ<&1Yd9+)=VG$D9*=T`1tWwQgvhu3wt)ae`NT$SHvTsgKzF42jA
zvhwGPYqC1xdLunNq0WML>dRP9BF*f~^<LK7p4(1{eCG8(UvyR@NTO8J*{8XVV{h69
zqu0CjPh~t^&1Q)?FFJXh&G~A4Ccfx%T-!$fS@8CWJA7+0jX3tZvY?T*#>N|R_PY*s
zc(^o+{QNP_;PbHg`4hRUzOCiumw&CII~daPz~2jb`j(W4mE_5SuJXtzxaW?7>QB>3
zSP?Vh2D=WI_FiklLR*;~2JGjfM8P}UiRUc`_I5rOZ@J5Jn%{5Y(d4<<bFrqE%?dow
ztg|<Eojk77t`zT6cTzmB=$Ax_p5ozsBJY_WHngH?-d2=gFACw(#cy=(IBj->`BqDq
zdqrc1kw;JN56diz@dDdjZvO8#jH<1bzoooArK)V>Nk8`Ftc;9f*}{CD_s=#HxuoLn
zUwL;*^5jS^zB5<NFkbW21^LZ+C$mPbAHGb#78%9V=$znp&(e-d<4|kn*lOz`e?Ele
zB_W6HCtk0S<6pBzszHIqPJmt<^2O&Z`US6?(slcfjullviR4yEps{m~6#+P&WRBH;
z_NyRI3i8|_pX<N*RcOL;e$}$Blqy^exKn%K8o;H}0#20<aI5ygL2Lv#SBCKYa3kPi
znZQkfn*|Qc0td?58Ey@?_Oh~ZCi=J#?R*x#lVTerSIouTjm#k<d4%&34LH%$*UE(~
z`T++KlDQy><00U?xh%ME4seG64+o+f@Z+4|&dd68P?il6eh=u|9QJt!&vQvcg)H<k
z=lT&3frh;u{TH1;9|tcY5$@yX4)+BPp&#5I9sm!72NJ#9m-vRHhyeL1QW9Xrz9EQM
z;K+SL<8=lGt*;+dA^fuG>4#tO4L-AsHWp!zIx4KSYm@SxH5)7fw<xb(&AJJHR6uRB
z&n_3^eXP#nXw^0AZ?2{j-ixk1*MI9rtbN^&rla={{QCXV`QaMzWMBKq_Mju(zb5^9
z_T3g`jeESsRHn3)i&OabY%Et*-y_3!zbd9`*IbV8PhG8cF|NfhE=0x@dBN-&lj@0z
zy%JGPeS2!`jaByu)t<P+5ZnA};_@A52PY?4t=78oas$7^%9bt>W$xFq@4B0NSRQxZ
z9<VukglB-4g(K-^rajO0ty^8M=UhI08c~I_9*w!#hB=pT<AdPw>NO*h4CY)MrILtU
zt&*ZB?baIH4qZP)>9(-4JLx;p`ub>Xd@rWc(%S44iSoM0TVlPtW$(z4Ar@JfcgT6P
zkG)prj<@Exuj!{E;TPQjN=G$%dSR^?w+ao#PNk$166+80ygTE9_CELhBcWFC`Z+uH
zgs*}+pA9Zwe<v4m;!)^3-6&2~iR+rTJ|~J1RkW^j-YjWRc4GL(J)o_Xc1|y_1?7Y)
zxR9b4psRn2t%cT!vmieI`gQ$GVJ@e&1M2O1Gl|^9UG4fOS`?o~cwMp6i)|5q8kwRc
zkv6E?n1~!uJg-Y=p?Bi1(UWfxc0voJ`P_8B$?vp5;5vsBeTE8CM#C-oy<t(;#6`56
zI5PG)>Q@CgC31zH(i7mr>Am;g8F1jijsrPQUCw%(?F(jmB%pBmcbMqGR~iMz-%^Ix
zRp^Fh!1SK)PJLnZ_3+HY(`;)QnURXM@glaSCt|qb(fuDc>B=BLvL#=K_U9VICx+h*
z4-6NfS998+&pCW-ZFxPby~XhnH`Xz^K9>=^pXib)?jCo6pYy@z`!{T5n&Lb_upWzG
z+j(0R9oxb|@V)j*t>{e2oue14#@^*)2BdV?;4vO5dR@E6c3y5iEt4<hX#VDtu>Y>#
ztL16&8h)0%73(?Sp)Bn0Ur6-mz6hRv63cl}R$76m`tiUzl?1WfY@M67<z#}_xO^c5
z6*m+LDm+d(k@*p4AJuV(tzL#twe5b+jXuLmTFD%jM_D&s5a$&eIQ#VE1cBy8rJ|q@
z!}XVKY)QQXx}qF9Rm{cfjYJJr=Qz-xw2P>DcI?Q@r#jejnr&Csc8%~%r$_%z;eY#y
zen2sK{8tiA$G+f{M3QXxk6Z>{1l*_eGah*<l*@P*!km8AzMh-Cqs=C7wbb;s_3JFt
z#;drJO7=^=MF_m;&u@0CklB`Ve~b3%y^64uaCgCwYNgM)BF1atYSR?HTGHPv`@yXe
z>50Bn|HcG&bghGrxl>8&Sm>+Vl!qh1Pg;ksWGBHdOSc(>JdhOI>FlkN5?g=o+sS>u
zPKa_pU>siE=Wx@ofu*PFp{~{pYDZRhP;hkX%=*hgtI;EzoAR}pk^aZ{G(#E2U70y5
zzZQCP9UBqIcq`9#PC#32olKu++p2X|J2|3~6w9L%hy@bYl35-+pdGC18IU;OCuHmU
z#p-pyq(^`I&5?+JpC6fm*AGYU@*TD=@}=pD*VzBFN+#U=tkbK{`avU`nmt1<{aVds
zmU-0SL+EPt8Og-BLtAdr6nNp>$2p5-<^4?L&&g$}`K*OoAw?U-R5k=_h#A-DhcYL%
zN*m(WZyRV()a0lTr^k52ar);3mgGI0H3~a=mZ2iPAHmdVN#LQA$~(Kd<14eh`rGF4
zD?fDZgu3Pq_={KHKLQ`D-i5rt{r-t*d-Df@%wdU5QjUc@JYlMxyi>Q|4R<Bj^W#hP
z9oFcWBu03>#MMr(b2Sc24v3aH@-zKs<ImEQuCwY5NkcrW^{~;6pNPDdI~d;H*)X+B
zI^yiNe$f$6r+wldirxpm8TXK8%NV@QB20TV`StOn;}f<n_j$iC?6@i(*xL0=@N$U+
z`l-cz(azKWw@l+-x;LK`#M6|*!j4t!WO=udkj7J3Ih3Q?c2C%4X7oqT#;hl&)JhqS
zU?*Y=L%q3p4|q<P#EF*i?uQAo$L;a|dRxir$7_v^kB!`J>ByXUkr;JO>d>HYd&9%)
z_<a|gj_}`-zrFs$N0o0k$LikQ{$gryayTq@fOYluxUNU}9*$?Gw0$B=Uc~MNDf?Jn
z3cpSq*>WF#pQfx}(<9FZs=;FzbF0ss_M5D1-YC>aRh?`ROQDxWtlQANIak@@lyeAt
z%Ww&Ak+3hU#q7kMHclBU)#nw3xnC=|KV0o#(Has84N8^Y8*rp>q9TQ>rm{^?mR+Uo
z-R8TUJT@W^i(4kg9@hWZI~kdfV)HsY;{@NuD~ZFIwm$n$$G$yX!65R1LpW7X$Ol=;
zG%bMU_$D*CoB2VtT*x&u0mp9_&V9}N=#hPAO?G-#XV^s-)MRVqySz1r1@Co@w0jq1
z-5HB-OX#6_6&9x6dn^GZ$)L95I}1W+GqTrZx|4<FaTNA3&B(bEsjibRpJYhsRCj7A
zAl5|fVMiN_n6GK7Q?2YHezCHx90~e<AwoGN3%|#GmwsMK&f~EJzFyYM+byY^<IXf*
z9@T=uh|him9}nJs&}~<&@h+KnJ@+u115M=nBP34R{J^566(j1-9XMvud`v0Vqn_~#
zY{XiaK7Lrv@{t1%({I>Gg|wox-0NOFZG1VguA99Dp?os^>FD0zu|C-|sJvqIHqBzj
znP(5~j`nD9O7S%29uY6T@u^r^<c-FIx9|2oixWsX-575A`#TFS-=+94)#<eJF-<gg
zZ)CtHnlO>AvaF^@Ble8!bE%uDt1j<ESNmso1r72vwC_vOaq`^m(wix?_XF1ID(yyk
zyXVsf8LuAQ()htIn3h4Y^8ArqvB!3l_BkKf{J!cWi<)f(L*?r0=wEA7t&z!$nhqW{
zR{jKSR-b0XW^*gXLu_9+Sc-?Wq9XLPIr}#;Nbx@`9QOMtt7BBtx~5yDp|s0yoXMe`
z4kK4i2zh0#9=hIazi+R<oOOy!@VJ`n)3(O~FrTUK#;DIiFC@nLx_jOW7QIv{>0gh`
zOgwMhtumIKICXxlKdW2)=f+bu^y}T4)+9-U*Y7g3)vodicXslxd@7OZW8I0p!kT!z
zvQUN9-H+AKj=LdS`SCuj&mR;b4&0C5?Vpt>E{_XKt-8;%Uc`q_N&vZY`1*;0OEx?X
z2Q%SWC4x^LJghuo@|5wKO>ft)>vsoVofLbF&ABD?_E)QAQMa#Gsahi4Dwo{Qt*`e^
z>`*5fUVF`dx#GlyGs6A1R;^dF={MkcD0hc_VzMLblA@~5WkKE9!`DhZx;NfxY*bqJ
zrfBq8J=-_^i(aalTf*~|a97gLe>{Sb$AzXgT&lcmzWd9b7c!3GHSVhqUwFeLt3GW)
z`+k;*W5;6xbL4ih(2A`?1NLvDk8Mh~NAleD|Eja)h|C*v|E%4;FVxiptoH47vo^Lh
zSNu50QH_TeuQG)V%e@WGmX^HZ<uSc3`4vO5CAXHMwFFUXRyHuM`#hnenLYy>u}fpj
z;YCwZM(gFtka!dHbGvQEEma=dfNQnuRB$7Gnz4`glvTNJ8JFZ9<r!qRhq$`hSEuba
zyIt-``|pi!&#$Yp(%Am;eDsAk`Q3<);r9I4Oa*M3*iF7`%9mdxKCUV9;C1`VIfE~f
ze-teFz>1Gv=XiVD2DA9H&M|Zzh#{*G#EFvQ1t&J~*A{umMZc;I#fl4v8`1FGq@CEj
zK38tCob`1z`pjcN6!QbY@%Yb&g??12+=>&`GG3+hVO^2m$0VLQb$P)@5}Fe9ze9bz
z?iW1ck9-$gv@U9&az%etr2Kw#g~<Rmd{R>WfzSk#DetAQVbzD-iH(8>Z^hd?Y^vhr
zALC9U3`TFy)m8jDB~ByB^#fDsH`>a@SA52=kEg9Wp=;;vVx)_Jba4UWsLGA}iG6Rh
z&PaHf@#cA21k_0$sctx6>AJ}vUxjmL<X0sc;q^7FD(7-0=ykrSAY)#2)Ldbx>cakB
z%_6&XO@#eRE~E6!J!bWXSoey?$6arM4JHLK&q&s`UK@??+1wV#^rfw%*_-ID^)_61
z{rVhX-Y0~Qwfm2)Y7cSW>f~hSJ*`ON^npJnqUsIXTU!T)4G%A@+uSjnE<S##<)~m_
z)9ewC#8>@iTO)(=PW0$-=B(qwZmn^N;^)_`V*TtcnVZ~`wsFJFBd`d(%ysKaS8r9%
zJ}i&;)oXXQVJwio>$jW3MY*b<mDL^^w-kQ2z8KzQDf#4z?W$x|i{M5Mp1dRbyWNy5
z8q6Akq8>+k+LhVBJLz_2biLj3^#x;)c7i}WemA{lX6C6=31a(q&bFbiBq46aT`ON_
zQ$ldIZBe!FQ5QE+U{;Sc>iy*P$uxi|u7|(<P3V_xA77?x)Nd6&OMGoBcUiC7^x%{8
zuk7_x^)KD&I3I%8fB!0q^*dst2KR>94St2&q7$B7lK4p&5PV7VBD#BbhQO?K@Y(8-
z$IlgiK6J}aH`_UB?-D0{yb<-RHM`6|7>RzMa)%)(_mjj}?Jm>uodFUv7q&KjfLp><
zE;e<>C8y%DA0&Fz?cFErV_G62in^}GsS|U8ATs32#VmOyr17a?yZlV_&+pgweD2!(
z=&HiVGx*QjPs1<B-lU6*48pJdVT@QO7Rq<3>@f|kTY+y`p9P25Y{|L}9@|YgQoKf{
z*M6^~sTx1t^KJbZruE^xr}ortti666XBCq?A*DCX_}LF}oPLZWAHLcaEflp*I!jiq
z-7c)+*_YG5ItG-pGh<Y2xM$7cFS8vee3I%i@T@@oO8zazruXNf?4IXd?o6snN#Ok*
z$o$3N@WepZaY9kT;R?=ZxwLa@B5kByica!5_YZ7+c*5~_F#Nvvm63{^j_q`3#b*w}
z!&5H1$lHH$Wcl6f5+8|iJ?j3LBfxq>JVS0*z<HzXmOpL%MNsKXo*EAZ_PSgg$o63^
zc;Sxa{dTNmhZJ5iS*vP_|B2)b_k+yhgX<EC4)Sd+&f09)yit?xs&(3sNY;0eZTUpm
zuOgAZMHuo;+7GHr+RE9cW3wmO<NEw2tZ0f^<Z4Z6h1`O<4$bCoM8wH^<@UXMrxbMP
zp!{9?sS@wj>@=Yb^v}9Bd#jb2H5?F=?8=ppQjk%we_wl1<mKg!hvZco>g)Hjd!G~c
zw7B!&P)&VLzpI){ujlQM*{$ZAgN6>&Gi<ZYHjnb~`t0qie#Uli5SFT0o1sN-Fc5Q=
zy-WMtp~1(Wg5m0B!AEAIznWIsKC{p1Rw#OCb&&4^K4f;|{U={j?hTtrju(An6C1gC
zR0O3RXIv;Y^?f_tL|YL`uCeoIZ3o*R?bfza@8wu}<xV#o_z<3VI+k-=IJ;-eXn$`-
z&EA7w$9H9&WbQJ@I$OU~a<8>%yB{uJ`7COPCOu93o&C1tu3q1QFon-sn@i-`pSr!X
zXWT7jalp*`HUn~N_IE4a9Y!e*&5B9-tvdv+j@?M={I>Ht_lZYA>#KGLO?EK+3Vnh+
zb2;@((uSB6fBQfh--(j*Up}?5=_&MLIb_+Hg%qr&S38u*mK-RW>J)iWQXkZquDT({
z2bOd{Hy}03yjlS9<3$tvxw;R3pFd-mj@Uh8gQzbPQRmmaKRj0AkHD&lX45}qR>|B)
z|K-3)5ud1_EdytCyp`VMK9vCO?4CDI+O&fjPTFRt{`7j*d?k+ui>*9B?{3S}OrKrp
zPd^%5dr#Qz4ZT92NSHx<;8qtQnPGWPiI9`A1!<WL^#luB=G!SLLvV$`=UYVzV|~q!
z+ihlfm`tO{@n&kc%G<2H@}YHy)9aTbFW;6XNy_{CG*-O`3@<8JU1nI|T{`_G<i`_d
zEx(^anw|$nzn1KqLFMZP*x#r5_N5~exL24Fx6{h13H2qbcf1qk3G|N5I;gChYkMXn
zmhOzAY(87&qx+sC&kLkIIWM_7I%=D4nyE^0T6=9g@>%_^55i$c3ru&Xn3MZ6Y0ueP
zot$2mrBnT8dQ3iC4O^FU1$T4%k<_xNdm`I^JS`^fjY9hzD1CM^ziB(o{(a@rffAjX
zvyan;er9bwbaqrU;oiMZM@}7(Qe~IiyraohDyX!&dfdadD*4s3by<TlrP_rNXQvW*
z@SRmbS{y~E@%xDAEy2l{4<Gna?Qk)-!%Yevto`ousFO3W%_8Wu!F`?#HP)P=#BaiU
zR?Ke%-W{$w;PRm-!8Wp2;G*pGwM6k`CpV=Ug|g%C+uXJ!gj5>ek-%#ui&w5;yWE-a
zK(#v5@Ch!@YV&%F2cHo~-p1rP&{T3GXhzIuFP(XDY3pZt%gsJlPSA3AakgmFHT(a(
z8IM0`y^DnbwR&r=>TUP>#;V*maWe8(e)RA3rycUYL^L0YzJ#E?%P;QLRI4<bo5E{%
zPJAPiVLo%px@@LRhmxAK-t*ighRYOPmD4m-^xXUA+{dr1pIgGezv|#k50PHidqhpk
z^fC`u>07*lqU?7!uYGGj3rmYI+;Y}0ausbz{8%TQ((+Aiup}xTn_++TTZ5^iljDom
z$1Y~{8Wnl(K%LrmN84v$us2^$W@@xP_=3K~uUEH%`(A(CaZO)TB3rakwDX=te4fO;
zhL7Uu3^zPOKPE)QOs#9z2~zV#{nQbK+qU)R*ed=?4;yTJa57oOSnEPwQK#doa*4KJ
zk0X6f8GZWBm7KQmZWr4Ma?(&cv&@54lh5;-skuB2HtJAk34_Nn-;P`}L%YALJ5PGX
zT}3b8^_8UAqPmw)8}7ZW*7$X!PWPv8Uq}|cgI@gl-Q20g52iKtbg$8@Yd&E7x?1em
z+hF|a`ZmD_9j-m1KM6N3g$^e+dTsi;)(w5xJ25D)W3MjFQ~M|^+w}|=b)6>mzN8}(
zb#Ef__r+?PzPq0GGE2sfJ!0M3<RIa`i{D*)eoJ>%W3Q^39sV}@CCI_3fVN~uhl4`;
z^ED=d4w9(u`&R;YkG8}PsVJtW-iYhWa%*pol1H`iw&wHHeX>;y(z6-Ev_!h4jSPJ2
znEE&}wgW!d)N!WxF}H+rV;zmeUUOEPTv?F~B7_qu#WB|>j@!P7oA%h_Rx9{CTT-z7
z5+Npa+@Y&xmRF2p58?Hpura|qTF-xeS2#3V=JQGS=fMXip2<gf4n8S47p6)y5jgcT
zDjZeNc-0zXXme|3lS%0PK>IWI*Y5eryxwD7^)j(<vYXQX^-vM@DYeBTMc^DN9^7BT
zAu$U_ipV10Na*a(pA$vU&p(lG1o*Z5i6Rteg8D?!e~ElUQE%P(csCU5UV5BpE_yv5
zrG`S(bH|Iw;?w{6oY9{nfAeu?S4SInC^+uD7=iYIFB^NNiae7J^O2oOBER*uf>TL&
z1ez@J9KN4Hm$mivnBA2ddpMG=G2J}R!;&5mRlF*S!`7FBm|$_t<yZu4^=9SW)th!p
zaw0{eId?_w^HANxAV|BBF2ZFmOs$1sEG7BD1OGs;lMjB!#<v9J{JL#~F_e;f8!|@d
z{{HCG_LP^c=?*FEGC|Wbjps9e5Db%**C%{C?ze|t)xpiNa>MB}^xHmMPLZ9g^`G|M
z&Keuqlb0BAeG6_7FC>^Dlw+|ab%#`3ie&0tkE^4a?dD>c;i$*Qhx!ZlZ5|==Y!=CT
z!LV7Rf5(BrRE`3yw19=38*gfSye%&1kf~`3QMqz$eRV&ESNpPvhFL0$nAzrse9{C=
zU_pa~XGm0o)DAw=NkN%<+`-0326Zm|v;-ngWM+Cot3E5SuUNiL<OShL4W`|->Lu%U
zm8q6{5nA=l>9YG(AN%J-TI9WMh0F7Kj6L}N8e6!YT`~pheJ<nGg%_;fcn0=JT<f~=
z;Fd@t^EVpI*)JK__Z?0o4CrXxpcP&(dYUoq!c9vj_JVk?tKuR?PfwZdeRj1!Q3eyI
zr#m6y{50&#HFjP1eeU|(F`<DcQCBr@MYh;RWyFXuU`}wVp1Q##Vn&P3&}r9KH(-1A
zoW}?GQ?W@8uAQU%$?Qytu$cio@S4KD?!5|o4>}^MU~eo>R!G>PCXmgrbCF8b8F#j(
z)=%b^or>Z%lpVgu=bCKWhM4*JVsm;EmkE~uM~M4_m-vq9+|R_m)LK7*u6Xk&8hkow
z=Z`<#{er(iEIp2aS^1b+de0!zzbUu!N>T}v)mo2~4>eY6nK^<j(jSLz{*^@Y>-ZV^
z?>EgkGSYthfaU7&w<*!J=6^$CCz;eYMxHx#-{I#E%cSCOb#EUDm9pjOnYRiZ4mnqQ
zCq{DfFV+fP+Q>q-wM^pM9&AXmb{EDZKV4NBR&1PUKb{=Q;c&vg(`7vVj6>HRt?{#r
zx?6tQZo0%2ap^SrB%8;s4R*i%r<<S8UJ_Xsz+o6tLMPIGlDD(UPR}JY6~7CiGP{?%
zXUu8TrnWE7KO!ur++af|x4n^3>45R&B>MC1S>t+pE)RumxfYL5>$Qyk?rt2zB+-y7
za`=4)0bx1ayS3*Ueq)DsqZb>;vCn=7i+p|8_3rbJiN1Ux$su_(t1>R@>$4v_uF_Rs
zcz-+M*~I2(q2wz5+!N|y2xhyk2PuV<q6cTf(!2)bakfzk)%xm}_Te|*$z#3#=#KCm
zUjA(ZeMh}=4J+>MpZOu*_F9OxzP|I;bTk*|rXS`%X$8YRqn_W5F)zf3pU&~#&eJW#
z9{Yip;G5k`YaL{$VW;iweMqzOUK#&Io$NZ9n2q@?r+A%M)}{=pP92Y&QjY5?FFLp(
z+Hyz3QMOGHT2_*U?~#$U40M)!CnC$XZ0J<?R@O(T=HCyK?zzG!?|6gv_8vu^&H;mB
zUN6i1VtTfLt$ghLuBJ7)=JX${KOszn#T24j%2+m{Sc-MpP&Z<JJu+G?#dk)j?CaZL
z<MKlhSzqXA<M-cHax?vXAWykirEa=_k+FOGnN=435t+GLi_7A`=JFfn`d82Q2;)=G
zV@Y;1wp)w+B6~t}kDDE;EQ77d6G>L}S8RK6{H5kE&ESF9!8-|rr{b*T_a#)$?~`BG
z_(S9Fxv?iBF~KpKp_wy}zNbzPcgh7flpI%VO2Y(&O{QnMIO9Yg9YTtg>=%8J?CMVU
ziQWIDN>L`wRP^zn=hg3ob&`*cp0E2@_wnqt=OTp&F8^e_+I_jZIr2oqosRcr6A#{^
zbG!%VIXTeARByHY(3;<4m%?7TK3IKNh;8;}u%SxX$IYQ(h)}v)@tfXB;AYQdH8B?-
z`z&&3WVqO?-|{S4X&V=BLTapLm3)?r*3h8x6({|Q^C()jn+&FL>N;7TTlOm@e9Kj2
zn%Z^h(=Fe<&)isR6MtqIRHS;Bzu$K9C`Y(+V^V?MbOlXjO{41mU*oUJFV(U;A{<u-
ze^$A5ZGBH#v<7Xw+M{dH%|0^)Yz*1_jV!ecCtWs1B7dN3(U;pjrL&NIFJ0Q)^OHlv
zP2GF9u{^tT#t3b*hf^e=Ch~Ko)p@?iyIxgK!~#@{e6CFvE8ULdx=Xt*BgiGUF&g&!
z{gJ%UH`h0A-6gnjgC_sgne*O=0}Nt}rR}tKMz-fCx)OOFbl67lv!!Mo`_O$XJU)9g
zG)^r%p@(s*GppmJv!Pw@UdO9JuHVj9?X?Ze5Uh=iW6gQ<;q3dff;uMLVaM8Y;iF@?
zL%esS#ZG--lPOo;m~oZK(@{OOb&v>qtuQ#<uHB<yHeIHndMqbm9C?w>$k^VpzUOn>
z+A=!qTI>elap{lY%}iq`*cu&w<{-|_o!<@9_V1o%7G86gtKq@Li5IffCx&!u-tE1b
z9>WugX5~5@5$1K#<d}o^s^nn3LE1*a$qoKa4{frrYwbV6`0JEM4n5w=CaTFep)+WZ
zo_=$q1+Cov9pVBpGq=vlYk5qs5|%^@IwZiYw@yzzDmI%KB0e@UdwsAs`b~&-O~{y*
zgNQ|pKyrn<!eQo^;nXN6&VYL|IikO%dbcunBYI5Aax)LEe!=hEut97l{2lFeTah-0
zqzkFFU3S{Ht**ipX1UF-{JeWGy?ldGWx;Ce_Ti~~C0{1_d*433p7_Az(0XqDo!BT*
z|EtHYq2ggKpV%0p)y!3c&ojtvHD-xzJ0Go3z6H@LGtl0CJ#8j4SeN%h6kidaES9h#
z?^2^Lf?e}dt8kOijT*!8`%Z>EovTztXZrn4H;c2_m8)#Dc<O56Cy`(OZQ`5qxt0r4
z>S_t^^^ZP$X?!Ph{O$wMdtzml_G@MuWZGneXhI@7OAq~OWXF1(lpfB;q>Hwk4XBGb
zTV8bS!#x&xy%!2w`n>pOf-|bo9LS5U(b|`?-xQ{+1?pe8D>gR45kI3RwEl2xLX+$0
z>K(9CJZJ1nSe$%g*K^bD;5Zho{I==vuTj6~_x`B32_Mdfb>*ewV(Zcqvo$`-4J5xq
zG8Y`*avq<yxxu{vt>`25_T`#Ji<6~$#tlw;(T~0{$-NtFR5Nzh0VjP-)X&Ws*?#=o
zU};YD4^bx_PV4uj($CDiVc9!EbxfulY2O^R-W$*C!W31{s2X{vSxV>h(f$B$-nv|-
zsKhsj^Y4aCYwW|re@rWx2;o{```<QC3^lyId+V`(WvsBI_($dLu<KQZ1qBQny+fM&
zzDKvEX0DR!XCG!S^3QWN{OQ)x_4s!I%h3HF-DaU?L&L|J^c;M*@1Iq04&|%3ePE41
zPTny0b6?M+boXzUFn`O36BUN9e=oGEksDeowpP}oC^Y4TG=1&%#G~gqVp5Zy$jv78
zey-NVm7NpOG%Dl4cw?gR&d!(#kxPvPr-wldxtLqrmGDs?C4~z+<ayHa`ge#Oi+d)Z
zd>((K7M-MVHR#azw~8Bu-ECe91^h+QW4eJKq*?3lXBpd#-kbIhD?(R|ooRhODR#f&
z^N{_fw-LVsZ3K8tdG8)P?C{}gf90tGGmUuB7sSGhynvx!1I51fb+gG@`7;FxCrquI
zYdMj)>RC)<mt(WM#YVyVBeY&}XmLUL%uK}_4_lk{gic$nQMb^anW|;G_9Ft#v$`w$
zYmk59Gu19GscRox51*uap~%XUOE^2q*<S8;nBei_^Q8f#^v&NRdg9-!tZn&zDD9ZB
zK@pxr=2eF6YYTQ(JE1eidGW@<&YN9#Do-3sh-9)k)!~KpfA@{R=p{52{3W_m=^diG
znmv2uBRt|xjNkbi<JZ1a5d4eAQygi+zD%usWwq`~L4NE0i;>dd8d+$EPZ7f%#RHYs
zv_A^+n6TP5Bt5<)>t-}rSX7YqSUf_0mMzutX;TeK-IP20&{+NLVBf+=Nx65`-MEv7
zclxzvx!n@Q-#B(>1U-9;U2fB)=UJSoq%UkTHK$dq|LWmiCbO}#12Xv&COJHx6-3{E
zZ_oPbbHMIkh*)Cx;9Ge=2MxIoeK)V#mrbqneY5?2Y173|yKp827Ns>ik`Pl4w<HI=
zlCQorQD|W)OS58_QY3<N$5lPFqdVx}!j&3ptBY5oiu<}RlzD#EesSgyM_6ONY2>ul
zVLyZ1n`e23LOoD4;>S;J|L9&5X8QBc^)G>0ZdRG4?4hcCpBwkdA088W^ZK*rFPy#H
zThAC<yXjtgj&*%5M^ZT163$$}>*elWGm+E0^^;<@wrGlox$TD;xxC{Q33c%m348{0
zeZlJtl41`Knhv@N-%=_v_V3_!5L4~OWLjTaSN`Drlcph-+fU^movN|FXG8c(5S47J
zKh)Z3c==8jPq4Y^X2VV4`_t7}FXf8LiG1%nI~0;g)BNSO$=mzplAVIKg&eHAQ#|6h
zu1b3Dfg62{P}spGD^mv(KD@?xH#5FaOUCBbba#-i)v80ARb5URnRjfxt+M0GjY7YM
z+)Hn1GX*3LXMNBs<yRn>RzKxwc<I_T{QmcYzE&$gO;Lr4_LB!oU$lDU^}T*&sQu-6
zkAUni^@C#*-<pkz8iPAp3T8sqHyPHeTBf8QJF+=>t>ybGH*dt3ZV$3DlTe?K;LwuA
zZ5GYD>3qps*<4wiH{UvFm1%|bnBaBZw{FrFe%dn(=R_Lpx2)=oPIzP++2l}sY<uD<
zFXNLQKh~sH>3U!AX+GcCca!E7ySc1q$-Q1<U%J59jqlV;_s2i+WQ`O1?Yc>u`DGKm
zrlf6dM>`Yh(3=g}CrVcJF^#pg8^&WsZl2!F!xb>dJoc^ZiT{P&OcO>CxGBb86R%fI
zi+!Ne6+f2V(<I`V7bnTi8Q?$u%_L{{ra;55;qucL{PTD}8?>Bh{q3oPAA-xX9A$L~
zFpmkjP=Di49j8^`Wz~WahAFOn7l#C$WV~;W7&LWt3<<HHe8;)5>=dlmQO1c7d{N<z
zeo*3R_AZq+?@3hurLhX3XX9@tkuUeriG+_nON!jzTefxgMLU|J$o71J{+*hm;3bO_
z&EJJR*WcGOeH8cVoOL8~@QrHevp&B%lEZt>sIO0C8QHAoTl7)k@L+Wzca3d}-DqsL
zMugkIN9?yx`!o=<-OSFJb(-1|TOVlf-JJCxgzelIVR#}WJ>$eoAyFlrlkrr{%p}(~
z{g&S}-_o3zmkC$=$<PlnYC3|GYr-mfG}Vn^UPOCG=v*7j)yhL0c8KWZ4P|FUOA<up
zQaK8H6G;ghC6NFy|7xfCq>q36@js0-!ZjUT9YF#}Ge%)$cN+=tW+yKn5k_GjD{B{`
zq?8CFxEeN>D{@Hz7`Vy^rL`3H0Er+0D%g^r2oV@5O~c}IP=Ivbb`gLAfF)I=L|~+(
z0E;Ei003RTTm)c5U~`#`79m&wnNLVNPX`WyENK@HAf#J%i?s+4guG=y3+a~iLJ1Tg
zA4$4~xd;LDBT2bj79pS#5}bzoLmvwC07=SVvRDRm0SWR=l9hq_K+4;(SO)3?DP_hY
z1nL8BNy|_lNQojA%Rqe~T}xen0OWJ0{pLqR3hD!Nxp|R)QlJlWnF<!`KpiI~30Q=H
zW>9kpB^TNOeL&4+n_Pf^KA@KH3lt$;6JBTq6d>iLS@;dQNV>MY@Eddx+>87}8R*`8
z8uqzS1f3(@bOh!9$THx`T$MS&Bz1-y0QxeY(RERD!F_9}gauii)w#T+<d+v0QkMR?
z7-y`dxo|5EiACWT<4>{*{vM=5q%dosf^Kp`pGwPwV2-wOLPi)ZgqDXA(ZNwI(2J-a
zsBK^q=xjr<6;hCAlvS_-uRbG6_*;2M*paT|dBebYSh*cadYURbpaTBV{;nRbAag3r
z-_^y<TiRbvh*U)ye22hrAsDHMkF%VRv6e2($KBn<*%7>OO_Csqlt4;}!|c4kWk^4F
zFJ~B15-S9n^s=>+)&s9>n`;pKk`r?9@$ry`!~OjHB>ki$-M#GLAY&~7jzGatC<#zP
z!aKmt$I4&A&3h-nM<T@0c(IzBw<L)sNpNow?r020N+RG3h!!qpLemh0*vi`7*GEps
z*VoZj8e@&a;n8;165tFeS^|l*!$^Re%?Jr35o>E>XN8uswMHzU^B^O02|n-uAd~_K
zWx-cz@KQQkUmK#Ayrz`_(O4F~1ja}zqCpG*?6CEg*LHMvAliw;_85Ra7cen;xw|>q
zc+XW@EX61bhX^O@$UG0pApcKY0|UG~D}hwZ^HLU+kp>xc9qj^?!EH@>koub-fka6l
z2nI-mv=l*F3N3~}N+S@maEg*Zf<RRzaB~w}^8AacWF^U}x_cP_xsuoPwVG$^Vx#j<
z{=f6c%0o-*zjMje719N$oHSUfd|knza&_gENE-Zqd0cI6776z7^>QJpm8}h&=t6{O
z^9DnU1QgraNZYx4xmp3Gu=4N#mx`^RyYrCT0*M9>eW2A{o}{$9U3})gxHv*eDD7h9
zW-lk?FJVixv+{NE5t29Z^#EtaVG=Man68pK5vHuQ8@9&{Oh-f*zyTwf2y-Ll;wV4&
zh14ee4<7K%1`-x`uQ^ouM9&4B@^dDJ0k{NA(Fmy!)W~90@ZEMkxd-UYKOlbzwq+ES
z1Ol@j7-RV2oGQ-<`(OI!f3KlG3kkTEAt&SqEfZ8%HK0rT^Xt^YgeOeWOkmZKG#D@)
z(sp5GX=thdSq2Fp&m(~}O9&wlLZBF!P5y@xq=wwRgcr0PRGKq2|3=I}VQ`n+2~4WO
ze_4FDua5)3_D9G-6AP2D@PC-9MHX{=`+E`XKo$fPNSqF>A#?wL^a>b|2*D0Uf&se;
z1!b{?{(-s8!w3W@cgRv04uglxrzPcZ2$Ipa1V+F~L0Mjwz;IYJlp%o}27QOJ5-o*c
zp%es5V1Pa}WR#JYL*k{Nw9ZRm1QY=>E|-==f#Icufy4!rbpa2c7cwlVOTdxx87+a~
zKxPUGngM-KE|sNq@j$*P%i*P<{3%Pz;XpnKkhp;Y2S7{>gA)5KEr$Rdri6jiEEG5p
zptq2*OkNiO@_s@|rO9ESA9%=wq=Z2Z#Znjo4Vj`#VORoW#*@P!99W9JAwb>}>h^#T
zQP7OUfovdDFa#Dds>yLc<)Fl2<S>8(Ls<@i#1o*bkmTh+U0|wG!AP%ZpeRSmW<vp!
zqQn6_5**~OQI?~WQ-~JGogpuW018UoUmTRKWJx(dGnz_Q0Slm<<>c*wau_Ie6gdpS
z0i{z}3d18PWdo`UIZou|01OMcI7?x`kEG}aRBkyOP-Z*wx(F#00dj}PVW2J!O3XnC
zqt*}bk~xZgfVz0-RVCzgAsm!EMMz-@)cnKYA=i<-E@+PcIeANANHpXEFNNU<P}*^F
z7=#0I)0e=|AVC*ZfAL^QmX<>T34-#jlh;LplM_(x=cO<_=}n8|Fa*FsDK7{#m`|zN
z!;_q6^11*o$<18~1Nk1Q#vG4=GQX3TgK$8BizP4&0toL?7{DO~`J?3JAQ+UiXDJM<
z%oOc`a%kxFm*nLT00)&Gfy`CZb0;26Sq^HCdhP^E0L8e0au~{a5&>{f>Kk}N26#2b
zQu;t$QvNh@njsj-WwoRqNRW*S%9Kl44obaE4ufz|$~^+Kw#*m-1zuVg;Kf1N#mU<P
zc+pUrqopv=4+`ExIH<-Ks1fBl18@+a_a0HSM}PtfOJOJs^*VzmQ1ut!fD$E>*M&wL
zidc}tkdUfU;Q*vi^BD&Ps3_`^0#f8K2nUpbZYhj_r|uhpl!lSK9H0e7DI0*#1YlV$
zX%8w#X)_>j1n8X9l5z+<7R<&=VW6%Q^}0o%wsUY&2ugVYb*a{U2nQ7{pc_zRk)m%H
z$~hLahoO`gBu<KyT8*+U)jCZ8>omo9AP8VEsqtc|^_Kt)4odo@q^NBR0=RL#v>b$k
zYV83=5|y0@#)H}}A%MwbX?vi*m}TfAP|jB%Jsp_IDe3|fj>_)D5eUnS8?eYJ>f)rp
z2vflD2n5x90B}&Ps|a8pP||`278@lF0Hd7$K!1S&Lxq==k9EnI102x%OqakwE-*^n
zLV{(GYCZrkN_hd35VhToM*zc#8VB@phoyMIlt|rQG^LFMXhBoT3&2ZC__?G#uuN0U
zf53pI8cU!Flrj!*V5n>^kaUeoUcd@UwMKz*RJJ$30fmy6&<7%iRD1!Z9@W|iU{vyg
z1Li%oT!E6*IH=Z3kTQ>Ie#Rs4lr}Kn0ReiG-x8W}ApIm|KR`LEwG7~(k|8OuPE*PO
zFp;S2D*&V73l3xhq?~i`z_z7aX8;Z=y+ngFn-p_4c-a}%dI?~pOu|e13q*)=od)Ho
zc#j4$M9C{OaMh@3mV$DvF2Mn;Vk&t7BThB{;lUewDf@xOLn#@TwueDe`{$r8l^lSX
zlS*E|bU<atf^t-A8Nfj$FF-q}^%uBrlrjmuCXAA&IFPcGO0IxaP03R%f;t8OT7zCi
zLBUhtZBW6Mu@5j%o>j`azyPFx0UXpe1DK1c>r&6-c<|;oD*B`dRQdt3xk4%8m-K@G
z6p4y|V6C9y6_{cvVZa=M5_vAP=i_AsGAnv9LT}>JcMK##0Vfz-&)proi4WRNg2C0@
z?A&3XM__9Wt`2g^%VL46gH%>R?cTFnMGCD9><DEH28{#j7j_TGON*8NpG%N7sl1`R
VS5lY@crXYIl2JflkB$oC{{e+~QWyXL

diff --git a/sources/templates/registers.tex b/sources/templates/registers.tex
index de1f646ab..755b04264 100644
--- a/sources/templates/registers.tex
+++ b/sources/templates/registers.tex
@@ -2,8 +2,8 @@
 \author{FELIX Team}
 \input{et_template/template.tex}
 \date{\SetDocumentDate}
-\def\DocVer{Rev 4.0}
-\def\SetDocumentDate{19-12-2017}
+\def\DocVer{Rev 4.10}
+\def\SetDocumentDate{03-04-2020}
 \begin{document}
   \chapter{\input{registermap.tex}}
 \end{document}
-- 
GitLab