diff --git a/LICENSE b/LICENSE new file mode 100644 index 0000000000000000000000000000000000000000..d645695673349e3947e8e5ae42332d0ac3164cd7 --- /dev/null +++ b/LICENSE @@ -0,0 +1,202 @@ + + Apache License + Version 2.0, January 2004 + http://www.apache.org/licenses/ + + TERMS AND CONDITIONS FOR USE, REPRODUCTION, AND DISTRIBUTION + + 1. Definitions. + + "License" shall mean the terms and conditions for use, reproduction, + and distribution as defined by Sections 1 through 9 of this document. + + "Licensor" shall mean the copyright owner or entity authorized by + the copyright owner that is granting the License. + + "Legal Entity" shall mean the union of the acting entity and all + other entities that control, are controlled by, or are under common + control with that entity. For the purposes of this definition, + "control" means (i) the power, direct or indirect, to cause the + direction or management of such entity, whether by contract or + otherwise, or (ii) ownership of fifty percent (50%) or more of the + outstanding shares, or (iii) beneficial ownership of such entity. + + "You" (or "Your") shall mean an individual or Legal Entity + exercising permissions granted by this License. + + "Source" form shall mean the preferred form for making modifications, + including but not limited to software source code, documentation + source, and configuration files. + + "Object" form shall mean any form resulting from mechanical + transformation or translation of a Source form, including but + not limited to compiled object code, generated documentation, + and conversions to other media types. + + "Work" shall mean the work of authorship, whether in Source or + Object form, made available under the License, as indicated by a + copyright notice that is included in or attached to the work + (an example is provided in the Appendix below). + + "Derivative Works" shall mean any work, whether in Source or Object + form, that is based on (or derived from) the Work and for which the + editorial revisions, annotations, elaborations, or other modifications + represent, as a whole, an original work of authorship. For the purposes + of this License, Derivative Works shall not include works that remain + separable from, or merely link (or bind by name) to the interfaces of, + the Work and Derivative Works thereof. + + "Contribution" shall mean any work of authorship, including + the original version of the Work and any modifications or additions + to that Work or Derivative Works thereof, that is intentionally + submitted to Licensor for inclusion in the Work by the copyright owner + or by an individual or Legal Entity authorized to submit on behalf of + the copyright owner. For the purposes of this definition, "submitted" + means any form of electronic, verbal, or written communication sent + to the Licensor or its representatives, including but not limited to + communication on electronic mailing lists, source code control systems, + and issue tracking systems that are managed by, or on behalf of, the + Licensor for the purpose of discussing and improving the Work, but + excluding communication that is conspicuously marked or otherwise + designated in writing by the copyright owner as "Not a Contribution." + + "Contributor" shall mean Licensor and any individual or Legal Entity + on behalf of whom a Contribution has been received by Licensor and + subsequently incorporated within the Work. + + 2. Grant of Copyright License. Subject to the terms and conditions of + this License, each Contributor hereby grants to You a perpetual, + worldwide, non-exclusive, no-charge, royalty-free, irrevocable + copyright license to reproduce, prepare Derivative Works of, + publicly display, publicly perform, sublicense, and distribute the + Work and such Derivative Works in Source or Object form. + + 3. Grant of Patent License. Subject to the terms and conditions of + this License, each Contributor hereby grants to You a perpetual, + worldwide, non-exclusive, no-charge, royalty-free, irrevocable + (except as stated in this section) patent license to make, have made, + use, offer to sell, sell, import, and otherwise transfer the Work, + where such license applies only to those patent claims licensable + by such Contributor that are necessarily infringed by their + Contribution(s) alone or by combination of their Contribution(s) + with the Work to which such Contribution(s) was submitted. If You + institute patent litigation against any entity (including a + cross-claim or counterclaim in a lawsuit) alleging that the Work + or a Contribution incorporated within the Work constitutes direct + or contributory patent infringement, then any patent licenses + granted to You under this License for that Work shall terminate + as of the date such litigation is filed. + + 4. Redistribution. You may reproduce and distribute copies of the + Work or Derivative Works thereof in any medium, with or without + modifications, and in Source or Object form, provided that You + meet the following conditions: + + (a) You must give any other recipients of the Work or + Derivative Works a copy of this License; and + + (b) You must cause any modified files to carry prominent notices + stating that You changed the files; and + + (c) You must retain, in the Source form of any Derivative Works + that You distribute, all copyright, patent, trademark, and + attribution notices from the Source form of the Work, + excluding those notices that do not pertain to any part of + the Derivative Works; and + + (d) If the Work includes a "NOTICE" text file as part of its + distribution, then any Derivative Works that You distribute must + include a readable copy of the attribution notices contained + within such NOTICE file, excluding those notices that do not + pertain to any part of the Derivative Works, in at least one + of the following places: within a NOTICE text file distributed + as part of the Derivative Works; within the Source form or + documentation, if provided along with the Derivative Works; or, + within a display generated by the Derivative Works, if and + wherever such third-party notices normally appear. The contents + of the NOTICE file are for informational purposes only and + do not modify the License. You may add Your own attribution + notices within Derivative Works that You distribute, alongside + or as an addendum to the NOTICE text from the Work, provided + that such additional attribution notices cannot be construed + as modifying the License. + + You may add Your own copyright statement to Your modifications and + may provide additional or different license terms and conditions + for use, reproduction, or distribution of Your modifications, or + for any such Derivative Works as a whole, provided Your use, + reproduction, and distribution of the Work otherwise complies with + the conditions stated in this License. + + 5. Submission of Contributions. Unless You explicitly state otherwise, + any Contribution intentionally submitted for inclusion in the Work + by You to the Licensor shall be under the terms and conditions of + this License, without any additional terms or conditions. + Notwithstanding the above, nothing herein shall supersede or modify + the terms of any separate license agreement you may have executed + with Licensor regarding such Contributions. + + 6. Trademarks. This License does not grant permission to use the trade + names, trademarks, service marks, or product names of the Licensor, + except as required for reasonable and customary use in describing the + origin of the Work and reproducing the content of the NOTICE file. + + 7. Disclaimer of Warranty. Unless required by applicable law or + agreed to in writing, Licensor provides the Work (and each + Contributor provides its Contributions) on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or + implied, including, without limitation, any warranties or conditions + of TITLE, NON-INFRINGEMENT, MERCHANTABILITY, or FITNESS FOR A + PARTICULAR PURPOSE. You are solely responsible for determining the + appropriateness of using or redistributing the Work and assume any + risks associated with Your exercise of permissions under this License. + + 8. Limitation of Liability. In no event and under no legal theory, + whether in tort (including negligence), contract, or otherwise, + unless required by applicable law (such as deliberate and grossly + negligent acts) or agreed to in writing, shall any Contributor be + liable to You for damages, including any direct, indirect, special, + incidental, or consequential damages of any character arising as a + result of this License or out of the use or inability to use the + Work (including but not limited to damages for loss of goodwill, + work stoppage, computer failure or malfunction, or any and all + other commercial damages or losses), even if such Contributor + has been advised of the possibility of such damages. + + 9. Accepting Warranty or Additional Liability. While redistributing + the Work or Derivative Works thereof, You may choose to offer, + and charge a fee for, acceptance of support, warranty, indemnity, + or other liability obligations and/or rights consistent with this + License. However, in accepting such obligations, You may act only + on Your own behalf and on Your sole responsibility, not on behalf + of any other Contributor, and only if You agree to indemnify, + defend, and hold each Contributor harmless for any liability + incurred by, or claims asserted against, such Contributor by reason + of your accepting any such warranty or additional liability. + + END OF TERMS AND CONDITIONS + + APPENDIX: How to apply the Apache License to your work. + + To apply the Apache License to your work, attach the following + boilerplate notice, with the fields enclosed by brackets "[]" + replaced with your own identifying information. (Don't include + the brackets!) The text should be enclosed in the appropriate + comment syntax for the file format. We also recommend that a + file or class name and description of purpose be included on the + same "printed page" as the copyright notice for easier + identification within third-party archives. + + Copyright [yyyy] [name of copyright owner] + + Licensed under the Apache License, Version 2.0 (the "License"); + you may not use this file except in compliance with the License. + You may obtain a copy of the License at + + http://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + See the License for the specific language governing permissions and + limitations under the License. diff --git a/NOTICE b/NOTICE new file mode 100644 index 0000000000000000000000000000000000000000..ee0875dd73944f334109cf30e3e15a0ae6d96ac1 --- /dev/null +++ b/NOTICE @@ -0,0 +1,45 @@ +Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +Licensed under the Apache License, version 2.0. + +Contributors +============ +Alessandra Camplani <alessandra.camplani@cern.ch> +Alessandro Palombi <alessandro.palombi@cern.ch> +Alessandro Thea <alessandro.thea@cern.ch> +Alexander Paramonov <alexander.paramonov@cern.ch> +Ali Skaf <askaf@lab34.ph2.physik.uni-goettingen.de> +Anamika Aggarwal <anamika.aggarwal@cern.ch> +Andrea Borga <andrea.borga@cern.ch> +Carsten Dülsen <carsten.dulsen@cern.ch> +Dimitrios Matakias <dimitrios.matakias@cern.ch> +Dylan Green <dylan.green@alumni.ubc.ca> +Elena Zhivun <elena.zhivun@cern.ch> +Enrico Gamberini <enrico.gamberini@cern.ch> +Fabrizio Alfonsi <falfonsi@bo.infn.it> +Filiberto Bonini <filiberto.bonini@cern.ch> +Frans Schreuder <f.schreuder@nikhef.nl> +Israel Grayzman <israel.grayzman@weizmann.ac.il> +Jacopo Pinzino <jacopo.pinzino@cern.ch> +Julia Narevicius <julia.narevicius@weizmann.ac.il> +Kai Chen <kai@cern.ch> +Kazuki Todome <ktodome@cern.ch> +Marco Trovato <mtrovato@felix01.hep.anl.gov> +Marius Wensing <wensing@uni-wuppertal.de> +Mark Donszelmann <mark.donszelmann@cern.ch> +Mesfin Gebyehu <m.gebyehu@nikhef.nl> +Nayib Boukadida <n.boukadida@nikhef.nl> +Nico Giangiacomi <nico.giangiacomi@cern.ch> +Ohad Shaked <ohad.shaked@weizmann.ac.il> +Radu Mihai Coliban <coliban.radu@unitbv.ro> +Rene Habraken <r.habraken@science.ru.nl> +Ricardo Luz <rluz@felix02.hep.anl.gov> +Ryan Quinn <rquinn@cern.ch> +Shelfali Saxena <ssaxena@felix01.hep.anl.gov> +Simone Ponzio <simone.ponzio@cern.ch> +Soo Ryu <soo.ryu@cern.ch> +Thei Wijnen <t.wijnen@hef.ru.nl> +Tong Xu <xut@felix02.hep.anl.gov> +Weihao Wu <weihaowu@bnl.gov> +William Wulff <william.wulff@cern.ch> + +Files under sources/spi are distributed under the GNU Lesser General Public License, but are not used in FELIX anymore diff --git a/constraints/felig_top_BNL712_v2.0.xdc b/constraints/felig_top_BNL712_v2.0.xdc index 40599545083c96c13afb8dd1f23d5cae93f527de..d5a1c63cc4557070e12c5c6e91966e326f4d8d1e 100644 --- a/constraints/felig_top_BNL712_v2.0.xdc +++ b/constraints/felig_top_BNL712_v2.0.xdc @@ -284,6 +284,8 @@ set_property PACKAGE_PIN R15 [get_ports {SI5345_A[0]}] set_property IOSTANDARD LVCMOS18 [get_ports {SI5345_A[0]}] set_property PACKAGE_PIN P15 [get_ports {SI5345_A[1]}] set_property IOSTANDARD LVCMOS18 [get_ports {SI5345_A[1]}] +set_property PACKAGE_PIN D35 [get_ports SI5345_RSTN] +set_property IOSTANDARD LVCMOS18 [get_ports SI5345_RSTN] # #Si5345 input from the main MMCM set_property IOSTANDARD LVDS [get_ports clk40_ttc_ref_out_p] diff --git a/constraints/felix_top_BNL711_v1.5.xdc b/constraints/felix_top_BNL711_v1.5.xdc index 5bc200e486b59bcd78af28a770c0842be577abde..9f0f7d6866991b109e38388be66a120f6ffd2219 100644 --- a/constraints/felix_top_BNL711_v1.5.xdc +++ b/constraints/felix_top_BNL711_v1.5.xdc @@ -86,6 +86,7 @@ set_property IOSTANDARD LVCMOS33 [get_ports sys_reset_n] set_property PULLUP true [get_ports sys_reset_n] set_property PACKAGE_PIN AN6 [get_ports sys_clk0_p] +set_property PACKAGE_PIN AK8 [get_ports sys_clk1_p] @@ -265,6 +266,8 @@ set_property PACKAGE_PIN R15 [get_ports {SI5345_A[0]}] set_property IOSTANDARD LVCMOS18 [get_ports {SI5345_A[0]}] set_property PACKAGE_PIN P15 [get_ports {SI5345_A[1]}] set_property IOSTANDARD LVCMOS18 [get_ports {SI5345_A[1]}] +set_property PACKAGE_PIN P21 [get_ports SI5345_RSTN] +set_property IOSTANDARD LVCMOS18 [get_ports SI5345_RSTN] # Si5345 input from the main MMCM set_property IOSTANDARD LVDS [get_ports clk40_ttc_ref_out_p] set_property PACKAGE_PIN AR21 [get_ports clk40_ttc_ref_out_p] diff --git a/constraints/felix_top_BNL711_v2.0.xdc b/constraints/felix_top_BNL711_v2.0.xdc index d47b703ef586fca0425345202865fbb56b6aa403..6d452c07b1e3ef55a9e70518d466735d5e6fe966 100644 --- a/constraints/felix_top_BNL711_v2.0.xdc +++ b/constraints/felix_top_BNL711_v2.0.xdc @@ -302,6 +302,9 @@ set_property PACKAGE_PIN R15 [get_ports {SI5345_A[0]}] set_property IOSTANDARD LVCMOS18 [get_ports {SI5345_A[0]}] set_property PACKAGE_PIN P15 [get_ports {SI5345_A[1]}] set_property IOSTANDARD LVCMOS18 [get_ports {SI5345_A[1]}] +set_property PACKAGE_PIN D35 [get_ports SI5345_RSTN] +set_property IOSTANDARD LVCMOS18 [get_ports SI5345_RSTN] + # Si5345 input from the main MMCM set_property IOSTANDARD LVDS [get_ports clk40_ttc_ref_out_p] set_property PACKAGE_PIN AT20 [get_ports clk40_ttc_ref_out_n] diff --git a/constraints/felix_top_BNL712.xdc b/constraints/felix_top_BNL712.xdc index 660c49d72f65dbe382387f2bd401f879db5d4981..0e5b9e4308f1609a81c9175ff80f737de43e998d 100644 --- a/constraints/felix_top_BNL712.xdc +++ b/constraints/felix_top_BNL712.xdc @@ -33,6 +33,14 @@ set_property BITSTREAM.CONFIG.BPI_SYNC_MODE disable [current_design] #set_property BITSTREAM.CONFIG.EXTMASTERCCLK_EN div-1 [current_design] set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design] +set_property CONFIG_MODE BPI16 [current_design] +set_property BITSTREAM.CONFIG.CONFIGRATE 6 [current_design] +#set_property BITSTREAM.CONFIG.CONFIGRATE 9 [current_design] +set_property BITSTREAM.CONFIG.EXTMASTERCCLK_EN DISABLE [current_design] +#set_property BITSTREAM.CONFIG.EXTMASTERCCLK_EN DIV-6 [current_design] +#set_property BITSTREAM.CONFIG.EXTMASTERCCLK_EN DIV-8 [current_design] + + ##System Reset, User Reset, User Link Up, User Clk Heartbeat #set_property PACKAGE_PIN F42 [get_ports {leds[0]}] #set_property PACKAGE_PIN E42 [get_ports {leds[1]}] @@ -247,6 +255,9 @@ set_property PACKAGE_PIN R15 [get_ports {SI5345_A[0]}] set_property IOSTANDARD LVCMOS18 [get_ports {SI5345_A[0]}] set_property PACKAGE_PIN P15 [get_ports {SI5345_A[1]}] set_property IOSTANDARD LVCMOS18 [get_ports {SI5345_A[1]}] +set_property PACKAGE_PIN D35 [get_ports SI5345_RSTN] +set_property IOSTANDARD LVCMOS18 [get_ports SI5345_RSTN] + # Si5345 input from the main MMCM set_property IOSTANDARD LVDS [get_ports clk40_ttc_ref_out_p] set_property PACKAGE_PIN AT20 [get_ports clk40_ttc_ref_out_n] @@ -439,6 +450,8 @@ set_property PACKAGE_PIN AR20 [get_ports PEX_SEL0] set_property IOSTANDARD LVCMOS25 [get_ports flash_cclk] set_property PACKAGE_PIN AJ25 [get_ports flash_cclk] +#set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets emcclk_IBUF] + set_property IOSTANDARD LVCMOS18 [get_ports TACH] set_property PACKAGE_PIN AU36 [get_ports TACH] diff --git a/constraints/pblocks_KCU_BNL711_v2.0_48ch.xdc b/constraints/pblocks_KCU_BNL711_v2.0_48ch.xdc index 99fe377351faa6f744a540177c67ae18830e24a6..296ce84256017d5578fda6b9a7d078f3d81ee0f2 100644 --- a/constraints/pblocks_KCU_BNL711_v2.0_48ch.xdc +++ b/constraints/pblocks_KCU_BNL711_v2.0_48ch.xdc @@ -34,3 +34,7 @@ add_cells_to_pblock pblock_0 [get_cells [list {g1.u2/rxalign_auto[0].auto_rxrst} resize_pblock [get_pblocks pblock_0] -add {CLOCKREGION_X0Y0:CLOCKREGION_X5Y4} +#set_min_delay -from [get_pins clk0/clk0/inst/mmcme3_adv_inst/CLKOUT0] -to [get_pins {g1.u2/gbtRxTx[*].gbtTxRx_inst/gbtTx_inst/FelixScrambler/timedomaincrossing_C/TX_FRAMECLK_I_r_reg*/D}] 24.95 +#set_max_delay -from [get_pins clk0/clk0/inst/mmcme3_adv_inst/CLKOUT0] -to [get_pins {g1.u2/gbtRxTx[*].gbtTxRx_inst/gbtTx_inst/FelixScrambler/timedomaincrossing_C/TX_FRAMECLK_I_r_reg*/D}] 29.128 +#set_min_delay -from [get_pins clk0/clk0/inst/mmcme3_adv_inst/CLKOUT0] -to [get_pins {g1.u2/gbtRxTx[*].gbtTxRx_inst/gbtTx_inst/FelixScrambler/timedomaincrossing_C/TX_FRAMECLK_I_4r_reg*/D}] 24.95 +#set_max_delay -from [get_pins clk0/clk0/inst/mmcme3_adv_inst/CLKOUT0] -to [get_pins {g1.u2/gbtRxTx[*].gbtTxRx_inst/gbtTx_inst/FelixScrambler/timedomaincrossing_C/TX_FRAMECLK_I_4r_reg*/D}] 29.128 diff --git a/scripts/AddLicenseHeaders/AddLicenseHeaders.sh b/scripts/AddLicenseHeaders/AddLicenseHeaders.sh new file mode 100755 index 0000000000000000000000000000000000000000..224fc63adfc39b651288d961c3c2b0043690e941 --- /dev/null +++ b/scripts/AddLicenseHeaders/AddLicenseHeaders.sh @@ -0,0 +1,77 @@ +#!/bin/bash +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + + + + +cd ../../ +for i in $(find ./sources -name '*.vhd') $(find ./simulation -name '*.vhd'); do + + + AUTHORS=$(git log --no-merges --pretty=format:"%an" --follow $i | sed 's/Frans Philip Schreuder/Frans Schreuder/g' | sed 's/root/Alexander Paramonov/g' | awk '!a[$0]++'|tac) + + #YEAR=$(git log --date=format:%Y --diff-filter=A --follow $i |head -3|tail -1) + #YEAR=${YEAR: -4} + + if [ -z "$AUTHORS" ] + then + echo "Ignoring file $i" + else + #perl -MHardware::Vhdl::Tidy -e "Hardware::Vhdl::Tidy::parse_commandline" $i > $i.tmp && mv $i.tmp $i + + if head -20 "$i" | grep -q "Licensed under the Apache License" ; then + echo "$i already contains a license" + else + LIC="--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors:" + + + #echo $YEAR: $i + OLDIFS="$IFS" + IFS=$'\n' + for AUTHOR in $AUTHORS + do + # echo "--! $AUTHOR" + LIC=$LIC" +--! $AUTHOR" + done + IFS="$OLDIFS" + + LIC=$LIC" +--! +--! Licensed under the Apache License, Version 2.0 (the \"License\"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an \"AS IS\" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License." + #echo $i + #echo "$LIC" + + echo -e "$LIC\n" > $i.tmp + cat $i >> $i.tmp + mv $i.tmp $i + fi + fi +done diff --git a/scripts/AddLicenseHeaders/AddLicenseHeaders.sh.sh b/scripts/AddLicenseHeaders/AddLicenseHeaders.sh.sh new file mode 100755 index 0000000000000000000000000000000000000000..a5dd70d37efc291de5c8514156e91ac048ae55af --- /dev/null +++ b/scripts/AddLicenseHeaders/AddLicenseHeaders.sh.sh @@ -0,0 +1,87 @@ +#!/bin/bash +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + + + + +cd ../../ +for i in $(find ./ -name '*.sh') $(find ./ -name '*.tcl') $(find ./ -name '*.do'); do + + + AUTHORS=$(git log --no-merges --pretty=format:"%an" --follow $i | sed 's/Frans Philip Schreuder/Frans Schreuder/g' | sed 's/root/Alexander Paramonov/g' | awk '!a[$0]++'|tac) + + #YEAR=$(git log --date=format:%Y --diff-filter=A --follow $i |head -3|tail -1) + #YEAR=${YEAR: -4} + + if [ -z "$AUTHORS" ] + then + echo "Ignoring file $i" + else + #perl -MHardware::Vhdl::Tidy -e "Hardware::Vhdl::Tidy::parse_commandline" $i > $i.tmp && mv $i.tmp $i + + if head -19 "$i" | grep -q "Licensed under the Apache License" ; then + echo "$i already contains a license" + else + if [[ $(cat $i |head -1) == \#\!* ]]; then + SHEBANG=$(cat $i |head -1) + else + SHEBANG= + fi + LIC="# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors:" + MODE=$(stat --format '%a' $i) + + #echo $YEAR: $i + OLDIFS="$IFS" + IFS=$'\n' + for AUTHOR in $AUTHORS + do + # echo "--! $AUTHOR" + LIC=$LIC" +# $AUTHOR" + done + IFS="$OLDIFS" + + LIC=$LIC" +# +# Licensed under the Apache License, Version 2.0 (the \"License\"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an \"AS IS\" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License." + #echo $i + #echo "$LIC" + + echo -e "$SHEBANG\n$LIC\n" > $i.tmp + if [ -z $SHEBANG ]; then + cat $i >> $i.tmp + else + tail -n +2 $i >> $i.tmp + fi + mv $i.tmp $i + chmod $MODE $i + fi + fi +done diff --git a/scripts/AddLicenseHeaders/AddLicenseHeaders.v.sh b/scripts/AddLicenseHeaders/AddLicenseHeaders.v.sh new file mode 100755 index 0000000000000000000000000000000000000000..4defae6207717b1f854490c6a43750efb8b0fd5a --- /dev/null +++ b/scripts/AddLicenseHeaders/AddLicenseHeaders.v.sh @@ -0,0 +1,77 @@ +#!/bin/bash +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + + + + +cd ../../ +for i in $(find ./ -name '*.v') $(find ./ -name '*.c'); do + + + AUTHORS=$(git log --no-merges --pretty=format:"%an" --follow $i | sed 's/Frans Philip Schreuder/Frans Schreuder/g' | sed 's/root/Alexander Paramonov/g' | awk '!a[$0]++'|tac) + + #YEAR=$(git log --date=format:%Y --diff-filter=A --follow $i |head -3|tail -1) + #YEAR=${YEAR: -4} + + if [ -z "$AUTHORS" ] + then + echo "Ignoring file $i" + else + #perl -MHardware::Vhdl::Tidy -e "Hardware::Vhdl::Tidy::parse_commandline" $i > $i.tmp && mv $i.tmp $i + + if head -20 "$i" | grep -q "Licensed under the Apache License" ; then + echo "$i already contains a license" + else + LIC="//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors:" + + + #echo $YEAR: $i + OLDIFS="$IFS" + IFS=$'\n' + for AUTHOR in $AUTHORS + do + # echo "--! $AUTHOR" + LIC=$LIC" +//! $AUTHOR" + done + IFS="$OLDIFS" + + LIC=$LIC" +//! +//! Licensed under the Apache License, Version 2.0 (the \"License\"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an \"AS IS\" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License." + #echo $i + #echo "$LIC" + + echo -e "$LIC\n" > $i.tmp + cat $i >> $i.tmp + mv $i.tmp $i + fi + fi +done diff --git a/scripts/AddLicenseHeaders/diff.diff b/scripts/AddLicenseHeaders/diff.diff new file mode 100644 index 0000000000000000000000000000000000000000..7778b5e8185bee934e5fa850c4f5128ba97f0e4e --- /dev/null +++ b/scripts/AddLicenseHeaders/diff.diff @@ -0,0 +1,4364 @@ +diff --git a/scripts/AddLicenseHeaders/AddLicenseHeaders.sh b/scripts/AddLicenseHeaders/AddLicenseHeaders.sh +index f427bf5..224fc63 100755 +--- a/scripts/AddLicenseHeaders/AddLicenseHeaders.sh ++++ b/scripts/AddLicenseHeaders/AddLicenseHeaders.sh +@@ -1,4 +1,21 @@ + #!/bin/bash ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + + + +diff --git a/scripts/AddLicenseHeaders/AddLicenseHeaders.sh.sh b/scripts/AddLicenseHeaders/AddLicenseHeaders.sh.sh +new file mode 100755 +index 0000000..a5dd70d +--- /dev/null ++++ b/scripts/AddLicenseHeaders/AddLicenseHeaders.sh.sh +@@ -0,0 +1,87 @@ ++#!/bin/bash ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ ++ ++ ++ ++cd ../../ ++for i in $(find ./ -name '*.sh') $(find ./ -name '*.tcl') $(find ./ -name '*.do'); do ++ ++ ++ AUTHORS=$(git log --no-merges --pretty=format:"%an" --follow $i | sed 's/Frans Philip Schreuder/Frans Schreuder/g' | sed 's/root/Alexander Paramonov/g' | awk '!a[$0]++'|tac) ++ ++ #YEAR=$(git log --date=format:%Y --diff-filter=A --follow $i |head -3|tail -1) ++ #YEAR=${YEAR: -4} ++ ++ if [ -z "$AUTHORS" ] ++ then ++ echo "Ignoring file $i" ++ else ++ #perl -MHardware::Vhdl::Tidy -e "Hardware::Vhdl::Tidy::parse_commandline" $i > $i.tmp && mv $i.tmp $i ++ ++ if head -19 "$i" | grep -q "Licensed under the Apache License" ; then ++ echo "$i already contains a license" ++ else ++ if [[ $(cat $i |head -1) == \#\!* ]]; then ++ SHEBANG=$(cat $i |head -1) ++ else ++ SHEBANG= ++ fi ++ LIC="# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors:" ++ MODE=$(stat --format '%a' $i) ++ ++ #echo $YEAR: $i ++ OLDIFS="$IFS" ++ IFS=$'\n' ++ for AUTHOR in $AUTHORS ++ do ++ # echo "--! $AUTHOR" ++ LIC=$LIC" ++# $AUTHOR" ++ done ++ IFS="$OLDIFS" ++ ++ LIC=$LIC" ++# ++# Licensed under the Apache License, Version 2.0 (the \"License\"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an \"AS IS\" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License." ++ #echo $i ++ #echo "$LIC" ++ ++ echo -e "$SHEBANG\n$LIC\n" > $i.tmp ++ if [ -z $SHEBANG ]; then ++ cat $i >> $i.tmp ++ else ++ tail -n +2 $i >> $i.tmp ++ fi ++ mv $i.tmp $i ++ chmod $MODE $i ++ fi ++ fi ++done +diff --git a/scripts/AddLicenseHeaders/AddLicenseHeaders.v.sh b/scripts/AddLicenseHeaders/AddLicenseHeaders.v.sh +new file mode 100755 +index 0000000..4defae6 +--- /dev/null ++++ b/scripts/AddLicenseHeaders/AddLicenseHeaders.v.sh +@@ -0,0 +1,77 @@ ++#!/bin/bash ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ ++ ++ ++ ++cd ../../ ++for i in $(find ./ -name '*.v') $(find ./ -name '*.c'); do ++ ++ ++ AUTHORS=$(git log --no-merges --pretty=format:"%an" --follow $i | sed 's/Frans Philip Schreuder/Frans Schreuder/g' | sed 's/root/Alexander Paramonov/g' | awk '!a[$0]++'|tac) ++ ++ #YEAR=$(git log --date=format:%Y --diff-filter=A --follow $i |head -3|tail -1) ++ #YEAR=${YEAR: -4} ++ ++ if [ -z "$AUTHORS" ] ++ then ++ echo "Ignoring file $i" ++ else ++ #perl -MHardware::Vhdl::Tidy -e "Hardware::Vhdl::Tidy::parse_commandline" $i > $i.tmp && mv $i.tmp $i ++ ++ if head -20 "$i" | grep -q "Licensed under the Apache License" ; then ++ echo "$i already contains a license" ++ else ++ LIC="//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++//! Authors:" ++ ++ ++ #echo $YEAR: $i ++ OLDIFS="$IFS" ++ IFS=$'\n' ++ for AUTHOR in $AUTHORS ++ do ++ # echo "--! $AUTHOR" ++ LIC=$LIC" ++//! $AUTHOR" ++ done ++ IFS="$OLDIFS" ++ ++ LIC=$LIC" ++//! ++//! Licensed under the Apache License, Version 2.0 (the \"License\"); ++//! you may not use this file except in compliance with the License. ++//! You may obtain a copy of the License at ++//! ++//! http://www.apache.org/licenses/LICENSE-2.0 ++//! ++//! Unless required by applicable law or agreed to in writing, software ++//! distributed under the License is distributed on an \"AS IS\" BASIS, ++//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++//! See the License for the specific language governing permissions and ++//! limitations under the License." ++ #echo $i ++ #echo "$LIC" ++ ++ echo -e "$LIC\n" > $i.tmp ++ cat $i >> $i.tmp ++ mv $i.tmp $i ++ fi ++ fi ++done +diff --git a/scripts/FELIX_top/FELIX_import_sigasi.tcl b/scripts/FELIX_top/FELIX_import_sigasi.tcl +index 86e00e2..f7e2413 100755 +--- a/scripts/FELIX_top/FELIX_import_sigasi.tcl ++++ b/scripts/FELIX_top/FELIX_import_sigasi.tcl +@@ -1,4 +1,24 @@ + #!/bin/tclsh ++ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# Mesfin Gebyehu ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + # + # File import script for the FELIX hdl project + # +diff --git a/scripts/FELIX_top/FLX709_FELIX_import_vivado.tcl b/scripts/FELIX_top/FLX709_FELIX_import_vivado.tcl +index bc94a0b..f89eeb2 100644 +--- a/scripts/FELIX_top/FLX709_FELIX_import_vivado.tcl ++++ b/scripts/FELIX_top/FLX709_FELIX_import_vivado.tcl +@@ -1,3 +1,21 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + # + # File import script for the FELIX hdl Vivado project + # Board: VC907 +diff --git a/scripts/FELIX_top/FLX711_FELIX_import_vivado.tcl b/scripts/FELIX_top/FLX711_FELIX_import_vivado.tcl +index 3f7d350..0271de6 100644 +--- a/scripts/FELIX_top/FLX711_FELIX_import_vivado.tcl ++++ b/scripts/FELIX_top/FLX711_FELIX_import_vivado.tcl +@@ -1,3 +1,21 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + # + # File import script for the FELIX hdl Vivado project + # Board: BNL711 +diff --git a/scripts/FELIX_top/FLX712_FELIG_import_vivado.tcl b/scripts/FELIX_top/FLX712_FELIG_import_vivado.tcl +index b72312f..f702edb 100644 +--- a/scripts/FELIX_top/FLX712_FELIG_import_vivado.tcl ++++ b/scripts/FELIX_top/FLX712_FELIG_import_vivado.tcl +@@ -1,3 +1,23 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# mtrovato ++# Frans Schreuder ++# Ricardo Luz ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + # + # File import script for the FELIX hdl Vivado project + # Board: BNL712 +diff --git a/scripts/FELIX_top/FLX712_FELIX_import_vivado.tcl b/scripts/FELIX_top/FLX712_FELIX_import_vivado.tcl +index 6c3250b..dfce852 100644 +--- a/scripts/FELIX_top/FLX712_FELIX_import_vivado.tcl ++++ b/scripts/FELIX_top/FLX712_FELIX_import_vivado.tcl +@@ -1,3 +1,22 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# Elena Zhivun ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + # + # File import script for the FELIX hdl Vivado project + # Board: BNL712 +diff --git a/scripts/FELIX_top/FLX801_FELIX_import_vivado.tcl b/scripts/FELIX_top/FLX801_FELIX_import_vivado.tcl +index bbde8a9..1fc5dcf 100644 +--- a/scripts/FELIX_top/FLX801_FELIX_import_vivado.tcl ++++ b/scripts/FELIX_top/FLX801_FELIX_import_vivado.tcl +@@ -1,3 +1,21 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + # + # File import script for the FELIX hdl Vivado project + # Board: BNL801 (FELIX_ph2_v1 VU9P) +diff --git a/scripts/FELIX_top/ci-common.sh b/scripts/FELIX_top/ci-common.sh +index a82ca44..bdf7098 100644 +--- a/scripts/FELIX_top/ci-common.sh ++++ b/scripts/FELIX_top/ci-common.sh +@@ -1,4 +1,21 @@ + #!/bin/sh ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + if [ -f /localstore/et/Xilinx/Vivado/2020.1/settings64.sh ]; then + #Vivado at Nikhef machines, is installed in /localstore + export XILINXD_LICENSE_FILE="@192.16.199.39" +diff --git a/scripts/FELIX_top/ci-full-24ch.sh b/scripts/FELIX_top/ci-full-24ch.sh +index 7ec07fa..a943441 100755 +--- a/scripts/FELIX_top/ci-full-24ch.sh ++++ b/scripts/FELIX_top/ci-full-24ch.sh +@@ -1,4 +1,21 @@ + #!/bin/bash ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + source ./ci-common.sh + + vivado -mode batch -nojournal -nolog -notrace ../../Projects/FLX712_FELIX/FLX712_FELIX.xpr -source do_implementation_BNL712_FULL_24ch.tcl +diff --git a/scripts/FELIX_top/ci-full-vc709-si5324.sh b/scripts/FELIX_top/ci-full-vc709-si5324.sh +index 70f4580..afdc63f 100755 +--- a/scripts/FELIX_top/ci-full-vc709-si5324.sh ++++ b/scripts/FELIX_top/ci-full-vc709-si5324.sh +@@ -1,4 +1,21 @@ + #!/bin/bash ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + + source ../FELIX_top/ci-common.sh + +diff --git a/scripts/FELIX_top/ci-full-vc709.sh b/scripts/FELIX_top/ci-full-vc709.sh +index 84a6f77..e411c12 100755 +--- a/scripts/FELIX_top/ci-full-vc709.sh ++++ b/scripts/FELIX_top/ci-full-vc709.sh +@@ -1,4 +1,21 @@ + #!/bin/bash ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + + source ../FELIX_top/ci-common.sh + +diff --git a/scripts/FELIX_top/ci-gbt-24ch-semistatic.sh b/scripts/FELIX_top/ci-gbt-24ch-semistatic.sh +index b9ff38d..6194c14 100755 +--- a/scripts/FELIX_top/ci-gbt-24ch-semistatic.sh ++++ b/scripts/FELIX_top/ci-gbt-24ch-semistatic.sh +@@ -1,4 +1,21 @@ + #!/bin/bash ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + + source ./ci-common.sh + +diff --git a/scripts/FELIX_top/ci-gbt-vc709-si5324.sh b/scripts/FELIX_top/ci-gbt-vc709-si5324.sh +index 816441e..3b66caf 100755 +--- a/scripts/FELIX_top/ci-gbt-vc709-si5324.sh ++++ b/scripts/FELIX_top/ci-gbt-vc709-si5324.sh +@@ -1,4 +1,22 @@ + #!/bin/bash ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Elena Zhivun ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + + source ./ci-common.sh + +diff --git a/scripts/FELIX_top/ci-gbt-vc709.sh b/scripts/FELIX_top/ci-gbt-vc709.sh +index bbcb5ec..82e76d4 100755 +--- a/scripts/FELIX_top/ci-gbt-vc709.sh ++++ b/scripts/FELIX_top/ci-gbt-vc709.sh +@@ -1,4 +1,22 @@ + #!/bin/bash ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Elena Zhivun ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + + source ./ci-common.sh + +diff --git a/scripts/FELIX_top/ci-ltdb-48ch.sh b/scripts/FELIX_top/ci-ltdb-48ch.sh +index 7dd5bf5..2216134 100755 +--- a/scripts/FELIX_top/ci-ltdb-48ch.sh ++++ b/scripts/FELIX_top/ci-ltdb-48ch.sh +@@ -1,4 +1,21 @@ + #!/bin/bash ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + + source ./ci-common.sh + +diff --git a/scripts/FELIX_top/ci_felig.sh b/scripts/FELIX_top/ci_felig.sh +index 2d63e12..a542f0b 100755 +--- a/scripts/FELIX_top/ci_felig.sh ++++ b/scripts/FELIX_top/ci_felig.sh +@@ -1,4 +1,21 @@ + #!/bin/bash ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + + if [ -f /localstore/et/Xilinx/Vivado/2020.1/settings64.sh ]; then + #Vivado at Nikhef machines, is installed in /localstore +diff --git a/scripts/FELIX_top/do_implementation_BNL711_DUNE.tcl b/scripts/FELIX_top/do_implementation_BNL711_DUNE.tcl +index affe6b9..b103d37 100644 +--- a/scripts/FELIX_top/do_implementation_BNL711_DUNE.tcl ++++ b/scripts/FELIX_top/do_implementation_BNL711_DUNE.tcl +@@ -1,3 +1,28 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Kai Chen ++# Weihao Wu ++# Andrea Borga ++# RHabraken ++# Mesfin Gebyehu ++# Enrico Gamberini ++# Frans Schreuder ++# William Wulff ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + # Implementation script for BNL711 Fullmode (DUNE configuration) + + # Get defaults +diff --git a/scripts/FELIX_top/do_implementation_BNL711_FULL.tcl b/scripts/FELIX_top/do_implementation_BNL711_FULL.tcl +index 7838411..65a9492 100644 +--- a/scripts/FELIX_top/do_implementation_BNL711_FULL.tcl ++++ b/scripts/FELIX_top/do_implementation_BNL711_FULL.tcl +@@ -1,3 +1,28 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Kai Chen ++# Weihao Wu ++# Andrea Borga ++# RHabraken ++# Mesfin Gebyehu ++# Enrico Gamberini ++# Frans Schreuder ++# William Wulff ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + source ../helper/do_implementation_pre.tcl + + set GENERATE_FM_WRAP true +diff --git a/scripts/FELIX_top/do_implementation_BNL711_GBT_8CH.tcl b/scripts/FELIX_top/do_implementation_BNL711_GBT_8CH.tcl +index 5e6f12b..713d022 100644 +--- a/scripts/FELIX_top/do_implementation_BNL711_GBT_8CH.tcl ++++ b/scripts/FELIX_top/do_implementation_BNL711_GBT_8CH.tcl +@@ -1,3 +1,24 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Andrea Borga ++# Israel Grayzman ++# Kai Chen ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + source ../helper/do_implementation_pre.tcl + #Uncomment in order to stop after synthesis, so ILA probes can be added. + #set STOP_TO_ADD_ILA 1 +diff --git a/scripts/FELIX_top/do_implementation_BNL711_ltdb_24ch.tcl b/scripts/FELIX_top/do_implementation_BNL711_ltdb_24ch.tcl +index bee1618..a536f09 100644 +--- a/scripts/FELIX_top/do_implementation_BNL711_ltdb_24ch.tcl ++++ b/scripts/FELIX_top/do_implementation_BNL711_ltdb_24ch.tcl +@@ -1,3 +1,24 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Andrea Borga ++# Kai Chen ++# Israel Grayzman ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + source ../helper/do_implementation_pre.tcl + #Uncomment in order to stop after synthesis, so ILA probes can be added. + #set STOP_TO_ADD_ILA 1 +diff --git a/scripts/FELIX_top/do_implementation_BNL712_FELIG.tcl b/scripts/FELIX_top/do_implementation_BNL712_FELIG.tcl +index d3ac7aa..5b1f8b9 100644 +--- a/scripts/FELIX_top/do_implementation_BNL712_FELIG.tcl ++++ b/scripts/FELIX_top/do_implementation_BNL712_FELIG.tcl +@@ -1,3 +1,29 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Andrea Borga ++# Kai Chen ++# Weihao Wu ++# RHabraken ++# Frans Schreuder ++# Israel Grayzman ++# Shelfali Saxena ++# mtrovato ++# Ricardo Luz ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + #to be adapted to FELIG + source ../helper/do_implementation_pre.tcl + +diff --git a/scripts/FELIX_top/do_implementation_BNL712_GBT_8ch.tcl b/scripts/FELIX_top/do_implementation_BNL712_GBT_8ch.tcl +index c87f61b..29bf21d 100644 +--- a/scripts/FELIX_top/do_implementation_BNL712_GBT_8ch.tcl ++++ b/scripts/FELIX_top/do_implementation_BNL712_GBT_8ch.tcl +@@ -1,3 +1,25 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Andrea Borga ++# Israel Grayzman ++# Kai Chen ++# RHabraken ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + source ../helper/do_implementation_pre.tcl + + #set STOP_TO_ADD_ILA to 1 in order to stop after synthesis phase and add an ILA +diff --git a/scripts/FELIX_top/do_implementation_BNL712_ltdb_48ch.tcl b/scripts/FELIX_top/do_implementation_BNL712_ltdb_48ch.tcl +index ea9f206..a1adb94 100644 +--- a/scripts/FELIX_top/do_implementation_BNL712_ltdb_48ch.tcl ++++ b/scripts/FELIX_top/do_implementation_BNL712_ltdb_48ch.tcl +@@ -1,3 +1,24 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Andrea Borga ++# Kai Chen ++# Israel Grayzman ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + source ../helper/do_implementation_pre.tcl + #Uncomment in order to stop after synthesis, so ILA probes can be added. + #set STOP_TO_ADD_ILA 1 +diff --git a/scripts/FELIX_top/do_implementation_BNL712_strips_4ch.tcl b/scripts/FELIX_top/do_implementation_BNL712_strips_4ch.tcl +index ae67fab..821c2cd 100644 +--- a/scripts/FELIX_top/do_implementation_BNL712_strips_4ch.tcl ++++ b/scripts/FELIX_top/do_implementation_BNL712_strips_4ch.tcl +@@ -1,3 +1,21 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Elena Zhivun ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + # This script will generate firmware version compatible with ITk Strips + + source ../helper/do_implementation_pre.tcl +diff --git a/scripts/FELIX_top/do_implementation_HTG710_FULL.tcl b/scripts/FELIX_top/do_implementation_HTG710_FULL.tcl +index 584a96a..46181b1 100644 +--- a/scripts/FELIX_top/do_implementation_HTG710_FULL.tcl ++++ b/scripts/FELIX_top/do_implementation_HTG710_FULL.tcl +@@ -1,3 +1,22 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Andrea Borga ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + source ../helper/do_implementation_pre.tcl + + set GENERATE_FM_WRAP true +diff --git a/scripts/FELIX_top/do_implementation_HTG710_GBT.tcl b/scripts/FELIX_top/do_implementation_HTG710_GBT.tcl +index a2c0fdb..e0995e9 100644 +--- a/scripts/FELIX_top/do_implementation_HTG710_GBT.tcl ++++ b/scripts/FELIX_top/do_implementation_HTG710_GBT.tcl +@@ -1,3 +1,22 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Andrea Borga ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + source ../helper/do_implementation_pre.tcl + ## General settings + # -- set to true in order to generate the GBT links +diff --git a/scripts/FELIX_top/do_implementation_VC709_FULL.tcl b/scripts/FELIX_top/do_implementation_VC709_FULL.tcl +index 8235798..3912ecd 100644 +--- a/scripts/FELIX_top/do_implementation_VC709_FULL.tcl ++++ b/scripts/FELIX_top/do_implementation_VC709_FULL.tcl +@@ -1,3 +1,23 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Andrea Borga ++# William Wulff ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + source ../helper/do_implementation_pre.tcl + + set GENERATE_FM_WRAP true +diff --git a/scripts/FELIX_top/do_implementation_VC709_FULL_si5324.tcl b/scripts/FELIX_top/do_implementation_VC709_FULL_si5324.tcl +index e9c897d..cf2eef3 100644 +--- a/scripts/FELIX_top/do_implementation_VC709_FULL_si5324.tcl ++++ b/scripts/FELIX_top/do_implementation_VC709_FULL_si5324.tcl +@@ -1,3 +1,23 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Andrea Borga ++# William Wulff ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + source ../helper/do_implementation_pre.tcl + + set GENERATE_FM_WRAP true +diff --git a/scripts/FELIX_top/do_implementation_VC709_GBT.tcl b/scripts/FELIX_top/do_implementation_VC709_GBT.tcl +index f45a410..0add9ef 100755 +--- a/scripts/FELIX_top/do_implementation_VC709_GBT.tcl ++++ b/scripts/FELIX_top/do_implementation_VC709_GBT.tcl +@@ -1,3 +1,26 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Andrea Borga ++# Soo Ryu ++# RHabraken ++# Israel Grayzman ++# Frans Schreuder ++# Ohad Shaked ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + source ../helper/do_implementation_pre.tcl + + #set STOP_TO_ADD_ILA to 1 in order to stop after synthesis phase and add an ILA +diff --git a/scripts/FELIX_top/do_implementation_VC709_GBT_si5324.tcl b/scripts/FELIX_top/do_implementation_VC709_GBT_si5324.tcl +index 66bf2d3..8e77dfe 100644 +--- a/scripts/FELIX_top/do_implementation_VC709_GBT_si5324.tcl ++++ b/scripts/FELIX_top/do_implementation_VC709_GBT_si5324.tcl +@@ -1,3 +1,26 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Andrea Borga ++# Soo Ryu ++# RHabraken ++# Israel Grayzman ++# Ohad Shaked ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + source ../helper/do_implementation_pre.tcl + + #set STOP_TO_ADD_ILA to 1 in order to stop after synthesis phase and add an ILA +diff --git a/scripts/FMEmu_top/FLX711_FMEMU_import_vivado.tcl b/scripts/FMEmu_top/FLX711_FMEMU_import_vivado.tcl +index 20ac9a3..7c1614b 100644 +--- a/scripts/FMEmu_top/FLX711_FMEMU_import_vivado.tcl ++++ b/scripts/FMEmu_top/FLX711_FMEMU_import_vivado.tcl +@@ -1,3 +1,22 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Mesfin Gebyehu ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + # + # File import script for the FMEmu hdl Vivado project + # Board: BNL711 +diff --git a/scripts/FMEmu_top/FLX712_FMEMU_import_vivado.tcl b/scripts/FMEmu_top/FLX712_FMEMU_import_vivado.tcl +index 6d136b6..f7009ac 100644 +--- a/scripts/FMEmu_top/FLX712_FMEMU_import_vivado.tcl ++++ b/scripts/FMEmu_top/FLX712_FMEMU_import_vivado.tcl +@@ -1,3 +1,22 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Mesfin Gebyehu ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + # + # File import script for the FMEmu hdl Vivado project + # Board: BNL712 +diff --git a/scripts/FMEmu_top/FMEMU_import_sigasi.tcl b/scripts/FMEmu_top/FMEMU_import_sigasi.tcl +index 35c5914..229ecfc 100644 +--- a/scripts/FMEmu_top/FMEMU_import_sigasi.tcl ++++ b/scripts/FMEmu_top/FMEMU_import_sigasi.tcl +@@ -1,3 +1,22 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# Mesfin Gebyehu ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + # + # File import script for the FELIX hdl Vivado project + # Board: BNL712 +diff --git a/scripts/FMEmu_top/ci.sh b/scripts/FMEmu_top/ci.sh +index a3cb513..24d21c1 100755 +--- a/scripts/FMEmu_top/ci.sh ++++ b/scripts/FMEmu_top/ci.sh +@@ -1,4 +1,21 @@ + #!/bin/bash ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + + source ../FELIX_top/ci-common.sh + +diff --git a/scripts/FMEmu_top/do_implementation_BNL711_EMU.tcl b/scripts/FMEmu_top/do_implementation_BNL711_EMU.tcl +index 0b8f50c..c978534 100644 +--- a/scripts/FMEmu_top/do_implementation_BNL711_EMU.tcl ++++ b/scripts/FMEmu_top/do_implementation_BNL711_EMU.tcl +@@ -1,3 +1,26 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Kai Chen ++# Weihao Wu ++# Andrea Borga ++# Frans Schreuder ++# Enrico Gamberini ++# Mesfin Gebyehu ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + source ../helper/do_implementation_pre.tcl + #Uncomment in order to stop after synthesis, so ILA probes can be added. + #set STOP_TO_ADD_ILA 1 +diff --git a/scripts/FMEmu_top/do_implementation_BNL712_EMU.tcl b/scripts/FMEmu_top/do_implementation_BNL712_EMU.tcl +index 4e2a4f2..4b564f5 100755 +--- a/scripts/FMEmu_top/do_implementation_BNL712_EMU.tcl ++++ b/scripts/FMEmu_top/do_implementation_BNL712_EMU.tcl +@@ -1,3 +1,26 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Kai Chen ++# Weihao Wu ++# Andrea Borga ++# Frans Schreuder ++# Enrico Gamberini ++# Mesfin Gebyehu ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + source ../helper/do_implementation_pre.tcl + #Uncomment in order to stop after synthesis, so ILA probes can be added. + #set STOP_TO_ADD_ILA 1 +diff --git a/scripts/FMEmu_top/do_implementation_VC709.tcl b/scripts/FMEmu_top/do_implementation_VC709.tcl +index c12bd20..4b6e61e 100644 +--- a/scripts/FMEmu_top/do_implementation_VC709.tcl ++++ b/scripts/FMEmu_top/do_implementation_VC709.tcl +@@ -1,3 +1,24 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Andrea Borga ++# Soo Ryu ++# Frans Schreuder ++# RHabraken ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + source ../helper/do_implementation_pre.tcl + ## General settings + # -- set to true in order to generate the GBT links +diff --git a/scripts/FMEmu_top/vivado_import_felix.tcl b/scripts/FMEmu_top/vivado_import_felix.tcl +index ac17648..26b44e6 100644 +--- a/scripts/FMEmu_top/vivado_import_felix.tcl ++++ b/scripts/FMEmu_top/vivado_import_felix.tcl +@@ -1,3 +1,24 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Andrea Borga ++# Julia Narevicius ++# Frans Schreuder ++# RHabraken ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + # + # File import script for the FELIX hdl project + # Modified for FMEmu_top +diff --git a/scripts/FM_UserExample/do_implementation_VC707.tcl b/scripts/FM_UserExample/do_implementation_VC707.tcl +index f2250a5..2076042 100644 +--- a/scripts/FM_UserExample/do_implementation_VC707.tcl ++++ b/scripts/FM_UserExample/do_implementation_VC707.tcl +@@ -1,3 +1,21 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + set IMPL_RUN [get_runs impl*] + set SYNTH_RUN [get_runs synth*] + set scriptdir [pwd] +diff --git a/scripts/FM_UserExample/do_implementation_VC709.tcl b/scripts/FM_UserExample/do_implementation_VC709.tcl +index 04d23d2..66cdf3c 100644 +--- a/scripts/FM_UserExample/do_implementation_VC709.tcl ++++ b/scripts/FM_UserExample/do_implementation_VC709.tcl +@@ -1,3 +1,21 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + set IMPL_RUN [get_runs impl*] + set SYNTH_RUN [get_runs synth*] + set scriptdir [pwd] +diff --git a/scripts/FM_UserExample/vivado_import_felix_VC707.tcl b/scripts/FM_UserExample/vivado_import_felix_VC707.tcl +index 4d2ea35..0a36922 100644 +--- a/scripts/FM_UserExample/vivado_import_felix_VC707.tcl ++++ b/scripts/FM_UserExample/vivado_import_felix_VC707.tcl +@@ -1,3 +1,21 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + # + # File import script for the FELIX hdl project + # +diff --git a/scripts/FM_UserExample/vivado_import_felix_VC709.tcl b/scripts/FM_UserExample/vivado_import_felix_VC709.tcl +index fb88c63..4c15faa 100644 +--- a/scripts/FM_UserExample/vivado_import_felix_VC709.tcl ++++ b/scripts/FM_UserExample/vivado_import_felix_VC709.tcl +@@ -1,3 +1,21 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + # + # File import script for the FELIX hdl project + # +diff --git a/scripts/MROD_top/EMU/FLX712_MROD_EMU_implement_vivado.tcl b/scripts/MROD_top/EMU/FLX712_MROD_EMU_implement_vivado.tcl +index b1e1ee0..dcf0d54 100644 +--- a/scripts/MROD_top/EMU/FLX712_MROD_EMU_implement_vivado.tcl ++++ b/scripts/MROD_top/EMU/FLX712_MROD_EMU_implement_vivado.tcl +@@ -1,3 +1,28 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Andrea Borga ++# Kai Chen ++# Weihao Wu ++# RHabraken ++# Israel Grayzman ++# Frans Schreuder ++# Rene ++# Thei Wijnen ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + # FLX712_MROD_EMU_implement_vivado.tcl + + source ../helper/do_implementation_pre.tcl +diff --git a/scripts/MROD_top/EMU/FLX712_MROD_EMU_import_vivado.tcl b/scripts/MROD_top/EMU/FLX712_MROD_EMU_import_vivado.tcl +index e6b0eaf..4bfc12c 100644 +--- a/scripts/MROD_top/EMU/FLX712_MROD_EMU_import_vivado.tcl ++++ b/scripts/MROD_top/EMU/FLX712_MROD_EMU_import_vivado.tcl +@@ -1,3 +1,22 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Rene ++# Thei Wijnen ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + # FLX712_MROD_EMU_import_vivado.tcl + # + # File import script for the FELIX hdl Vivado project +diff --git a/scripts/MROD_top/EMU/felix_mrod_blocks_fileset_EMU.tcl b/scripts/MROD_top/EMU/felix_mrod_blocks_fileset_EMU.tcl +index f6ba431..b9879fe 100644 +--- a/scripts/MROD_top/EMU/felix_mrod_blocks_fileset_EMU.tcl ++++ b/scripts/MROD_top/EMU/felix_mrod_blocks_fileset_EMU.tcl +@@ -1,3 +1,22 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Rene ++# Thei Wijnen ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + # felix_mrod_blocks_fileset_EMU.tcl + + set VHDL_FILES [concat $VHDL_FILES \ +diff --git a/scripts/MROD_top/EMU/felix_mrod_toplevel_fileset_EMU.tcl b/scripts/MROD_top/EMU/felix_mrod_toplevel_fileset_EMU.tcl +index 8138362..86d6884 100644 +--- a/scripts/MROD_top/EMU/felix_mrod_toplevel_fileset_EMU.tcl ++++ b/scripts/MROD_top/EMU/felix_mrod_toplevel_fileset_EMU.tcl +@@ -1,3 +1,22 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Rene ++# Thei Wijnen ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + # felix_mrod_toplevel_fileset_EMU.tcl + + set VHDL_FILES_KU [concat $VHDL_FILES_KU \ +diff --git a/scripts/MROD_top/FLX712_MROD_implement_vivado.tcl b/scripts/MROD_top/FLX712_MROD_implement_vivado.tcl +index f48f97c..ae09c74 100644 +--- a/scripts/MROD_top/FLX712_MROD_implement_vivado.tcl ++++ b/scripts/MROD_top/FLX712_MROD_implement_vivado.tcl +@@ -1,3 +1,28 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Andrea Borga ++# Kai Chen ++# Weihao Wu ++# RHabraken ++# Israel Grayzman ++# Frans Schreuder ++# Thei Wijnen ++# Rene ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + # FLX712_MROD_implement_vivado.tcl + + source ../helper/do_implementation_pre.tcl +diff --git a/scripts/MROD_top/FLX712_MROD_import_vivado.tcl b/scripts/MROD_top/FLX712_MROD_import_vivado.tcl +index b51af7d..c4a064f 100644 +--- a/scripts/MROD_top/FLX712_MROD_import_vivado.tcl ++++ b/scripts/MROD_top/FLX712_MROD_import_vivado.tcl +@@ -1,3 +1,23 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# Rene ++# Thei Wijnen ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + # FLX712_MROD_import_vivado.tcl + # + # File import script for the FELIX hdl Vivado project +diff --git a/scripts/MROD_top/ci.sh b/scripts/MROD_top/ci.sh +index 189883d..bb3b0e4 100755 +--- a/scripts/MROD_top/ci.sh ++++ b/scripts/MROD_top/ci.sh +@@ -1,4 +1,21 @@ + #!/bin/bash ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + + if [ -f /localstore/et/Xilinx/Vivado/2020.1/settings64.sh ]; then + #Vivado at Nikhef machines, is installed in /localstore +diff --git a/scripts/MROD_top/daily.sh b/scripts/MROD_top/daily.sh +index c31a994..9ab0189 100755 +--- a/scripts/MROD_top/daily.sh ++++ b/scripts/MROD_top/daily.sh +@@ -1,4 +1,24 @@ + #!/bin/bash ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# RHabraken ++# Thei Wijnen ++# Rene ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + git pull + + GITVERSION=$(git describe --tags) +diff --git a/scripts/felixUserSupport/copy_FULLmodeInterface_sources.tcl b/scripts/felixUserSupport/copy_FULLmodeInterface_sources.tcl +index 8955e56..5347905 100644 +--- a/scripts/felixUserSupport/copy_FULLmodeInterface_sources.tcl ++++ b/scripts/felixUserSupport/copy_FULLmodeInterface_sources.tcl +@@ -1,3 +1,22 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Julia Narevicius ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + # + # project sources copy script for FullModeUserInterface + # +diff --git a/scripts/felixUserSupport/copy_elinkInterface_sources.tcl b/scripts/felixUserSupport/copy_elinkInterface_sources.tcl +index 89d92c7..2b363cf 100755 +--- a/scripts/felixUserSupport/copy_elinkInterface_sources.tcl ++++ b/scripts/felixUserSupport/copy_elinkInterface_sources.tcl +@@ -1,4 +1,23 @@ + #!/usr/bin/tclsh ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Julia Narevicius ++# Israel Grayzman ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + # project sources copy script for elinkInterface + # + # +diff --git a/scripts/felixUserSupport/create_tar_FULLmodeInterfaceSources.sh b/scripts/felixUserSupport/create_tar_FULLmodeInterfaceSources.sh +index 26cdade..33ebeff 100755 +--- a/scripts/felixUserSupport/create_tar_FULLmodeInterfaceSources.sh ++++ b/scripts/felixUserSupport/create_tar_FULLmodeInterfaceSources.sh +@@ -1,4 +1,23 @@ + #!/bin/bash ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Mark Donszelmann ++# Frans Schreuder ++# RHabraken ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + set -e + # + # create examples tar.gz file +diff --git a/scripts/felixUserSupport/create_tar_elinkInterfaceSources.sh b/scripts/felixUserSupport/create_tar_elinkInterfaceSources.sh +index 8460e0d..d24edba 100755 +--- a/scripts/felixUserSupport/create_tar_elinkInterfaceSources.sh ++++ b/scripts/felixUserSupport/create_tar_elinkInterfaceSources.sh +@@ -1,4 +1,22 @@ + #!/bin/bash ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Mark Donszelmann ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + set -e + # + # create examples tar.gz file +diff --git a/scripts/felixUserSupport/vivado_project_gen_elinkInterface.tcl b/scripts/felixUserSupport/vivado_project_gen_elinkInterface.tcl +index 2d3518e..732fce9 100644 +--- a/scripts/felixUserSupport/vivado_project_gen_elinkInterface.tcl ++++ b/scripts/felixUserSupport/vivado_project_gen_elinkInterface.tcl +@@ -1,3 +1,22 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Julia Narevicius ++# Israel Grayzman ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + # + # File import script for the FELIX hdl project + # generates project for stand alone elinkInterface +diff --git a/scripts/filesets/UVVM_fileset.tcl b/scripts/filesets/UVVM_fileset.tcl +index 6b99e13..d925fc0 100644 +--- a/scripts/filesets/UVVM_fileset.tcl ++++ b/scripts/filesets/UVVM_fileset.tcl +@@ -1,3 +1,21 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + set SIM_FILES [concat $SIM_FILES \ + UVVMtests/sim/vip_egr/egr_vvc.vhd \ + UVVMtests/sim/vip_egr/vvc_methods_pkg.vhd \ +diff --git a/scripts/filesets/felig_fileset.tcl b/scripts/filesets/felig_fileset.tcl +index 1800f34..fa3d5a4 100644 +--- a/scripts/filesets/felig_fileset.tcl ++++ b/scripts/filesets/felig_fileset.tcl +@@ -1,3 +1,24 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Shelfali Saxena ++# mtrovato ++# Frans Schreuder ++# Ricardo Luz ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + set VHDL_FILES [concat $VHDL_FILES \ + feligHG710/data_generator/elink_data_emulator.vhd \ + feligHG710/data_generator/elink_packet_generator.vhd \ +diff --git a/scripts/filesets/felix_mrod_blocks_fileset.tcl b/scripts/filesets/felix_mrod_blocks_fileset.tcl +index ba2464e..ffed779 100644 +--- a/scripts/filesets/felix_mrod_blocks_fileset.tcl ++++ b/scripts/filesets/felix_mrod_blocks_fileset.tcl +@@ -1,3 +1,22 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Rene ++# Thei Wijnen ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + # felix_mrod_blocks_fileset.tcl + + set VHDL_FILES [concat $VHDL_FILES \ +diff --git a/scripts/filesets/felix_mrod_crfm_fileset.tcl b/scripts/filesets/felix_mrod_crfm_fileset.tcl +index a55fee8..e715bde 100644 +--- a/scripts/filesets/felix_mrod_crfm_fileset.tcl ++++ b/scripts/filesets/felix_mrod_crfm_fileset.tcl +@@ -1,3 +1,23 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Rene ++# Thei Wijnen ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + # felix_mrod_crfm_fileset.tcl + + set VHDL_FILES [concat $VHDL_FILES \ +diff --git a/scripts/filesets/felix_mrod_housekeeping_fileset.tcl b/scripts/filesets/felix_mrod_housekeeping_fileset.tcl +index 31d0d77..eadfad5 100644 +--- a/scripts/filesets/felix_mrod_housekeeping_fileset.tcl ++++ b/scripts/filesets/felix_mrod_housekeeping_fileset.tcl +@@ -1,3 +1,23 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# Rene ++# Thei Wijnen ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + #felix_mrod_housekeeping_fileset.tcl + + set VHDL_FILES [concat $VHDL_FILES \ +diff --git a/scripts/filesets/felix_mrod_toplevel_fileset.tcl b/scripts/filesets/felix_mrod_toplevel_fileset.tcl +index fd21e0e..df97168 100644 +--- a/scripts/filesets/felix_mrod_toplevel_fileset.tcl ++++ b/scripts/filesets/felix_mrod_toplevel_fileset.tcl +@@ -1,3 +1,22 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Thei Wijnen ++# Rene ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + # felix_mrod_toplevel_fileset.tcl + + set VHDL_FILES_KU [concat $VHDL_FILES_KU \ +diff --git a/scripts/filesets/felix_mrod_wupper_fileset.tcl b/scripts/filesets/felix_mrod_wupper_fileset.tcl +index 881edb7..ecdc943 100644 +--- a/scripts/filesets/felix_mrod_wupper_fileset.tcl ++++ b/scripts/filesets/felix_mrod_wupper_fileset.tcl +@@ -1,3 +1,24 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Thei Wijnen ++# mtrovato ++# Frans Schreuder ++# Rene ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + # felix_mrod_wupper_fileset.tcl + + set VHDL_FILES [concat $VHDL_FILES \ +diff --git a/scripts/filesets/fmemu_fileset.tcl b/scripts/filesets/fmemu_fileset.tcl +index 10acb01..1012949 100644 +--- a/scripts/filesets/fmemu_fileset.tcl ++++ b/scripts/filesets/fmemu_fileset.tcl +@@ -1,3 +1,22 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Mesfin Gebyehu ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + # ---------------------------------------------------------- + #FM EMU .vhd & .xci files + # ---------------------------------------------------------- +diff --git a/scripts/filesets/fmemu_top_fileset.tcl b/scripts/filesets/fmemu_top_fileset.tcl +index f4cdaf7..f9f5598 100644 +--- a/scripts/filesets/fmemu_top_fileset.tcl ++++ b/scripts/filesets/fmemu_top_fileset.tcl +@@ -1,3 +1,22 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# Mesfin Gebyehu ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + #set VHDL_FILES_V7 [concat $VHDL_FILES_V7 \ + # FullModeEmulator/FMEmu_top_vc709.vhd] + +diff --git a/scripts/filesets/fullmode_emulator_fileset.tcl b/scripts/filesets/fullmode_emulator_fileset.tcl +index 139ed79..af630b0 100644 +--- a/scripts/filesets/fullmode_emulator_fileset.tcl ++++ b/scripts/filesets/fullmode_emulator_fileset.tcl +@@ -1,3 +1,21 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + set VHDL_FILES [concat $VHDL_FILES \ + FullModeDataEmulator/FullModeDataEmulator.vhd] + +diff --git a/scripts/filesets/fullmode_fanout_fileset.tcl b/scripts/filesets/fullmode_fanout_fileset.tcl +index abc2146..ea1077c 100644 +--- a/scripts/filesets/fullmode_fanout_fileset.tcl ++++ b/scripts/filesets/fullmode_fanout_fileset.tcl +@@ -1,2 +1,20 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + set VHDL_FILES [concat $VHDL_FILES \ + FanoutSelectors/axis_32_fanout_selector.vhd] +diff --git a/scripts/filesets/fullmode_gbt_core_fileset.tcl b/scripts/filesets/fullmode_gbt_core_fileset.tcl +index 77a5570..d7861ed 100644 +--- a/scripts/filesets/fullmode_gbt_core_fileset.tcl ++++ b/scripts/filesets/fullmode_gbt_core_fileset.tcl +@@ -1,3 +1,21 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + set VHDL_FILES [concat $VHDL_FILES \ + packages/FELIX_gbt_package.vhd \ + GBT/gbt_code/gbt_tx_encoder_FELIX.vhd \ +diff --git a/scripts/filesets/fullmode_toplevel_fileset.tcl b/scripts/filesets/fullmode_toplevel_fileset.tcl +index ab69f9c..294f33d 100644 +--- a/scripts/filesets/fullmode_toplevel_fileset.tcl ++++ b/scripts/filesets/fullmode_toplevel_fileset.tcl +@@ -1,3 +1,22 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# Rene ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + set VHDL_FILES [concat $VHDL_FILES \ + FelixTop/felix_top.vhd \ + packages/axi_stream_package.vhd] +diff --git a/scripts/filesets/gbt_core_fileset.tcl b/scripts/filesets/gbt_core_fileset.tcl +index df04f89..b726133 100644 +--- a/scripts/filesets/gbt_core_fileset.tcl ++++ b/scripts/filesets/gbt_core_fileset.tcl +@@ -1,3 +1,23 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Mesfin Gebyehu ++# mtrovato ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + set VHDL_FILES [concat $VHDL_FILES \ + packages/FELIX_gbt_package.vhd \ + GBT/gbt_code/FELIX_GBT_RXSLIDE_FSM.vhd \ +diff --git a/scripts/filesets/gbt_emulator_fileset.tcl b/scripts/filesets/gbt_emulator_fileset.tcl +index 4a6cc3c..2df72e3 100644 +--- a/scripts/filesets/gbt_emulator_fileset.tcl ++++ b/scripts/filesets/gbt_emulator_fileset.tcl +@@ -1,3 +1,21 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + set VHDL_FILES [concat $VHDL_FILES \ + GBTlinksDataEmulator/GBTdataEmulator.vhd \ + packages/txt_util.vhd] +diff --git a/scripts/filesets/gbt_fanout_fileset.tcl b/scripts/filesets/gbt_fanout_fileset.tcl +index f362a9e..b7e4e77 100644 +--- a/scripts/filesets/gbt_fanout_fileset.tcl ++++ b/scripts/filesets/gbt_fanout_fileset.tcl +@@ -1,2 +1,20 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + set VHDL_FILES [concat $VHDL_FILES \ + FanoutSelectors/gbt_fanout_selector.vhd] +diff --git a/scripts/filesets/gbt_toplevel_fileset.tcl b/scripts/filesets/gbt_toplevel_fileset.tcl +index fb1914f..7baf0d7 100644 +--- a/scripts/filesets/gbt_toplevel_fileset.tcl ++++ b/scripts/filesets/gbt_toplevel_fileset.tcl +@@ -1,3 +1,24 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Kai Chen ++# Shelfali Saxena ++# mtrovato ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + set VHDL_FILES [concat $VHDL_FILES \ + FelixTop/felix_top.vhd] + +diff --git a/scripts/filesets/housekeeping_felig_fileset.tcl b/scripts/filesets/housekeeping_felig_fileset.tcl +index 349395f..4eab12b 100644 +--- a/scripts/filesets/housekeeping_felig_fileset.tcl ++++ b/scripts/filesets/housekeeping_felig_fileset.tcl +@@ -1,3 +1,22 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# mtrovato ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + set VHDL_FILES [concat $VHDL_FILES \ + shared/card_type_specific_ios.vhd \ + shared/xadc_drp.vhd \ +diff --git a/scripts/filesets/housekeeping_fileset.tcl b/scripts/filesets/housekeeping_fileset.tcl +index 8c5846b..19aa7c3 100644 +--- a/scripts/filesets/housekeeping_fileset.tcl ++++ b/scripts/filesets/housekeeping_fileset.tcl +@@ -1,3 +1,22 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# mtrovato ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + set VHDL_FILES [concat $VHDL_FILES \ + shared/xadc_drp.vhd \ + shared/dna.vhd \ +diff --git a/scripts/filesets/itk_strips_fileset.tcl b/scripts/filesets/itk_strips_fileset.tcl +index 045916b..911e433 100644 +--- a/scripts/filesets/itk_strips_fileset.tcl ++++ b/scripts/filesets/itk_strips_fileset.tcl +@@ -1,3 +1,21 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Elena Zhivun ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + set VHDL_FILES [concat $VHDL_FILES \ + ItkStrip/l0a_frame_generator.vhd \ + ItkStrip/lcb_command_decoder.vhd \ +diff --git a/scripts/filesets/ttc_decoder_fileset.tcl b/scripts/filesets/ttc_decoder_fileset.tcl +index 216272c..95d4cf1 100644 +--- a/scripts/filesets/ttc_decoder_fileset.tcl ++++ b/scripts/filesets/ttc_decoder_fileset.tcl +@@ -1,3 +1,21 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + set VHDL_FILES [concat $VHDL_FILES \ + ttc/ttc_decoder/ttc_decoder_core.vhd \ + ttc/ttc_decoder/cdr2a_b_clk.vhd \ +diff --git a/scripts/filesets/ttc_emulator_fileset.tcl b/scripts/filesets/ttc_emulator_fileset.tcl +index 9fe1e8b..396fe4a 100644 +--- a/scripts/filesets/ttc_emulator_fileset.tcl ++++ b/scripts/filesets/ttc_emulator_fileset.tcl +@@ -1,4 +1,25 @@ + ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Israel Grayzman ++# Frans Schreuder ++# Thei Wijnen ++# Ali Skaf ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ ++ + set VHDL_FILES [concat $VHDL_FILES \ + TTCdataEmulator/TTC_Emulator.vhd \ + TTCdataEmulator/delay_chain.vhd \ +diff --git a/scripts/filesets/wupper_fileset.tcl b/scripts/filesets/wupper_fileset.tcl +index 3d08901..8096546 100644 +--- a/scripts/filesets/wupper_fileset.tcl ++++ b/scripts/filesets/wupper_fileset.tcl +@@ -1,3 +1,24 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Rene ++# Thei Wijnen ++# mtrovato ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + set VHDL_FILES [concat $VHDL_FILES \ + templates/pcie_package.vhd \ + templates/dma_control.vhd \ +diff --git a/scripts/helper/clear_filesets.tcl b/scripts/helper/clear_filesets.tcl +index 3285b97..18da10f 100644 +--- a/scripts/helper/clear_filesets.tcl ++++ b/scripts/helper/clear_filesets.tcl +@@ -1,3 +1,23 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Thei Wijnen ++# mtrovato ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + set XCI_FILES "" + set VHDL_FILES "" + set VERILOG_FILES "" +diff --git a/scripts/helper/do_implementation_finish.tcl b/scripts/helper/do_implementation_finish.tcl +index 4db4ba8..840f6f3 100644 +--- a/scripts/helper/do_implementation_finish.tcl ++++ b/scripts/helper/do_implementation_finish.tcl +@@ -1,3 +1,31 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Andrea Borga ++# Marius Wensing ++# Israel Grayzman ++# Enrico Gamberini ++# RHabraken ++# Mesfin Gebyehu ++# William Wulff ++# Elena Zhivun ++# Rene ++# Thei Wijnen ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + #reset_run $IMPL_RUN + launch_runs $IMPL_RUN -jobs $CORES + #launch_runs $IMPL_RUN -to_step write_bitstream +diff --git a/scripts/helper/do_implementation_post.tcl b/scripts/helper/do_implementation_post.tcl +index daf66b5..8ae2879 100644 +--- a/scripts/helper/do_implementation_post.tcl ++++ b/scripts/helper/do_implementation_post.tcl +@@ -1,3 +1,36 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Andrea Borga ++# Marius Wensing ++# Enrico Gamberini ++# RHabraken ++# Israel Grayzman ++# Mesfin Gebyehu ++# Kai Chen ++# Shelfali Saxena ++# William Wulff ++# mtrovato ++# Elena Zhivun ++# Thei Wijnen ++# Ricardo Luz ++# Rene ++# Ohad Shaked ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + #file: do_implementation_post.tcl + + set GIT_HASH [exec git rev-parse HEAD] +diff --git a/scripts/helper/do_implementation_pre.tcl b/scripts/helper/do_implementation_pre.tcl +index 66d2fdc..06e4441 100644 +--- a/scripts/helper/do_implementation_pre.tcl ++++ b/scripts/helper/do_implementation_pre.tcl +@@ -1,3 +1,24 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# William Wulff ++# Elena Zhivun ++# Thei Wijnen ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + #do_implementation_pre.tcl + #some defaults: + +diff --git a/scripts/helper/questa_import_generic.tcl b/scripts/helper/questa_import_generic.tcl +index abc302b..c3fcbab 100644 +--- a/scripts/helper/questa_import_generic.tcl ++++ b/scripts/helper/questa_import_generic.tcl +@@ -1,3 +1,22 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Elena Zhivun ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + set scriptdir [pwd] + set firmware_dir $scriptdir/../../ + +diff --git a/scripts/helper/sigasi_import_generic.tcl b/scripts/helper/sigasi_import_generic.tcl +index a2f1c9f..6f8d536 100644 +--- a/scripts/helper/sigasi_import_generic.tcl ++++ b/scripts/helper/sigasi_import_generic.tcl +@@ -1,3 +1,22 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Mesfin Gebyehu ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + # Set the supportfiles directory path + set scriptdir [pwd] + #Download SigasiProjectCreator from https://github.com/sigasi/SigasiProjectCreator +diff --git a/scripts/helper/vivado_import_generic.tcl b/scripts/helper/vivado_import_generic.tcl +index d4b6d75..4605827 100644 +--- a/scripts/helper/vivado_import_generic.tcl ++++ b/scripts/helper/vivado_import_generic.tcl +@@ -1,3 +1,24 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Thei Wijnen ++# mtrovato ++# Frans Schreuder ++# Ohad Shaked ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + # Set the supportfiles directory path + set scriptdir [pwd] + set firmware_dir $scriptdir/../../ +diff --git a/scripts/helper/vivado_set_severity.tcl b/scripts/helper/vivado_set_severity.tcl +index 55d386d..25a6bc0 100644 +--- a/scripts/helper/vivado_set_severity.tcl ++++ b/scripts/helper/vivado_set_severity.tcl +@@ -1,3 +1,22 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Thei Wijnen ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + #Issue's that are rebranded + + #NULL port ignored +diff --git a/simulation/CRFM/backpressure.do b/simulation/CRFM/backpressure.do +index 24bcc96..88ecada 100644 +--- a/simulation/CRFM/backpressure.do ++++ b/simulation/CRFM/backpressure.do +@@ -1,3 +1,21 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + run 10 us + force -freeze sim:/crfm_toptest/th_xoff_i 1 0 + run 179 us +diff --git a/simulation/CRFM/project.do b/simulation/CRFM/project.do +index 8f5bad8..52497ae 100644 +--- a/simulation/CRFM/project.do ++++ b/simulation/CRFM/project.do +@@ -1,3 +1,21 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + set projectEnv [project env] + if { [string length $projectEnv]==0} { + puts "no project open" +diff --git a/simulation/CRFM/start.do b/simulation/CRFM/start.do +index 5243344..a847d1c 100644 +--- a/simulation/CRFM/start.do ++++ b/simulation/CRFM/start.do +@@ -1,3 +1,21 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + restart -force + force -freeze sim:/crfm_toptest/clk40_in 1 0, 0 {12500 ps} -r 25ns + force -freeze sim:/crfm_toptest/appreg_clk 1 0, 0 {12000 ps} -r 24ns +diff --git a/simulation/CRFM/wave.do b/simulation/CRFM/wave.do +index fec326d..a4dca8f 100644 +--- a/simulation/CRFM/wave.do ++++ b/simulation/CRFM/wave.do +@@ -1,3 +1,21 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + onerror {resume} + quietly WaveActivateNextPane {} 0 + add wave -noupdate /crfm_toptest/clk40_in +diff --git a/simulation/FELIX_Top/GBT_FULL_import_questa.tcl b/simulation/FELIX_Top/GBT_FULL_import_questa.tcl +index 402b174..814c486 100644 +--- a/simulation/FELIX_Top/GBT_FULL_import_questa.tcl ++++ b/simulation/FELIX_Top/GBT_FULL_import_questa.tcl +@@ -1,3 +1,21 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + source ../../scripts/helper/clear_filesets.tcl + + set XIL_PROJECTS "FLX712_FULLMODE FLX712_GBT" +diff --git a/simulation/FELIX_Top/VSim_Functional.tcl b/simulation/FELIX_Top/VSim_Functional.tcl +index a00a1e8..123ebcc 100755 +--- a/simulation/FELIX_Top/VSim_Functional.tcl ++++ b/simulation/FELIX_Top/VSim_Functional.tcl +@@ -1,3 +1,21 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + ### start simulation + + vsim -t ps -novopt +notimingchecks -L unisim work.felix_top +diff --git a/simulation/FELIX_Top/external_editor.tcl b/simulation/FELIX_Top/external_editor.tcl +index 2065f7f..7dff497 100644 +--- a/simulation/FELIX_Top/external_editor.tcl ++++ b/simulation/FELIX_Top/external_editor.tcl +@@ -1,3 +1,21 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + proc external_editor {filename linenumber} { + exec geany -l $linenumber $filename & + } +diff --git a/simulation/FELIX_Top/project.do b/simulation/FELIX_Top/project.do +index 31e1c5a..497f64f 100644 +--- a/simulation/FELIX_Top/project.do ++++ b/simulation/FELIX_Top/project.do +@@ -1,3 +1,22 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# RHabraken ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + set projectEnv [project env] + if { [string length $projectEnv]==0} { + puts "no project open" +diff --git a/simulation/FELIX_Top/start.do b/simulation/FELIX_Top/start.do +index bef1c4c..c959b71 100644 +--- a/simulation/FELIX_Top/start.do ++++ b/simulation/FELIX_Top/start.do +@@ -1,3 +1,21 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + ################################################################## + ### Functions declaration + ## -- start +diff --git a/simulation/FELIX_Top/wave.do b/simulation/FELIX_Top/wave.do +index 0a44dce..3f9a7c9 100644 +--- a/simulation/FELIX_Top/wave.do ++++ b/simulation/FELIX_Top/wave.do +@@ -1,3 +1,21 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + onerror {resume} + quietly WaveActivateNextPane {} 0 + add wave -noupdate -expand -group fifoDriver /tb_centralrouter_top/uut/cr0/thFMdataManagers(0)/thFMdmN/chFIFOdriver/clk40 +diff --git a/simulation/FMEmu/do_vivado_simulation.tcl b/simulation/FMEmu/do_vivado_simulation.tcl +index 68ebd66..ee24fb1 100755 +--- a/simulation/FMEmu/do_vivado_simulation.tcl ++++ b/simulation/FMEmu/do_vivado_simulation.tcl +@@ -1,3 +1,21 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Mesfin Gebyehu ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + save_wave_config {/data/et/mgebyehu/FMEmu_MRM4.5/firmware/Projects/FMEmu_BNL711/FMEmu_BNL711.sim/sim_1/FMEmu_top_bnl711_tb_behav.wcfg} + close_sim -force + launch_simulation +diff --git a/simulation/FMEmu/start2.do b/simulation/FMEmu/start2.do +index 80ea688..8ada3ef 100644 +--- a/simulation/FMEmu/start2.do ++++ b/simulation/FMEmu/start2.do +@@ -1,4 +1,24 @@ + ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Rene Habraken ++# RHabraken ++# Mesfin Gebyehu ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ ++ + ################################################################## + ### Compile + +diff --git a/simulation/FMEmu/wave2.do b/simulation/FMEmu/wave2.do +index 467e98e..ea78995 100644 +--- a/simulation/FMEmu/wave2.do ++++ b/simulation/FMEmu/wave2.do +@@ -1,3 +1,23 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Rene Habraken ++# RHabraken ++# Mesfin Gebyehu ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + onerror {resume} + quietly WaveActivateNextPane {} 0 + add wave -noupdate /felix_fullmode_top_tb/u7/RST +diff --git a/simulation/GBT/project.do b/simulation/GBT/project.do +index 8800670..34eba7b 100644 +--- a/simulation/GBT/project.do ++++ b/simulation/GBT/project.do +@@ -1,3 +1,21 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + project addfile ../../sources/GBT/gth_code/gth_usrclk_gen.vhd + + project addfile ../../sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_gt.vhd +diff --git a/simulation/MROD_top_sim/ForceSignals.tcl b/simulation/MROD_top_sim/ForceSignals.tcl +index 738d633..2eb1f24 100644 +--- a/simulation/MROD_top_sim/ForceSignals.tcl ++++ b/simulation/MROD_top_sim/ForceSignals.tcl +@@ -1,3 +1,22 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Rene ++# Thei Wijnen ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + relaunch_sim + + add_force {/felix_mrod_top/u11/u10/TRXloopback} -radix bin {1 0ns} +diff --git a/simulation/TTC_emulator/force_on_signals.do b/simulation/TTC_emulator/force_on_signals.do +index 1d774ab..9061434 100644 +--- a/simulation/TTC_emulator/force_on_signals.do ++++ b/simulation/TTC_emulator/force_on_signals.do +@@ -1,3 +1,21 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Alessandra Camplani ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + force -freeze sim:/ttc_emulator_tb/dut/en 1 0 + + force -freeze sim:/ttc_emulator_tb/dut/input_ecr_period 0 {0 ns} +diff --git a/simulation/TTC_emulator/force_on_signals_wrapper.do b/simulation/TTC_emulator/force_on_signals_wrapper.do +index ae8ffb0..f779d3c 100644 +--- a/simulation/TTC_emulator/force_on_signals_wrapper.do ++++ b/simulation/TTC_emulator/force_on_signals_wrapper.do +@@ -1,3 +1,21 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Alessandra Camplani ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + force -freeze sim:/fmc_wrapper_tb_foremu/dut/TTCEmu/register_map_control.TTC_EMU.SEL 1'h1 0 + force -freeze sim:/fmc_wrapper_tb_foremu/dut/TTCEmu/register_map_control.TTC_EMU.ENA 1'h1 0 + +diff --git a/simulation/TTC_emulator/wave.do b/simulation/TTC_emulator/wave.do +index 5b0460d..1a37b2b 100644 +--- a/simulation/TTC_emulator/wave.do ++++ b/simulation/TTC_emulator/wave.do +@@ -1,3 +1,21 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Alessandra Camplani ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + onerror {resume} + quietly WaveActivateNextPane {} 0 + add wave -noupdate /ttc_emulator_tb/Clock +diff --git a/simulation/TTC_emulator/wave_wrapper.do b/simulation/TTC_emulator/wave_wrapper.do +index 947ddcc..c7b16a9 100644 +--- a/simulation/TTC_emulator/wave_wrapper.do ++++ b/simulation/TTC_emulator/wave_wrapper.do +@@ -1,3 +1,21 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Alessandra Camplani ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + onerror {resume} + quietly WaveActivateNextPane {} 0 + add wave -noupdate /fmc_wrapper_tb_foremu/dut/TTCEmu/Clock +diff --git a/simulation/UVVMtests/FULLModeToHost_import_questa.tcl b/simulation/UVVMtests/FULLModeToHost_import_questa.tcl +index 803216d..1ba0916 100644 +--- a/simulation/UVVMtests/FULLModeToHost_import_questa.tcl ++++ b/simulation/UVVMtests/FULLModeToHost_import_questa.tcl +@@ -1,3 +1,21 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + source ../../scripts/helper/clear_filesets.tcl + + set XIL_PROJECTS "FLX712_FULLMODE FLX712_GBT" +diff --git a/simulation/UVVMtests/GBT_FULL_import_questa.tcl b/simulation/UVVMtests/GBT_FULL_import_questa.tcl +index 71c2e01..465bf0b 100644 +--- a/simulation/UVVMtests/GBT_FULL_import_questa.tcl ++++ b/simulation/UVVMtests/GBT_FULL_import_questa.tcl +@@ -1,3 +1,22 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# Elena Zhivun ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + source ../../scripts/helper/clear_filesets.tcl + + set XIL_PROJECTS "FLX712_FULLMODE FLX712_GBT" +diff --git a/simulation/UVVMtests/Wupper_import_questa.tcl b/simulation/UVVMtests/Wupper_import_questa.tcl +index 794ffc4..37bd4a2 100644 +--- a/simulation/UVVMtests/Wupper_import_questa.tcl ++++ b/simulation/UVVMtests/Wupper_import_questa.tcl +@@ -1,3 +1,21 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + source ../../scripts/helper/clear_filesets.tcl + + set XIL_PROJECTS "FLX712_FELIX" +diff --git a/simulation/UVVMtests/ci-Wupper.do b/simulation/UVVMtests/ci-Wupper.do +index 3a880c7..ae6b518 100644 +--- a/simulation/UVVMtests/ci-Wupper.do ++++ b/simulation/UVVMtests/ci-Wupper.do +@@ -1,3 +1,21 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + source ./Wupper_import_questa.tcl + vsim -t 1ps -voptargs="+acc" work.Wupper_tb work.glbl + run -all +diff --git a/simulation/UVVMtests/ci-centralRouter.do b/simulation/UVVMtests/ci-centralRouter.do +index ff653b5..e5ec56f 100644 +--- a/simulation/UVVMtests/ci-centralRouter.do ++++ b/simulation/UVVMtests/ci-centralRouter.do +@@ -1,3 +1,21 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + source ./GBT_FULL_import_questa.tcl + vsim -voptargs=+acc work.centralRouter_tb + +diff --git a/simulation/UVVMtests/ci-encodingepath.sh b/simulation/UVVMtests/ci-encodingepath.sh +index 531f705..0abdaf5 100755 +--- a/simulation/UVVMtests/ci-encodingepath.sh ++++ b/simulation/UVVMtests/ci-encodingepath.sh +@@ -1,4 +1,23 @@ + #!/bin/bash ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Elena Zhivun ++# Frans Schreuder ++# Ohad Shaked ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + #Installation path and license server at Nikhef. For other institutes, please try another location. + echo "free -h" + free -h +diff --git a/simulation/UVVMtests/ci-strips.do b/simulation/UVVMtests/ci-strips.do +index e08bec7..379848f 100755 +--- a/simulation/UVVMtests/ci-strips.do ++++ b/simulation/UVVMtests/ci-strips.do +@@ -1,3 +1,21 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Elena Zhivun ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + source ./strips_import_questa.tcl + + set strips_tb {tb_bypass_frame_aggregator \ +diff --git a/simulation/UVVMtests/ci-validate_8b10b.do b/simulation/UVVMtests/ci-validate_8b10b.do +index 7faf0db..6828b16 100644 +--- a/simulation/UVVMtests/ci-validate_8b10b.do ++++ b/simulation/UVVMtests/ci-validate_8b10b.do +@@ -1,3 +1,21 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Ohad Shaked ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + source ./GBT_FULL_import_questa.tcl + vsim -voptargs="+acc" work.validate_8b10b_tb work.glbl + add wave -group top sim:/validate_8b10b_tb/* +diff --git a/simulation/UVVMtests/ci-validate_wrap_EncDec_8b10b.do b/simulation/UVVMtests/ci-validate_wrap_EncDec_8b10b.do +index a93c32c..ead5736 100644 +--- a/simulation/UVVMtests/ci-validate_wrap_EncDec_8b10b.do ++++ b/simulation/UVVMtests/ci-validate_wrap_EncDec_8b10b.do +@@ -1,3 +1,21 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Ohad Shaked ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + source ./GBT_FULL_import_questa.tcl + vsim -voptargs="+acc" work.validate_wrap_8b10b_tb work.glbl + add wave -group top sim:/validate_wrap_8b10b_tb/* +diff --git a/simulation/UVVMtests/ci.sh b/simulation/UVVMtests/ci.sh +index 3179676..efcaefc 100755 +--- a/simulation/UVVMtests/ci.sh ++++ b/simulation/UVVMtests/ci.sh +@@ -1,4 +1,23 @@ + #!/bin/bash ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Elena Zhivun ++# Frans Schreuder ++# Ohad Shaked ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + #Installation path and license server at Nikhef. For other institutes, please try another location. + echo "free -h" + free -h +diff --git a/simulation/UVVMtests/external_editor.tcl b/simulation/UVVMtests/external_editor.tcl +index 2065f7f..7dff497 100644 +--- a/simulation/UVVMtests/external_editor.tcl ++++ b/simulation/UVVMtests/external_editor.tcl +@@ -1,3 +1,21 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + proc external_editor {filename linenumber} { + exec geany -l $linenumber $filename & + } +diff --git a/simulation/UVVMtests/runsim.do b/simulation/UVVMtests/runsim.do +index 93cd998..29ccebb 100644 +--- a/simulation/UVVMtests/runsim.do ++++ b/simulation/UVVMtests/runsim.do +@@ -1,3 +1,22 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Ali Skaf ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + vsim work.egroup_tb(arch) + + add wave -position insertpoint sim:/egroup_tb/* +diff --git a/simulation/UVVMtests/wave_Alignment_all.do b/simulation/UVVMtests/wave_Alignment_all.do +index 964d562..e12c975 100644 +--- a/simulation/UVVMtests/wave_Alignment_all.do ++++ b/simulation/UVVMtests/wave_Alignment_all.do +@@ -1,3 +1,21 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Ohad Shaked ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + onerror {resume} + quietly WaveActivateNextPane {} 0 + add wave -noupdate -color Coral /egroup_tb/i_test_harness/Data16bitIN +diff --git a/simulation/UVVMtests/wave_Realignment.do b/simulation/UVVMtests/wave_Realignment.do +index d76465f..d98953f 100644 +--- a/simulation/UVVMtests/wave_Realignment.do ++++ b/simulation/UVVMtests/wave_Realignment.do +@@ -1,3 +1,21 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Ohad Shaked ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + onerror {resume} + quietly WaveActivateNextPane {} 0 + add wave -noupdate -color Coral /egroup_tb/i_test_harness/Data16bitIN +diff --git a/simulation/UVVMtests/wave_all_debug_8b10bRealign.do b/simulation/UVVMtests/wave_all_debug_8b10bRealign.do +index d545260..ecde6aa 100644 +--- a/simulation/UVVMtests/wave_all_debug_8b10bRealign.do ++++ b/simulation/UVVMtests/wave_all_debug_8b10bRealign.do +@@ -1,3 +1,21 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Ohad Shaked ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + onerror {resume} + quietly WaveActivateNextPane {} 0 + add wave -noupdate -color Coral /egroup_tb/i_test_harness/Data16bitIN +diff --git a/simulation/UVVMtests/wave_test_8b10b_Paths.do b/simulation/UVVMtests/wave_test_8b10b_Paths.do +index 1d5909b..c607350 100644 +--- a/simulation/UVVMtests/wave_test_8b10b_Paths.do ++++ b/simulation/UVVMtests/wave_test_8b10b_Paths.do +@@ -1,3 +1,21 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Ohad Shaked ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + onerror {resume} + quietly WaveActivateNextPane {} 0 + add wave -noupdate /egroup_tb/i_test_harness/DUT/clk160 +diff --git a/simulation/UVVMtests/wave_trailer_test.do b/simulation/UVVMtests/wave_trailer_test.do +index 11d6866..c61e6fd 100644 +--- a/simulation/UVVMtests/wave_trailer_test.do ++++ b/simulation/UVVMtests/wave_trailer_test.do +@@ -1,3 +1,21 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Ohad Shaked ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + onerror {resume} + quietly WaveActivateNextPane {} 0 + add wave -noupdate /egroup_tb/i_test_harness/DUT/Realignment_en +diff --git a/simulation/Wupper/VSim_Functional.tcl b/simulation/Wupper/VSim_Functional.tcl +index 3786763..33dd5bc 100755 +--- a/simulation/Wupper/VSim_Functional.tcl ++++ b/simulation/Wupper/VSim_Functional.tcl +@@ -1,3 +1,21 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + ### start simulation + + vsim -t ps -novopt +notimingchecks -L unisim work.virtex7_dma_top +diff --git a/simulation/Wupper/project.do b/simulation/Wupper/project.do +index d44e41b..4efac1e 100644 +--- a/simulation/Wupper/project.do ++++ b/simulation/Wupper/project.do +@@ -1,3 +1,22 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# RHabraken ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + set projectEnv [project env] + if { [string length $projectEnv]==0} { + puts "no project open" +diff --git a/simulation/Wupper/start.do b/simulation/Wupper/start.do +index 97c45e9..1abf95a 100644 +--- a/simulation/Wupper/start.do ++++ b/simulation/Wupper/start.do +@@ -1,3 +1,21 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + ################################################################## + ### Functions declaration + ## -- start +diff --git a/simulation/Wupper/wave.do b/simulation/Wupper/wave.do +index 723ede7..921aab6 100644 +--- a/simulation/Wupper/wave.do ++++ b/simulation/Wupper/wave.do +@@ -1,3 +1,21 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + onerror {resume} + quietly WaveActivateNextPane {} 0 + add wave -noupdate -group application /virtex7_dma_top/u0/appreg_clk +diff --git a/simulation/centralRouter/project.do b/simulation/centralRouter/project.do +index 76f02c2..3cb703e 100644 +--- a/simulation/centralRouter/project.do ++++ b/simulation/centralRouter/project.do +@@ -1,3 +1,21 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + set data_width 256 + + if {$data_width == 256} { +diff --git a/simulation/centralRouter/project_emu.do b/simulation/centralRouter/project_emu.do +index f300f7a..42b4b0f 100644 +--- a/simulation/centralRouter/project_emu.do ++++ b/simulation/centralRouter/project_emu.do +@@ -1,3 +1,21 @@ ++ ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + project addfile ../../sources/GBTlinksDataEmulator/GBTdataEmulator.vhd + + project addfile ../../Projects/felix_top/felix_top.srcs/sources_1/ip/emuram_0/emuram_0_funcsim.vhdl +diff --git a/sources/CRC20/crc.c b/sources/CRC20/crc.c +index 8a6b57c..f27c97e 100644 +--- a/sources/CRC20/crc.c ++++ b/sources/CRC20/crc.c +@@ -1,3 +1,21 @@ ++//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++//! Authors: ++//! Frans Schreuder ++//! Mesfin Gebyehu ++//! ++//! Licensed under the Apache License, Version 2.0 (the "License"); ++//! you may not use this file except in compliance with the License. ++//! You may obtain a copy of the License at ++//! ++//! http://www.apache.org/licenses/LICENSE-2.0 ++//! ++//! Unless required by applicable law or agreed to in writing, software ++//! distributed under the License is distributed on an "AS IS" BASIS, ++//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++//! See the License for the specific language governing permissions and ++//! limitations under the License. ++ + + /****************************************************************************** + * * +diff --git a/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale.v b/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale.v +index c991f1c..55c38ca 100644 +--- a/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale.v ++++ b/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale.v +@@ -1,3 +1,20 @@ ++//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++//! Authors: ++//! Weihao Wu ++//! ++//! Licensed under the Apache License, Version 2.0 (the "License"); ++//! you may not use this file except in compliance with the License. ++//! You may obtain a copy of the License at ++//! ++//! http://www.apache.org/licenses/LICENSE-2.0 ++//! ++//! Unless required by applicable law or agreed to in writing, software ++//! distributed under the License is distributed on an "AS IS" BASIS, ++//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++//! See the License for the specific language governing permissions and ++//! limitations under the License. ++ + // (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. + // + // This file contains confidential and proprietary information +diff --git a/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale_gthe3_channel_wrapper.v b/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale_gthe3_channel_wrapper.v +index 949af0d..ebdb958 100644 +--- a/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale_gthe3_channel_wrapper.v ++++ b/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale_gthe3_channel_wrapper.v +@@ -1,3 +1,20 @@ ++//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++//! Authors: ++//! Weihao Wu ++//! ++//! Licensed under the Apache License, Version 2.0 (the "License"); ++//! you may not use this file except in compliance with the License. ++//! You may obtain a copy of the License at ++//! ++//! http://www.apache.org/licenses/LICENSE-2.0 ++//! ++//! Unless required by applicable law or agreed to in writing, software ++//! distributed under the License is distributed on an "AS IS" BASIS, ++//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++//! See the License for the specific language governing permissions and ++//! limitations under the License. ++ + //------------------------------------------------------------------------------ + // (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved. + // +diff --git a/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale_gthe3_common_wrapper.v b/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale_gthe3_common_wrapper.v +index ee075e2..587c3bb 100644 +--- a/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale_gthe3_common_wrapper.v ++++ b/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale_gthe3_common_wrapper.v +@@ -1,3 +1,20 @@ ++//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++//! Authors: ++//! Weihao Wu ++//! ++//! Licensed under the Apache License, Version 2.0 (the "License"); ++//! you may not use this file except in compliance with the License. ++//! You may obtain a copy of the License at ++//! ++//! http://www.apache.org/licenses/LICENSE-2.0 ++//! ++//! Unless required by applicable law or agreed to in writing, software ++//! distributed under the License is distributed on an "AS IS" BASIS, ++//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++//! See the License for the specific language governing permissions and ++//! limitations under the License. ++ + //------------------------------------------------------------------------------ + // (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved. + // +diff --git a/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale_gtwizard_gthe3.v b/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale_gtwizard_gthe3.v +index 326478d..e79cb15 100644 +--- a/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale_gtwizard_gthe3.v ++++ b/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale_gtwizard_gthe3.v +@@ -1,3 +1,20 @@ ++//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++//! Authors: ++//! Weihao Wu ++//! ++//! Licensed under the Apache License, Version 2.0 (the "License"); ++//! you may not use this file except in compliance with the License. ++//! You may obtain a copy of the License at ++//! ++//! http://www.apache.org/licenses/LICENSE-2.0 ++//! ++//! Unless required by applicable law or agreed to in writing, software ++//! distributed under the License is distributed on an "AS IS" BASIS, ++//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++//! See the License for the specific language governing permissions and ++//! limitations under the License. ++ + //------------------------------------------------------------------------------ + // (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved. + // +diff --git a/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale_gtwizard_top.v b/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale_gtwizard_top.v +index 7405665..f5f8341 100644 +--- a/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale_gtwizard_top.v ++++ b/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale_gtwizard_top.v +@@ -1,3 +1,20 @@ ++//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++//! Authors: ++//! Weihao Wu ++//! ++//! Licensed under the Apache License, Version 2.0 (the "License"); ++//! you may not use this file except in compliance with the License. ++//! You may obtain a copy of the License at ++//! ++//! http://www.apache.org/licenses/LICENSE-2.0 ++//! ++//! Unless required by applicable law or agreed to in writing, software ++//! distributed under the License is distributed on an "AS IS" BASIS, ++//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++//! See the License for the specific language governing permissions and ++//! limitations under the License. ++ + //------------------------------------------------------------------------------ + // (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved. + // +diff --git a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_bit_synchronizer.v b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_bit_synchronizer.v +old mode 100755 +new mode 100644 +index 6392758..3dc7788 +--- a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_bit_synchronizer.v ++++ b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_bit_synchronizer.v +@@ -1,3 +1,20 @@ ++//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++//! Authors: ++//! Weihao Wu ++//! ++//! Licensed under the Apache License, Version 2.0 (the "License"); ++//! you may not use this file except in compliance with the License. ++//! You may obtain a copy of the License at ++//! ++//! http://www.apache.org/licenses/LICENSE-2.0 ++//! ++//! Unless required by applicable law or agreed to in writing, software ++//! distributed under the License is distributed on an "AS IS" BASIS, ++//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++//! See the License for the specific language governing permissions and ++//! limitations under the License. ++ + //------------------------------------------------------------------------------ + // (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved. + // +diff --git a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gthe3_channel.v b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gthe3_channel.v +old mode 100755 +new mode 100644 +index 44fb499..3eec1d3 +--- a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gthe3_channel.v ++++ b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gthe3_channel.v +@@ -1,3 +1,20 @@ ++//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++//! Authors: ++//! Weihao Wu ++//! ++//! Licensed under the Apache License, Version 2.0 (the "License"); ++//! you may not use this file except in compliance with the License. ++//! You may obtain a copy of the License at ++//! ++//! http://www.apache.org/licenses/LICENSE-2.0 ++//! ++//! Unless required by applicable law or agreed to in writing, software ++//! distributed under the License is distributed on an "AS IS" BASIS, ++//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++//! See the License for the specific language governing permissions and ++//! limitations under the License. ++ + //------------------------------------------------------------------------------ + // (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved. + // +diff --git a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gthe3_common.v b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gthe3_common.v +old mode 100755 +new mode 100644 +index 40cba39..fcc0ddd +--- a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gthe3_common.v ++++ b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gthe3_common.v +@@ -1,3 +1,20 @@ ++//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++//! Authors: ++//! Weihao Wu ++//! ++//! Licensed under the Apache License, Version 2.0 (the "License"); ++//! you may not use this file except in compliance with the License. ++//! You may obtain a copy of the License at ++//! ++//! http://www.apache.org/licenses/LICENSE-2.0 ++//! ++//! Unless required by applicable law or agreed to in writing, software ++//! distributed under the License is distributed on an "AS IS" BASIS, ++//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++//! See the License for the specific language governing permissions and ++//! limitations under the License. ++ + //------------------------------------------------------------------------------ + // (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved. + // +diff --git a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gthe3_cpll_cal.v b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gthe3_cpll_cal.v +old mode 100755 +new mode 100644 +index 9fa8de7..43dd5b5 +--- a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gthe3_cpll_cal.v ++++ b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gthe3_cpll_cal.v +@@ -1,3 +1,20 @@ ++//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++//! Authors: ++//! Weihao Wu ++//! ++//! Licensed under the Apache License, Version 2.0 (the "License"); ++//! you may not use this file except in compliance with the License. ++//! You may obtain a copy of the License at ++//! ++//! http://www.apache.org/licenses/LICENSE-2.0 ++//! ++//! Unless required by applicable law or agreed to in writing, software ++//! distributed under the License is distributed on an "AS IS" BASIS, ++//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++//! See the License for the specific language governing permissions and ++//! limitations under the License. ++ + //------------------------------------------------------------------------------ + // (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved. + // +diff --git a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gthe3_cpll_cal_freq_counter.v b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gthe3_cpll_cal_freq_counter.v +old mode 100755 +new mode 100644 +index d0668ce..f115b3c +--- a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gthe3_cpll_cal_freq_counter.v ++++ b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gthe3_cpll_cal_freq_counter.v +@@ -1,3 +1,20 @@ ++//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++//! Authors: ++//! Weihao Wu ++//! ++//! Licensed under the Apache License, Version 2.0 (the "License"); ++//! you may not use this file except in compliance with the License. ++//! You may obtain a copy of the License at ++//! ++//! http://www.apache.org/licenses/LICENSE-2.0 ++//! ++//! Unless required by applicable law or agreed to in writing, software ++//! distributed under the License is distributed on an "AS IS" BASIS, ++//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++//! See the License for the specific language governing permissions and ++//! limitations under the License. ++ + //------------------------------------------------------------------------------ + // (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved. + // +diff --git a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_buffbypass_rx.v b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_buffbypass_rx.v +old mode 100755 +new mode 100644 +index cc67475..dfd9484 +--- a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_buffbypass_rx.v ++++ b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_buffbypass_rx.v +@@ -1,3 +1,20 @@ ++//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++//! Authors: ++//! Weihao Wu ++//! ++//! Licensed under the Apache License, Version 2.0 (the "License"); ++//! you may not use this file except in compliance with the License. ++//! You may obtain a copy of the License at ++//! ++//! http://www.apache.org/licenses/LICENSE-2.0 ++//! ++//! Unless required by applicable law or agreed to in writing, software ++//! distributed under the License is distributed on an "AS IS" BASIS, ++//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++//! See the License for the specific language governing permissions and ++//! limitations under the License. ++ + //------------------------------------------------------------------------------ + // (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved. + // +diff --git a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_buffbypass_tx.v b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_buffbypass_tx.v +old mode 100755 +new mode 100644 +index 16ec70f..d0eaa3e +--- a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_buffbypass_tx.v ++++ b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_buffbypass_tx.v +@@ -1,3 +1,20 @@ ++//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++//! Authors: ++//! Weihao Wu ++//! ++//! Licensed under the Apache License, Version 2.0 (the "License"); ++//! you may not use this file except in compliance with the License. ++//! You may obtain a copy of the License at ++//! ++//! http://www.apache.org/licenses/LICENSE-2.0 ++//! ++//! Unless required by applicable law or agreed to in writing, software ++//! distributed under the License is distributed on an "AS IS" BASIS, ++//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++//! See the License for the specific language governing permissions and ++//! limitations under the License. ++ + //------------------------------------------------------------------------------ + // (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved. + // +diff --git a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_reset.v b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_reset.v +old mode 100755 +new mode 100644 +index ec1866d..ca76693 +--- a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_reset.v ++++ b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_reset.v +@@ -1,3 +1,20 @@ ++//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++//! Authors: ++//! Weihao Wu ++//! ++//! Licensed under the Apache License, Version 2.0 (the "License"); ++//! you may not use this file except in compliance with the License. ++//! You may obtain a copy of the License at ++//! ++//! http://www.apache.org/licenses/LICENSE-2.0 ++//! ++//! Unless required by applicable law or agreed to in writing, software ++//! distributed under the License is distributed on an "AS IS" BASIS, ++//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++//! See the License for the specific language governing permissions and ++//! limitations under the License. ++ + //------------------------------------------------------------------------------ + // (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved. + // +diff --git a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_userclk_rx.v b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_userclk_rx.v +old mode 100755 +new mode 100644 +index 068886b..5580d26 +--- a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_userclk_rx.v ++++ b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_userclk_rx.v +@@ -1,3 +1,20 @@ ++//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++//! Authors: ++//! Weihao Wu ++//! ++//! Licensed under the Apache License, Version 2.0 (the "License"); ++//! you may not use this file except in compliance with the License. ++//! You may obtain a copy of the License at ++//! ++//! http://www.apache.org/licenses/LICENSE-2.0 ++//! ++//! Unless required by applicable law or agreed to in writing, software ++//! distributed under the License is distributed on an "AS IS" BASIS, ++//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++//! See the License for the specific language governing permissions and ++//! limitations under the License. ++ + //------------------------------------------------------------------------------ + // (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved. + // +diff --git a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_userclk_tx.v b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_userclk_tx.v +old mode 100755 +new mode 100644 +index b06ff72..33e53c3 +--- a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_userclk_tx.v ++++ b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_userclk_tx.v +@@ -1,3 +1,20 @@ ++//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++//! Authors: ++//! Weihao Wu ++//! ++//! Licensed under the Apache License, Version 2.0 (the "License"); ++//! you may not use this file except in compliance with the License. ++//! You may obtain a copy of the License at ++//! ++//! http://www.apache.org/licenses/LICENSE-2.0 ++//! ++//! Unless required by applicable law or agreed to in writing, software ++//! distributed under the License is distributed on an "AS IS" BASIS, ++//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++//! See the License for the specific language governing permissions and ++//! limitations under the License. ++ + //------------------------------------------------------------------------------ + // (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved. + // +diff --git a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_userdata_rx.v b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_userdata_rx.v +old mode 100755 +new mode 100644 +index 2c4138c..5bfbf68 +--- a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_userdata_rx.v ++++ b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_userdata_rx.v +@@ -1,3 +1,20 @@ ++//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++//! Authors: ++//! Weihao Wu ++//! ++//! Licensed under the Apache License, Version 2.0 (the "License"); ++//! you may not use this file except in compliance with the License. ++//! You may obtain a copy of the License at ++//! ++//! http://www.apache.org/licenses/LICENSE-2.0 ++//! ++//! Unless required by applicable law or agreed to in writing, software ++//! distributed under the License is distributed on an "AS IS" BASIS, ++//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++//! See the License for the specific language governing permissions and ++//! limitations under the License. ++ + //------------------------------------------------------------------------------ + // (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved. + // +diff --git a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_userdata_tx.v b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_userdata_tx.v +old mode 100755 +new mode 100644 +index af02ec7..1f8806d +--- a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_userdata_tx.v ++++ b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_userdata_tx.v +@@ -1,3 +1,20 @@ ++//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++//! Authors: ++//! Weihao Wu ++//! ++//! Licensed under the Apache License, Version 2.0 (the "License"); ++//! you may not use this file except in compliance with the License. ++//! You may obtain a copy of the License at ++//! ++//! http://www.apache.org/licenses/LICENSE-2.0 ++//! ++//! Unless required by applicable law or agreed to in writing, software ++//! distributed under the License is distributed on an "AS IS" BASIS, ++//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++//! See the License for the specific language governing permissions and ++//! limitations under the License. ++ + //------------------------------------------------------------------------------ + // (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved. + // +diff --git a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_reset_inv_synchronizer.v b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_reset_inv_synchronizer.v +old mode 100755 +new mode 100644 +index 97ea938..4fd14c0 +--- a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_reset_inv_synchronizer.v ++++ b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_reset_inv_synchronizer.v +@@ -1,3 +1,20 @@ ++//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++//! Authors: ++//! Weihao Wu ++//! ++//! Licensed under the Apache License, Version 2.0 (the "License"); ++//! you may not use this file except in compliance with the License. ++//! You may obtain a copy of the License at ++//! ++//! http://www.apache.org/licenses/LICENSE-2.0 ++//! ++//! Unless required by applicable law or agreed to in writing, software ++//! distributed under the License is distributed on an "AS IS" BASIS, ++//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++//! See the License for the specific language governing permissions and ++//! limitations under the License. ++ + //------------------------------------------------------------------------------ + // (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved. + // +diff --git a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_reset_synchronizer.v b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_reset_synchronizer.v +old mode 100755 +new mode 100644 +index 8726b95..c4e7d8f +--- a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_reset_synchronizer.v ++++ b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_reset_synchronizer.v +@@ -1,3 +1,20 @@ ++//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++//! Authors: ++//! Weihao Wu ++//! ++//! Licensed under the Apache License, Version 2.0 (the "License"); ++//! you may not use this file except in compliance with the License. ++//! You may obtain a copy of the License at ++//! ++//! http://www.apache.org/licenses/LICENSE-2.0 ++//! ++//! Unless required by applicable law or agreed to in writing, software ++//! distributed under the License is distributed on an "AS IS" BASIS, ++//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++//! See the License for the specific language governing permissions and ++//! limitations under the License. ++ + //------------------------------------------------------------------------------ + // (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved. + // +diff --git a/sources/templates/build-diff.sh b/sources/templates/build-diff.sh +index a8f88c8..9704212 100755 +--- a/sources/templates/build-diff.sh ++++ b/sources/templates/build-diff.sh +@@ -1,4 +1,23 @@ + #!/bin/sh ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Mark Donszelmann ++# Mesfin Gebyehu ++# Thei Wijnen ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + # + # Script to rebuild the derived files from templates + # +diff --git a/sources/templates/build-doc.sh b/sources/templates/build-doc.sh +index 22339b5..cf1aba9 100755 +--- a/sources/templates/build-doc.sh ++++ b/sources/templates/build-doc.sh +@@ -1,4 +1,25 @@ + #!/bin/sh -e ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Mark Donszelmann ++# Andrea Borga ++# Mesfin Gebyehu ++# Thei Wijnen ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + # build the documentation from the registermap.tex file + firmware_dir=../.. + wuppercodegen_dir=$firmware_dir/WupperCodeGen +diff --git a/sources/templates/build-html.sh b/sources/templates/build-html.sh +index 7ccdbb2..c9420df 100755 +--- a/sources/templates/build-html.sh ++++ b/sources/templates/build-html.sh +@@ -1,4 +1,23 @@ + #!/bin/sh ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Mark Donszelmann ++# Mesfin Gebyehu ++# Thei Wijnen ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + # + # Script to rebuild the derived files from templates + # +diff --git a/sources/templates/build.sh b/sources/templates/build.sh +index 9ef4d4d..790f37c 100755 +--- a/sources/templates/build.sh ++++ b/sources/templates/build.sh +@@ -1,4 +1,29 @@ + #!/bin/sh ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Mark Donszelmann ++# Andrea Borga ++# Soo Ryu ++# Mesfin Gebyehu ++# RHabraken ++# Rene ++# Thei Wijnen ++# Elena Zhivun ++# Frans Schreuder ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + + # + # Script to rebuild the derived files from templates +diff --git a/sources/templates/check.sh b/sources/templates/check.sh +index 6de9ac7..a3cd9e6 100755 +--- a/sources/templates/check.sh ++++ b/sources/templates/check.sh +@@ -1,4 +1,22 @@ + #!/bin/sh ++# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). ++# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. ++# Authors: ++# Frans Schreuder ++# Mesfin Gebyehu ++# ++# Licensed under the Apache License, Version 2.0 (the "License"); ++# you may not use this file except in compliance with the License. ++# You may obtain a copy of the License at ++# ++# http://www.apache.org/licenses/LICENSE-2.0 ++# ++# Unless required by applicable law or agreed to in writing, software ++# distributed under the License is distributed on an "AS IS" BASIS, ++# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. ++# See the License for the specific language governing permissions and ++# limitations under the License. ++ + + diff -w dma_control.vhd ../pcie/dma_control.vhd + diff -w pcie_package.vhd ../packages/pcie_package.vhd diff --git a/scripts/FELIX_top/FELIX_import_sigasi.tcl b/scripts/FELIX_top/FELIX_import_sigasi.tcl index 86e00e2da3d4c1a39d7c87b568d00b56a886b4e1..f7e24135c3a2c431ceb2a618c7de3445735db3a2 100755 --- a/scripts/FELIX_top/FELIX_import_sigasi.tcl +++ b/scripts/FELIX_top/FELIX_import_sigasi.tcl @@ -1,4 +1,24 @@ #!/bin/tclsh + + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# Mesfin Gebyehu +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + # # File import script for the FELIX hdl project # diff --git a/scripts/FELIX_top/FLX128_FELIX_import_vivado.tcl b/scripts/FELIX_top/FLX128_FELIX_import_vivado.tcl index 7591ac42e2f8af9b3074a878c75cd3eb7cd0090d..db9d403f9dc032aca3d4d8e4e3c686d1d106af51 100644 --- a/scripts/FELIX_top/FLX128_FELIX_import_vivado.tcl +++ b/scripts/FELIX_top/FLX128_FELIX_import_vivado.tcl @@ -1,3 +1,22 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Elena Zhivun +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + # # File import script for the FELIX hdl Vivado project # Board: VCU128 diff --git a/scripts/FELIX_top/FLX180_FELIX_import_vivado.tcl b/scripts/FELIX_top/FLX180_FELIX_import_vivado.tcl index 80441de74bea6ebb0a76e3f2a4acaf0e0f889c8f..78c4af8454df3dfa7038c504eee1c801b702c665 100644 --- a/scripts/FELIX_top/FLX180_FELIX_import_vivado.tcl +++ b/scripts/FELIX_top/FLX180_FELIX_import_vivado.tcl @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + # # File import script for the FELIX hdl Vivado project # Board: VMK180 diff --git a/scripts/FELIX_top/FLX709_FELIX_import_vivado.tcl b/scripts/FELIX_top/FLX709_FELIX_import_vivado.tcl index bc94a0b916e170f26113a7f1a65aee1d63ad08f4..f89eeb29745e3ca5f8eb487f347ca3f8b5c7f3be 100644 --- a/scripts/FELIX_top/FLX709_FELIX_import_vivado.tcl +++ b/scripts/FELIX_top/FLX709_FELIX_import_vivado.tcl @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + # # File import script for the FELIX hdl Vivado project # Board: VC907 diff --git a/scripts/FELIX_top/FLX711_FELIX_import_vivado.tcl b/scripts/FELIX_top/FLX711_FELIX_import_vivado.tcl index 3f7d35091a86e853c69d5336591e624e9cd7311d..0271de65e904101c30b35dfe65bcec92ba2c779c 100644 --- a/scripts/FELIX_top/FLX711_FELIX_import_vivado.tcl +++ b/scripts/FELIX_top/FLX711_FELIX_import_vivado.tcl @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + # # File import script for the FELIX hdl Vivado project # Board: BNL711 diff --git a/scripts/FELIX_top/FLX712_FELIG_import_vivado.tcl b/scripts/FELIX_top/FLX712_FELIG_import_vivado.tcl index b72312f232c61c4ea776345c7d82a3c6940ed491..f702edbf3c434116140a74ccf7750920e90fbcf0 100644 --- a/scripts/FELIX_top/FLX712_FELIG_import_vivado.tcl +++ b/scripts/FELIX_top/FLX712_FELIG_import_vivado.tcl @@ -1,3 +1,23 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# mtrovato +# Frans Schreuder +# Ricardo Luz +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + # # File import script for the FELIX hdl Vivado project # Board: BNL712 diff --git a/scripts/FELIX_top/FLX712_FELIX_import_vivado.tcl b/scripts/FELIX_top/FLX712_FELIX_import_vivado.tcl index 6c3250b1269deb7ce226928e16c4895f178c4b8d..dfce8524400bffa07603de98ceb46e318eec4422 100644 --- a/scripts/FELIX_top/FLX712_FELIX_import_vivado.tcl +++ b/scripts/FELIX_top/FLX712_FELIX_import_vivado.tcl @@ -1,3 +1,22 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# Elena Zhivun +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + # # File import script for the FELIX hdl Vivado project # Board: BNL712 diff --git a/scripts/FELIX_top/FLX801_FELIX_import_vivado.tcl b/scripts/FELIX_top/FLX801_FELIX_import_vivado.tcl index bbde8a99ab6615d82f35e08bbf3d621281f8ca17..1fc5dcf82612a84bcb4bc8352fc4b2bb1e308197 100644 --- a/scripts/FELIX_top/FLX801_FELIX_import_vivado.tcl +++ b/scripts/FELIX_top/FLX801_FELIX_import_vivado.tcl @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + # # File import script for the FELIX hdl Vivado project # Board: BNL801 (FELIX_ph2_v1 VU9P) diff --git a/scripts/FELIX_top/ci-common.sh b/scripts/FELIX_top/ci-common.sh index a82ca44093d9d6dbe74bd68fd6ad3b31aebd4a70..bdf70986c6dfa37c40e64c7a0530740a669ec515 100644 --- a/scripts/FELIX_top/ci-common.sh +++ b/scripts/FELIX_top/ci-common.sh @@ -1,4 +1,21 @@ #!/bin/sh +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + if [ -f /localstore/et/Xilinx/Vivado/2020.1/settings64.sh ]; then #Vivado at Nikhef machines, is installed in /localstore export XILINXD_LICENSE_FILE="@192.16.199.39" diff --git a/scripts/FELIX_top/ci-full-24ch.sh b/scripts/FELIX_top/ci-full-24ch.sh index 7ec07fa93eedfa62398c1048ce58402185b1efa7..a943441afa65faa9f53836752f62405aff7c232a 100755 --- a/scripts/FELIX_top/ci-full-24ch.sh +++ b/scripts/FELIX_top/ci-full-24ch.sh @@ -1,4 +1,21 @@ #!/bin/bash +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ./ci-common.sh vivado -mode batch -nojournal -nolog -notrace ../../Projects/FLX712_FELIX/FLX712_FELIX.xpr -source do_implementation_BNL712_FULL_24ch.tcl diff --git a/scripts/FELIX_top/ci-full-4ch.sh b/scripts/FELIX_top/ci-full-4ch.sh index d8cfaa4315995d00562df9c2df15c8598bdfaa25..c233d47a3393aa83a8b657600d32a93f0fcbecdc 100755 --- a/scripts/FELIX_top/ci-full-4ch.sh +++ b/scripts/FELIX_top/ci-full-4ch.sh @@ -1,4 +1,21 @@ #!/bin/bash +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ./ci-common.sh diff --git a/scripts/FELIX_top/ci-full-vc709-si5324.sh b/scripts/FELIX_top/ci-full-vc709-si5324.sh index 70f4580d9b6de3d93e188935dd92162aa56c8de9..afdc63fc690a02d342b85ded89923c1e73fe2c7c 100755 --- a/scripts/FELIX_top/ci-full-vc709-si5324.sh +++ b/scripts/FELIX_top/ci-full-vc709-si5324.sh @@ -1,4 +1,21 @@ #!/bin/bash +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ../FELIX_top/ci-common.sh diff --git a/scripts/FELIX_top/ci-full-vc709.sh b/scripts/FELIX_top/ci-full-vc709.sh index 84a6f77f27edb0cd987ca05cef3bfbb727e0e42c..e411c122ae6fddbf3e5bcf2c5b99e6df7458a1c3 100755 --- a/scripts/FELIX_top/ci-full-vc709.sh +++ b/scripts/FELIX_top/ci-full-vc709.sh @@ -1,4 +1,21 @@ #!/bin/bash +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ../FELIX_top/ci-common.sh diff --git a/scripts/FELIX_top/ci-gbt-24ch-semistatic.sh b/scripts/FELIX_top/ci-gbt-24ch-semistatic.sh index b9ff38da0fb23c7f0be9bbb776dd00e7ef2b7efa..6194c146d429850f4a4b77c19b39e7f84d34304e 100755 --- a/scripts/FELIX_top/ci-gbt-24ch-semistatic.sh +++ b/scripts/FELIX_top/ci-gbt-24ch-semistatic.sh @@ -1,4 +1,21 @@ #!/bin/bash +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ./ci-common.sh diff --git a/scripts/FELIX_top/ci-gbt-24ch.sh b/scripts/FELIX_top/ci-gbt-24ch.sh index b580ba81a275e96a9f4c963ebd7eceaed064650e..a8d30433bf7c6e9630ea07ec866ff5c5cdee0581 100755 --- a/scripts/FELIX_top/ci-gbt-24ch.sh +++ b/scripts/FELIX_top/ci-gbt-24ch.sh @@ -1,4 +1,21 @@ #!/bin/bash +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ./ci-common.sh diff --git a/scripts/FELIX_top/ci-gbt-4ch.sh b/scripts/FELIX_top/ci-gbt-4ch.sh index 912a5ce442bc82e4edee48063400453f7d3ada65..f14237b820bc0d62a3ce2e9c92342b467b47a635 100755 --- a/scripts/FELIX_top/ci-gbt-4ch.sh +++ b/scripts/FELIX_top/ci-gbt-4ch.sh @@ -1,4 +1,21 @@ #!/bin/bash +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ./ci-common.sh diff --git a/scripts/FELIX_top/ci-gbt-vc709-si5324.sh b/scripts/FELIX_top/ci-gbt-vc709-si5324.sh index 816441efe72f4488012bcf43acc2b3b725438e56..3b66caf4a1905e5caacd1a3af93d09890fd083c7 100755 --- a/scripts/FELIX_top/ci-gbt-vc709-si5324.sh +++ b/scripts/FELIX_top/ci-gbt-vc709-si5324.sh @@ -1,4 +1,22 @@ #!/bin/bash +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Elena Zhivun +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ./ci-common.sh diff --git a/scripts/FELIX_top/ci-gbt-vc709.sh b/scripts/FELIX_top/ci-gbt-vc709.sh index bbcb5ecfa2a2ae3d8efbace632cd691c272e745f..82e76d4e30fd8538c1709366df250df8420bfcd8 100755 --- a/scripts/FELIX_top/ci-gbt-vc709.sh +++ b/scripts/FELIX_top/ci-gbt-vc709.sh @@ -1,4 +1,22 @@ #!/bin/bash +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Elena Zhivun +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ./ci-common.sh diff --git a/scripts/FELIX_top/ci-lpgbt-24ch.sh b/scripts/FELIX_top/ci-lpgbt-24ch.sh index 422eb119970fd62b4d3a011212b761975138f043..5e03aefabb7eead3a65ae89efac886f0679ae3b0 100755 --- a/scripts/FELIX_top/ci-lpgbt-24ch.sh +++ b/scripts/FELIX_top/ci-lpgbt-24ch.sh @@ -1,4 +1,21 @@ #!/bin/bash +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ./ci-common.sh diff --git a/scripts/FELIX_top/ci-lpgbt-4ch.sh b/scripts/FELIX_top/ci-lpgbt-4ch.sh index cc6b0ca33209adc64aeb396dbad38f8008fa3b80..a154d0a93f8752c6978c545b2c1e34451682d5a4 100755 --- a/scripts/FELIX_top/ci-lpgbt-4ch.sh +++ b/scripts/FELIX_top/ci-lpgbt-4ch.sh @@ -1,4 +1,21 @@ #!/bin/bash +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ./ci-common.sh diff --git a/scripts/FELIX_top/ci-ltdb-48ch.sh b/scripts/FELIX_top/ci-ltdb-48ch.sh index 7dd5bf5ca4d47f55cf25e7da7a9f03abe2a41b5d..2216134674d2fce011d60b8548b664acafd2c355 100755 --- a/scripts/FELIX_top/ci-ltdb-48ch.sh +++ b/scripts/FELIX_top/ci-ltdb-48ch.sh @@ -1,4 +1,21 @@ #!/bin/bash +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ./ci-common.sh diff --git a/scripts/FELIX_top/ci-pixel-24ch.sh b/scripts/FELIX_top/ci-pixel-24ch.sh index 81853b5c90c365735af787bca7d157b5b873fc0e..c049c1e3cec5306ed06011591f1c9ded7cc329c3 100755 --- a/scripts/FELIX_top/ci-pixel-24ch.sh +++ b/scripts/FELIX_top/ci-pixel-24ch.sh @@ -1,4 +1,21 @@ #!/bin/bash +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ./ci-common.sh vivado -mode batch -nojournal -nolog -notrace ../../Projects/FLX712_FELIX/FLX712_FELIX.xpr -source do_implementation_BNL712_pixel_24ch.tcl diff --git a/scripts/FELIX_top/ci-pixel-4ch.sh b/scripts/FELIX_top/ci-pixel-4ch.sh index ff7dcecf2f172d91cd435162c1acc5922e7fcfb0..10f14b48edf91f9d37289b1cfc46ad4ee652c1e8 100755 --- a/scripts/FELIX_top/ci-pixel-4ch.sh +++ b/scripts/FELIX_top/ci-pixel-4ch.sh @@ -1,4 +1,21 @@ #!/bin/bash +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ./ci-common.sh diff --git a/scripts/FELIX_top/ci-strips-24ch.sh b/scripts/FELIX_top/ci-strips-24ch.sh index 4c27d1c8f04c7089096e986868dc58da4b7b8c1a..cbd8db383d20742e7516b4a1a0350be41424343b 100755 --- a/scripts/FELIX_top/ci-strips-24ch.sh +++ b/scripts/FELIX_top/ci-strips-24ch.sh @@ -1,4 +1,21 @@ #!/bin/bash +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ./ci-common.sh diff --git a/scripts/FELIX_top/ci-strips-4ch.sh b/scripts/FELIX_top/ci-strips-4ch.sh index 6cd8b70ccaa8f7325e49fa2db9f7576a4fb39b7e..c558af60c6fc5b8708105cce1417fca883df9e1d 100755 --- a/scripts/FELIX_top/ci-strips-4ch.sh +++ b/scripts/FELIX_top/ci-strips-4ch.sh @@ -1,4 +1,21 @@ #!/bin/bash +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ./ci-common.sh diff --git a/scripts/FELIX_top/ci_felig.sh b/scripts/FELIX_top/ci_felig.sh index 2d63e12026e7791a6509013a01e523e32fc8770a..a542f0bbf80317d3f5f161cec80f97ef5d57f500 100755 --- a/scripts/FELIX_top/ci_felig.sh +++ b/scripts/FELIX_top/ci_felig.sh @@ -1,4 +1,21 @@ #!/bin/bash +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + if [ -f /localstore/et/Xilinx/Vivado/2020.1/settings64.sh ]; then #Vivado at Nikhef machines, is installed in /localstore diff --git a/scripts/FELIX_top/do_implementation_BNL711_DUNE.tcl b/scripts/FELIX_top/do_implementation_BNL711_DUNE.tcl index affe6b9826c2faa8795f7326532d8206a7ebf682..b103d370da87df5a6ddf09c3623baf60cb041f3a 100644 --- a/scripts/FELIX_top/do_implementation_BNL711_DUNE.tcl +++ b/scripts/FELIX_top/do_implementation_BNL711_DUNE.tcl @@ -1,3 +1,28 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Kai Chen +# Weihao Wu +# Andrea Borga +# RHabraken +# Mesfin Gebyehu +# Enrico Gamberini +# Frans Schreuder +# William Wulff +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + # Implementation script for BNL711 Fullmode (DUNE configuration) # Get defaults diff --git a/scripts/FELIX_top/do_implementation_BNL711_FULL.tcl b/scripts/FELIX_top/do_implementation_BNL711_FULL.tcl index 7838411585111ae7e36960135e945780556d5626..65a949240ef24d4517e07c40b58131f9a9521fa5 100644 --- a/scripts/FELIX_top/do_implementation_BNL711_FULL.tcl +++ b/scripts/FELIX_top/do_implementation_BNL711_FULL.tcl @@ -1,3 +1,28 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Kai Chen +# Weihao Wu +# Andrea Borga +# RHabraken +# Mesfin Gebyehu +# Enrico Gamberini +# Frans Schreuder +# William Wulff +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ../helper/do_implementation_pre.tcl set GENERATE_FM_WRAP true diff --git a/scripts/FELIX_top/do_implementation_BNL711_GBT.tcl b/scripts/FELIX_top/do_implementation_BNL711_GBT.tcl index 4ba3507636dab75fe260cb8aba0097e9ac14b30f..49658479aa855011da68725ba292c6e73946dba1 100644 --- a/scripts/FELIX_top/do_implementation_BNL711_GBT.tcl +++ b/scripts/FELIX_top/do_implementation_BNL711_GBT.tcl @@ -1,3 +1,25 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Andrea Borga +# Kai Chen +# Israel Grayzman +# RHabraken +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ../helper/do_implementation_pre.tcl #set STOP_TO_ADD_ILA to 1 in order to stop after synthesis phase and add an ILA diff --git a/scripts/FELIX_top/do_implementation_BNL711_GBT_8CH.tcl b/scripts/FELIX_top/do_implementation_BNL711_GBT_8CH.tcl index 5e6f12bb0768a1eb7282d0507955d237a7fd17c4..713d022379a2f377ece719cfd19070cbcf0b4300 100644 --- a/scripts/FELIX_top/do_implementation_BNL711_GBT_8CH.tcl +++ b/scripts/FELIX_top/do_implementation_BNL711_GBT_8CH.tcl @@ -1,3 +1,24 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Andrea Borga +# Israel Grayzman +# Kai Chen +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ../helper/do_implementation_pre.tcl #Uncomment in order to stop after synthesis, so ILA probes can be added. #set STOP_TO_ADD_ILA 1 diff --git a/scripts/FELIX_top/do_implementation_BNL711_ltdb_24ch.tcl b/scripts/FELIX_top/do_implementation_BNL711_ltdb_24ch.tcl index bee16185e7f8a8d7ad09174755b15bef87aa839d..a536f09af1a4bd4927f4a6e7fc4d452bbc2357d0 100644 --- a/scripts/FELIX_top/do_implementation_BNL711_ltdb_24ch.tcl +++ b/scripts/FELIX_top/do_implementation_BNL711_ltdb_24ch.tcl @@ -1,3 +1,24 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Andrea Borga +# Kai Chen +# Israel Grayzman +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ../helper/do_implementation_pre.tcl #Uncomment in order to stop after synthesis, so ILA probes can be added. #set STOP_TO_ADD_ILA 1 diff --git a/scripts/FELIX_top/do_implementation_BNL712_DUNE.tcl b/scripts/FELIX_top/do_implementation_BNL712_DUNE.tcl index 9c2f256cc65b3c4e9d1aecd45f7f83358c014ce2..cfd5fedd710315f0126db8916502107c08c4925f 100644 --- a/scripts/FELIX_top/do_implementation_BNL712_DUNE.tcl +++ b/scripts/FELIX_top/do_implementation_BNL712_DUNE.tcl @@ -1,3 +1,26 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# William Wulff +# Frans Schreuder +# Alessandro Palombi +# Filiberto Bonini +# Alessandro Thea +# Nico Giangiacomi +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + # Implementation script for BNL712 Fullmode (DUNE configuration) # Get defaults diff --git a/scripts/FELIX_top/do_implementation_BNL712_FEI4.tcl b/scripts/FELIX_top/do_implementation_BNL712_FEI4.tcl index 722eea43189cabbbf87aa7c77cb583b2520049f0..6da73a53e6f431983abc7fa1b89fbdf050d59157 100644 --- a/scripts/FELIX_top/do_implementation_BNL712_FEI4.tcl +++ b/scripts/FELIX_top/do_implementation_BNL712_FEI4.tcl @@ -1,3 +1,27 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Andrea Borga +# Kai Chen +# Weihao Wu +# RHabraken +# Israel Grayzman +# Frans Schreuder +# Marius Wensing +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ../helper/do_implementation_pre.tcl #set STOP_TO_ADD_ILA to 1 in order to stop after synthesis phase and add an ILA diff --git a/scripts/FELIX_top/do_implementation_BNL712_FELIG.tcl b/scripts/FELIX_top/do_implementation_BNL712_FELIG.tcl index d3ac7aab683ddd6dd479cd019a1e150abc415a7d..5b1f8b9b6b308769175d88a8e8f7b6c5db393406 100644 --- a/scripts/FELIX_top/do_implementation_BNL712_FELIG.tcl +++ b/scripts/FELIX_top/do_implementation_BNL712_FELIG.tcl @@ -1,3 +1,29 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Andrea Borga +# Kai Chen +# Weihao Wu +# RHabraken +# Frans Schreuder +# Israel Grayzman +# Shelfali Saxena +# mtrovato +# Ricardo Luz +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + #to be adapted to FELIG source ../helper/do_implementation_pre.tcl diff --git a/scripts/FELIX_top/do_implementation_BNL712_FULL_24ch.tcl b/scripts/FELIX_top/do_implementation_BNL712_FULL_24ch.tcl index f5fbb303f766ead353586114fde99455352a40b1..048adffec7876e014423582688142086d0cfb2a2 100644 --- a/scripts/FELIX_top/do_implementation_BNL712_FULL_24ch.tcl +++ b/scripts/FELIX_top/do_implementation_BNL712_FULL_24ch.tcl @@ -1,3 +1,26 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Kai Chen +# Weihao Wu +# Andrea Borga +# Enrico Gamberini +# William Wulff +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ../helper/do_implementation_pre.tcl #set STOP_TO_ADD_ILA to 1 in order to stop after synthesis phase and add an ILA diff --git a/scripts/FELIX_top/do_implementation_BNL712_FULL_4ch.tcl b/scripts/FELIX_top/do_implementation_BNL712_FULL_4ch.tcl index ec731e3fc5ae4fc7d752a1041f356822f16e1908..46977266b29e14f47fba0c01daf666e22a0e3553 100644 --- a/scripts/FELIX_top/do_implementation_BNL712_FULL_4ch.tcl +++ b/scripts/FELIX_top/do_implementation_BNL712_FULL_4ch.tcl @@ -1,3 +1,25 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Andrea Borga +# Israel Grayzman +# Kai Chen +# RHabraken +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ../helper/do_implementation_pre.tcl #set STOP_TO_ADD_ILA to 1 in order to stop after synthesis phase and add an ILA diff --git a/scripts/FELIX_top/do_implementation_BNL712_GBT_24ch.tcl b/scripts/FELIX_top/do_implementation_BNL712_GBT_24ch.tcl index 54f25607cb8a411617a1859f81f9edbceda8aeb6..0b725d65a6907c8b9ccf12f6e5f394803dd4c2e2 100644 --- a/scripts/FELIX_top/do_implementation_BNL712_GBT_24ch.tcl +++ b/scripts/FELIX_top/do_implementation_BNL712_GBT_24ch.tcl @@ -1,3 +1,26 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Andrea Borga +# Kai Chen +# Weihao Wu +# RHabraken +# Israel Grayzman +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ../helper/do_implementation_pre.tcl #set STOP_TO_ADD_ILA to 1 in order to stop after synthesis phase and add an ILA diff --git a/scripts/FELIX_top/do_implementation_BNL712_GBT_24ch_semistatic.tcl b/scripts/FELIX_top/do_implementation_BNL712_GBT_24ch_semistatic.tcl index 355e690570bdbeaebb3e633d11e028b5e6f22f0a..29d10756db8480194a0ad4bc04d5e910a3e10344 100644 --- a/scripts/FELIX_top/do_implementation_BNL712_GBT_24ch_semistatic.tcl +++ b/scripts/FELIX_top/do_implementation_BNL712_GBT_24ch_semistatic.tcl @@ -1,3 +1,26 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Andrea Borga +# Kai Chen +# Weihao Wu +# RHabraken +# Israel Grayzman +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ../helper/do_implementation_pre.tcl #set STOP_TO_ADD_ILA to 1 in order to stop after synthesis phase and add an ILA diff --git a/scripts/FELIX_top/do_implementation_BNL712_GBT_4ch.tcl b/scripts/FELIX_top/do_implementation_BNL712_GBT_4ch.tcl index 9094970142a62fc75de65e29469ef390379aee37..6ca08c16a1a2e07a548e7e7ebc23d480a0d6d3ae 100644 --- a/scripts/FELIX_top/do_implementation_BNL712_GBT_4ch.tcl +++ b/scripts/FELIX_top/do_implementation_BNL712_GBT_4ch.tcl @@ -1,3 +1,25 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Andrea Borga +# Israel Grayzman +# Kai Chen +# RHabraken +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ../helper/do_implementation_pre.tcl #set STOP_TO_ADD_ILA to 1 in order to stop after synthesis phase and add an ILA diff --git a/scripts/FELIX_top/do_implementation_BNL712_GBT_8ch.tcl b/scripts/FELIX_top/do_implementation_BNL712_GBT_8ch.tcl index c87f61ba809451bc732c135c5a2e1e2df69a2db4..29bf21d91d377dd0dfebbe1493b689bf04ad1e3e 100644 --- a/scripts/FELIX_top/do_implementation_BNL712_GBT_8ch.tcl +++ b/scripts/FELIX_top/do_implementation_BNL712_GBT_8ch.tcl @@ -1,3 +1,25 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Andrea Borga +# Israel Grayzman +# Kai Chen +# RHabraken +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ../helper/do_implementation_pre.tcl #set STOP_TO_ADD_ILA to 1 in order to stop after synthesis phase and add an ILA diff --git a/scripts/FELIX_top/do_implementation_BNL712_LPGBT_24ch.tcl b/scripts/FELIX_top/do_implementation_BNL712_LPGBT_24ch.tcl index e59d58c9e3241daed9cc37db6274ae496667dea6..94af28417a3eeceb4a06852a4e2cbe4bb6d571ca 100644 --- a/scripts/FELIX_top/do_implementation_BNL712_LPGBT_24ch.tcl +++ b/scripts/FELIX_top/do_implementation_BNL712_LPGBT_24ch.tcl @@ -1,3 +1,26 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Kai Chen +# Weihao Wu +# Andrea Borga +# Enrico Gamberini +# William Wulff +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ../helper/do_implementation_pre.tcl #set STOP_TO_ADD_ILA to 1 in order to stop after synthesis phase and add an ILA diff --git a/scripts/FELIX_top/do_implementation_BNL712_LPGBT_4ch.tcl b/scripts/FELIX_top/do_implementation_BNL712_LPGBT_4ch.tcl index 9de0381b9ad3d230fab63f98c6621d5e105f0a99..981e461b802682a1635c8381d0fd5d9f44694ddf 100644 --- a/scripts/FELIX_top/do_implementation_BNL712_LPGBT_4ch.tcl +++ b/scripts/FELIX_top/do_implementation_BNL712_LPGBT_4ch.tcl @@ -1,3 +1,25 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Andrea Borga +# Israel Grayzman +# Kai Chen +# RHabraken +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ../helper/do_implementation_pre.tcl #set STOP_TO_ADD_ILA to 1 in order to stop after synthesis phase and add an ILA diff --git a/scripts/FELIX_top/do_implementation_BNL712_ltdb_48ch.tcl b/scripts/FELIX_top/do_implementation_BNL712_ltdb_48ch.tcl index ea9f2061c7888d491c85ea9899d76857d98e26af..a1adb94f641742089cf44f87b7aa5afe9803a11e 100644 --- a/scripts/FELIX_top/do_implementation_BNL712_ltdb_48ch.tcl +++ b/scripts/FELIX_top/do_implementation_BNL712_ltdb_48ch.tcl @@ -1,3 +1,24 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Andrea Borga +# Kai Chen +# Israel Grayzman +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ../helper/do_implementation_pre.tcl #Uncomment in order to stop after synthesis, so ILA probes can be added. #set STOP_TO_ADD_ILA 1 diff --git a/scripts/FELIX_top/do_implementation_BNL712_pixel_24ch.tcl b/scripts/FELIX_top/do_implementation_BNL712_pixel_24ch.tcl index b8121a23f7330e0b0c00f46fa492d6c36ae93811..8617f8cdad23a0753eaef13ae107a769138fb2b4 100644 --- a/scripts/FELIX_top/do_implementation_BNL712_pixel_24ch.tcl +++ b/scripts/FELIX_top/do_implementation_BNL712_pixel_24ch.tcl @@ -1,3 +1,28 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Andrea Borga +# Weihao Wu +# RHabraken +# Israel Grayzman +# Kai Chen +# dmatakia +# Frans Schreuder +# mtrovato +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ../helper/do_implementation_pre.tcl #set STOP_TO_ADD_ILA to 1 in order to stop after synthesis phase and add an ILA diff --git a/scripts/FELIX_top/do_implementation_BNL712_pixel_4ch.tcl b/scripts/FELIX_top/do_implementation_BNL712_pixel_4ch.tcl index a0543052bababa2163ef86e416380b6883e851c3..e8126c8e19cfdcefde4833506995216203e40bab 100644 --- a/scripts/FELIX_top/do_implementation_BNL712_pixel_4ch.tcl +++ b/scripts/FELIX_top/do_implementation_BNL712_pixel_4ch.tcl @@ -1,3 +1,28 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Andrea Borga +# Weihao Wu +# RHabraken +# Israel Grayzman +# Kai Chen +# dmatakia +# Frans Schreuder +# mtrovato +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ../helper/do_implementation_pre.tcl #set STOP_TO_ADD_ILA to 1 in order to stop after synthesis phase and add an ILA diff --git a/scripts/FELIX_top/do_implementation_BNL712_strips_12ch.tcl b/scripts/FELIX_top/do_implementation_BNL712_strips_12ch.tcl index b835f23369724ea6c0046b11969508a95a90bdaf..718d9325b5a75120d05378f37e355888291f91fc 100644 --- a/scripts/FELIX_top/do_implementation_BNL712_strips_12ch.tcl +++ b/scripts/FELIX_top/do_implementation_BNL712_strips_12ch.tcl @@ -1,3 +1,22 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Elena Zhivun +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + # This script will generate firmware version compatible with ITk Strips source ../helper/do_implementation_pre.tcl diff --git a/scripts/FELIX_top/do_implementation_BNL712_strips_24ch.tcl b/scripts/FELIX_top/do_implementation_BNL712_strips_24ch.tcl index e0c1099863b4f28859c81809aedab0656df0620b..188f95e2a2bf748b070c98dab6915d1fca970ee3 100644 --- a/scripts/FELIX_top/do_implementation_BNL712_strips_24ch.tcl +++ b/scripts/FELIX_top/do_implementation_BNL712_strips_24ch.tcl @@ -1,3 +1,22 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Elena Zhivun +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + # This script will generate firmware version compatible with ITk Strips source ../helper/do_implementation_pre.tcl diff --git a/scripts/FELIX_top/do_implementation_BNL712_strips_4ch.tcl b/scripts/FELIX_top/do_implementation_BNL712_strips_4ch.tcl index ae67fabf4a5cc6ea7c6eb6bc55367261fb4b5e88..821c2cda310a10e5581c177e329df421393c0bcd 100644 --- a/scripts/FELIX_top/do_implementation_BNL712_strips_4ch.tcl +++ b/scripts/FELIX_top/do_implementation_BNL712_strips_4ch.tcl @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Elena Zhivun +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + # This script will generate firmware version compatible with ITk Strips source ../helper/do_implementation_pre.tcl diff --git a/scripts/FELIX_top/do_implementation_BNL712_strips_8ch.tcl b/scripts/FELIX_top/do_implementation_BNL712_strips_8ch.tcl index e200590f1032c7a5a5f7aedac467ca0ccb019a7a..9de09cb4b071823f515374e04f53de783a96ac19 100644 --- a/scripts/FELIX_top/do_implementation_BNL712_strips_8ch.tcl +++ b/scripts/FELIX_top/do_implementation_BNL712_strips_8ch.tcl @@ -1,3 +1,22 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Elena Zhivun +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + # This script will generate firmware version compatible with ITk Strips source ../helper/do_implementation_pre.tcl diff --git a/scripts/FELIX_top/do_implementation_BNL801_FULL.tcl b/scripts/FELIX_top/do_implementation_BNL801_FULL.tcl index 9e5184a68f2e433d241aaceedbc27ac2ed8f5645..1e67d2f3f17c5e8e01544998893447b286947c17 100644 --- a/scripts/FELIX_top/do_implementation_BNL801_FULL.tcl +++ b/scripts/FELIX_top/do_implementation_BNL801_FULL.tcl @@ -1,3 +1,27 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Kai Chen +# Weihao Wu +# Andrea Borga +# RHabraken +# Mesfin Gebyehu +# Enrico Gamberini +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ../helper/do_implementation_pre.tcl set GENERATE_FM_WRAP true diff --git a/scripts/FELIX_top/do_implementation_HTG710_FULL.tcl b/scripts/FELIX_top/do_implementation_HTG710_FULL.tcl index 584a96a1adb3d079a36c227b93d85596aac0910e..46181b117a93a39de6892296ffe0ff454159f65a 100644 --- a/scripts/FELIX_top/do_implementation_HTG710_FULL.tcl +++ b/scripts/FELIX_top/do_implementation_HTG710_FULL.tcl @@ -1,3 +1,22 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Andrea Borga +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ../helper/do_implementation_pre.tcl set GENERATE_FM_WRAP true diff --git a/scripts/FELIX_top/do_implementation_HTG710_GBT.tcl b/scripts/FELIX_top/do_implementation_HTG710_GBT.tcl index a2c0fdb9d87b8de4961fda19c4bc6ec4d280f09f..e0995e9eede02218d93d5e2124cebfa1f34a15ca 100644 --- a/scripts/FELIX_top/do_implementation_HTG710_GBT.tcl +++ b/scripts/FELIX_top/do_implementation_HTG710_GBT.tcl @@ -1,3 +1,22 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Andrea Borga +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ../helper/do_implementation_pre.tcl ## General settings # -- set to true in order to generate the GBT links diff --git a/scripts/FELIX_top/do_implementation_VC709_DUNE.tcl b/scripts/FELIX_top/do_implementation_VC709_DUNE.tcl index c4ec00204f27610ef9f6e162fa69a3e445320e76..aef556521daa661bec5aae0384ed34e33c617baa 100644 --- a/scripts/FELIX_top/do_implementation_VC709_DUNE.tcl +++ b/scripts/FELIX_top/do_implementation_VC709_DUNE.tcl @@ -1,3 +1,25 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Andrea Borga +# William Wulff +# Frans Schreuder +# Alessandro Palombi +# Nico Giangiacomi +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ../helper/do_implementation_pre.tcl set GENERATE_FM_WRAP true diff --git a/scripts/FELIX_top/do_implementation_VC709_FULL.tcl b/scripts/FELIX_top/do_implementation_VC709_FULL.tcl index 82357983ed469ad9a195b8dce30c07d8c58d1fbf..3912ecd3805067aba21093abcda1ead542edcaeb 100644 --- a/scripts/FELIX_top/do_implementation_VC709_FULL.tcl +++ b/scripts/FELIX_top/do_implementation_VC709_FULL.tcl @@ -1,3 +1,23 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Andrea Borga +# William Wulff +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ../helper/do_implementation_pre.tcl set GENERATE_FM_WRAP true diff --git a/scripts/FELIX_top/do_implementation_VC709_FULL_si5324.tcl b/scripts/FELIX_top/do_implementation_VC709_FULL_si5324.tcl index e9c897d9ffb16f3fdbf07c5f438038b7172f1b2c..cf2eef34ac56ef46c1e1377161a918947ff96e93 100644 --- a/scripts/FELIX_top/do_implementation_VC709_FULL_si5324.tcl +++ b/scripts/FELIX_top/do_implementation_VC709_FULL_si5324.tcl @@ -1,3 +1,23 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Andrea Borga +# William Wulff +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ../helper/do_implementation_pre.tcl set GENERATE_FM_WRAP true diff --git a/scripts/FELIX_top/do_implementation_VC709_GBT.tcl b/scripts/FELIX_top/do_implementation_VC709_GBT.tcl index f45a41042a87a38db58ada34867c4b96741c92bd..0add9eff6abd3eba869940ea1c1f27cd40221984 100755 --- a/scripts/FELIX_top/do_implementation_VC709_GBT.tcl +++ b/scripts/FELIX_top/do_implementation_VC709_GBT.tcl @@ -1,3 +1,26 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Andrea Borga +# Soo Ryu +# RHabraken +# Israel Grayzman +# Frans Schreuder +# Ohad Shaked +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ../helper/do_implementation_pre.tcl #set STOP_TO_ADD_ILA to 1 in order to stop after synthesis phase and add an ILA diff --git a/scripts/FELIX_top/do_implementation_VC709_GBT_si5324.tcl b/scripts/FELIX_top/do_implementation_VC709_GBT_si5324.tcl index 66bf2d3e5e006dc3d4b8d9215b110eb9c7f22ef8..8e77dfe35d9f938d53d3496fdfa29ef6e470c621 100644 --- a/scripts/FELIX_top/do_implementation_VC709_GBT_si5324.tcl +++ b/scripts/FELIX_top/do_implementation_VC709_GBT_si5324.tcl @@ -1,3 +1,26 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Andrea Borga +# Soo Ryu +# RHabraken +# Israel Grayzman +# Ohad Shaked +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ../helper/do_implementation_pre.tcl #set STOP_TO_ADD_ILA to 1 in order to stop after synthesis phase and add an ILA diff --git a/scripts/FELIX_top/do_implementation_VCU128_FULL.tcl b/scripts/FELIX_top/do_implementation_VCU128_FULL.tcl index 31ac260f766cd066346844ad62e33fb0e09ae3b8..48236db2e7b73c13c83aee904371bc5bac96eb45 100644 --- a/scripts/FELIX_top/do_implementation_VCU128_FULL.tcl +++ b/scripts/FELIX_top/do_implementation_VCU128_FULL.tcl @@ -1,3 +1,27 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Kai Chen +# Weihao Wu +# Andrea Borga +# RHabraken +# Mesfin Gebyehu +# Enrico Gamberini +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ../helper/do_implementation_pre.tcl set GENERATE_FM_WRAP true diff --git a/scripts/FELIX_top/do_implementation_VMK180_FULL.tcl b/scripts/FELIX_top/do_implementation_VMK180_FULL.tcl index 872fe385ca66c2706f4062ccb16f1f111cb10c13..027f09c0ec869ff55a91bd7ec2b0f085f591b199 100644 --- a/scripts/FELIX_top/do_implementation_VMK180_FULL.tcl +++ b/scripts/FELIX_top/do_implementation_VMK180_FULL.tcl @@ -1,3 +1,28 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Kai Chen +# Weihao Wu +# Andrea Borga +# RHabraken +# Mesfin Gebyehu +# Enrico Gamberini +# William Wulff +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ../helper/do_implementation_pre.tcl set GENERATE_FM_WRAP true diff --git a/scripts/FMEmu_top/FLX711_FMEMU_import_vivado.tcl b/scripts/FMEmu_top/FLX711_FMEMU_import_vivado.tcl index 20ac9a3679b06ea0341be184e2e3218da3aea407..7c1614b54d38c204f36826fb611b2c821e493333 100644 --- a/scripts/FMEmu_top/FLX711_FMEMU_import_vivado.tcl +++ b/scripts/FMEmu_top/FLX711_FMEMU_import_vivado.tcl @@ -1,3 +1,22 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Mesfin Gebyehu +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + # # File import script for the FMEmu hdl Vivado project # Board: BNL711 diff --git a/scripts/FMEmu_top/FLX712_FMEMU_import_vivado.tcl b/scripts/FMEmu_top/FLX712_FMEMU_import_vivado.tcl index 6d136b6e208d0febe5abd9fbeba9cf5d723b96b5..f7009ac9ba48b01cae23696b38e801c8fe25112d 100644 --- a/scripts/FMEmu_top/FLX712_FMEMU_import_vivado.tcl +++ b/scripts/FMEmu_top/FLX712_FMEMU_import_vivado.tcl @@ -1,3 +1,22 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Mesfin Gebyehu +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + # # File import script for the FMEmu hdl Vivado project # Board: BNL712 diff --git a/scripts/FMEmu_top/FMEMU_import_sigasi.tcl b/scripts/FMEmu_top/FMEMU_import_sigasi.tcl index 35c5914dfa9c772efe198bd179c4b57f6191fe3e..229ecfc28aa2a87b81c289a8c3b76e37a41545ae 100644 --- a/scripts/FMEmu_top/FMEMU_import_sigasi.tcl +++ b/scripts/FMEmu_top/FMEMU_import_sigasi.tcl @@ -1,3 +1,22 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# Mesfin Gebyehu +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + # # File import script for the FELIX hdl Vivado project # Board: BNL712 diff --git a/scripts/FMEmu_top/ci.sh b/scripts/FMEmu_top/ci.sh index a3cb5131b8e8d8c3d115ac34e23f5f337802832a..24d21c1de32fc6d96eb1496fa00810c510bad5e2 100755 --- a/scripts/FMEmu_top/ci.sh +++ b/scripts/FMEmu_top/ci.sh @@ -1,4 +1,21 @@ #!/bin/bash +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ../FELIX_top/ci-common.sh diff --git a/scripts/FMEmu_top/do_implementation_BNL711_EMU.tcl b/scripts/FMEmu_top/do_implementation_BNL711_EMU.tcl index 0b8f50c4d5924935e9e62975d791bbe5bfa464be..c978534fae25958ea2cec7b943ffa80098b8e9cd 100644 --- a/scripts/FMEmu_top/do_implementation_BNL711_EMU.tcl +++ b/scripts/FMEmu_top/do_implementation_BNL711_EMU.tcl @@ -1,3 +1,26 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Kai Chen +# Weihao Wu +# Andrea Borga +# Frans Schreuder +# Enrico Gamberini +# Mesfin Gebyehu +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ../helper/do_implementation_pre.tcl #Uncomment in order to stop after synthesis, so ILA probes can be added. #set STOP_TO_ADD_ILA 1 diff --git a/scripts/FMEmu_top/do_implementation_BNL712_EMU.tcl b/scripts/FMEmu_top/do_implementation_BNL712_EMU.tcl index 4e2a4f27f0ca4207d48229b552dd73149809d078..4b564f56ed62a41a9331532ab55d3dbb6f901d3c 100755 --- a/scripts/FMEmu_top/do_implementation_BNL712_EMU.tcl +++ b/scripts/FMEmu_top/do_implementation_BNL712_EMU.tcl @@ -1,3 +1,26 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Kai Chen +# Weihao Wu +# Andrea Borga +# Frans Schreuder +# Enrico Gamberini +# Mesfin Gebyehu +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ../helper/do_implementation_pre.tcl #Uncomment in order to stop after synthesis, so ILA probes can be added. #set STOP_TO_ADD_ILA 1 diff --git a/scripts/FMEmu_top/do_implementation_VC709.tcl b/scripts/FMEmu_top/do_implementation_VC709.tcl index c12bd206a362fdc163f6f3624d69db340d318ada..4b6e61e06aaba4aa1bc29a9190a4add332a5629d 100644 --- a/scripts/FMEmu_top/do_implementation_VC709.tcl +++ b/scripts/FMEmu_top/do_implementation_VC709.tcl @@ -1,3 +1,24 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Andrea Borga +# Soo Ryu +# Frans Schreuder +# RHabraken +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ../helper/do_implementation_pre.tcl ## General settings # -- set to true in order to generate the GBT links diff --git a/scripts/FMEmu_top/vivado_import_felix.tcl b/scripts/FMEmu_top/vivado_import_felix.tcl index ac17648ab3be4e996ed83b131c5d371529be99f1..26b44e668dddd6699fa7fa8fa50ad9c8f0396fc5 100644 --- a/scripts/FMEmu_top/vivado_import_felix.tcl +++ b/scripts/FMEmu_top/vivado_import_felix.tcl @@ -1,3 +1,24 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Andrea Borga +# Julia Narevicius +# Frans Schreuder +# RHabraken +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + # # File import script for the FELIX hdl project # Modified for FMEmu_top diff --git a/scripts/FM_UserExample/do_implementation_VC707.tcl b/scripts/FM_UserExample/do_implementation_VC707.tcl index f2250a5375cae9c5ad457d1f322b671887b37e7f..20760420e5730c2fc02d21b066ca982ed3ae8b77 100644 --- a/scripts/FM_UserExample/do_implementation_VC707.tcl +++ b/scripts/FM_UserExample/do_implementation_VC707.tcl @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + set IMPL_RUN [get_runs impl*] set SYNTH_RUN [get_runs synth*] set scriptdir [pwd] diff --git a/scripts/FM_UserExample/do_implementation_VC709.tcl b/scripts/FM_UserExample/do_implementation_VC709.tcl index 04d23d2306074a13d3876f8a940b628ca2e03813..66cdf3c8777d1d6daea8fff2a7efb5b9f13a4dd3 100644 --- a/scripts/FM_UserExample/do_implementation_VC709.tcl +++ b/scripts/FM_UserExample/do_implementation_VC709.tcl @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + set IMPL_RUN [get_runs impl*] set SYNTH_RUN [get_runs synth*] set scriptdir [pwd] diff --git a/scripts/FM_UserExample/vivado_import_felix_VC707.tcl b/scripts/FM_UserExample/vivado_import_felix_VC707.tcl index 4d2ea35c8e6ff1619922c7d3a5a98bd58b8c9827..0a36922319790342be4429a221a8112977311789 100644 --- a/scripts/FM_UserExample/vivado_import_felix_VC707.tcl +++ b/scripts/FM_UserExample/vivado_import_felix_VC707.tcl @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + # # File import script for the FELIX hdl project # diff --git a/scripts/FM_UserExample/vivado_import_felix_VC709.tcl b/scripts/FM_UserExample/vivado_import_felix_VC709.tcl index fb88c63615bc9d550235dbae75745244d1fcc224..4c15faaedbda27bb7195e58c583f29fd66085836 100644 --- a/scripts/FM_UserExample/vivado_import_felix_VC709.tcl +++ b/scripts/FM_UserExample/vivado_import_felix_VC709.tcl @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + # # File import script for the FELIX hdl project # diff --git a/scripts/MROD_top/EMU/FLX712_MROD_EMU_implement_vivado.tcl b/scripts/MROD_top/EMU/FLX712_MROD_EMU_implement_vivado.tcl index b1e1ee0de67bb32991faf6184b94d659133656a0..dcf0d54e95246c122c788742ef0075a4a251f890 100644 --- a/scripts/MROD_top/EMU/FLX712_MROD_EMU_implement_vivado.tcl +++ b/scripts/MROD_top/EMU/FLX712_MROD_EMU_implement_vivado.tcl @@ -1,3 +1,28 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Andrea Borga +# Kai Chen +# Weihao Wu +# RHabraken +# Israel Grayzman +# Frans Schreuder +# Rene +# Thei Wijnen +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + # FLX712_MROD_EMU_implement_vivado.tcl source ../helper/do_implementation_pre.tcl diff --git a/scripts/MROD_top/EMU/FLX712_MROD_EMU_import_vivado.tcl b/scripts/MROD_top/EMU/FLX712_MROD_EMU_import_vivado.tcl index e6b0eafd83391f9c7ddfb8af140e9a795226fb87..4bfc12c5e943fe44f90894ec483da925ad39bde8 100644 --- a/scripts/MROD_top/EMU/FLX712_MROD_EMU_import_vivado.tcl +++ b/scripts/MROD_top/EMU/FLX712_MROD_EMU_import_vivado.tcl @@ -1,3 +1,22 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Rene +# Thei Wijnen +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + # FLX712_MROD_EMU_import_vivado.tcl # # File import script for the FELIX hdl Vivado project diff --git a/scripts/MROD_top/EMU/felix_mrod_blocks_fileset_EMU.tcl b/scripts/MROD_top/EMU/felix_mrod_blocks_fileset_EMU.tcl index f6ba431f063e6cc029ab59baebdc30ae17a04935..b9879fe6d542408cb52ce5a4b50e6c834c472b89 100644 --- a/scripts/MROD_top/EMU/felix_mrod_blocks_fileset_EMU.tcl +++ b/scripts/MROD_top/EMU/felix_mrod_blocks_fileset_EMU.tcl @@ -1,3 +1,22 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Rene +# Thei Wijnen +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + # felix_mrod_blocks_fileset_EMU.tcl set VHDL_FILES [concat $VHDL_FILES \ diff --git a/scripts/MROD_top/EMU/felix_mrod_toplevel_fileset_EMU.tcl b/scripts/MROD_top/EMU/felix_mrod_toplevel_fileset_EMU.tcl index 813836294b998be40abe26308ad13088141df28b..86d6884fc128c9b3fd70d2fb9cfa18c3a0171b5c 100644 --- a/scripts/MROD_top/EMU/felix_mrod_toplevel_fileset_EMU.tcl +++ b/scripts/MROD_top/EMU/felix_mrod_toplevel_fileset_EMU.tcl @@ -1,3 +1,22 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Rene +# Thei Wijnen +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + # felix_mrod_toplevel_fileset_EMU.tcl set VHDL_FILES_KU [concat $VHDL_FILES_KU \ diff --git a/scripts/MROD_top/FLX712_MROD_implement_vivado.tcl b/scripts/MROD_top/FLX712_MROD_implement_vivado.tcl index f48f97cc8bedf0df481eb89eb0060b9bc8a86e09..ae09c74b413d81cc91b8fd68a3893551291aeeda 100644 --- a/scripts/MROD_top/FLX712_MROD_implement_vivado.tcl +++ b/scripts/MROD_top/FLX712_MROD_implement_vivado.tcl @@ -1,3 +1,28 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Andrea Borga +# Kai Chen +# Weihao Wu +# RHabraken +# Israel Grayzman +# Frans Schreuder +# Thei Wijnen +# Rene +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + # FLX712_MROD_implement_vivado.tcl source ../helper/do_implementation_pre.tcl diff --git a/scripts/MROD_top/FLX712_MROD_import_vivado.tcl b/scripts/MROD_top/FLX712_MROD_import_vivado.tcl index b51af7d5c17b9a2724391edff0fc6c18bc95fbb1..c4a064fca8d880b28ed60b43ba007a4aa0a5959f 100644 --- a/scripts/MROD_top/FLX712_MROD_import_vivado.tcl +++ b/scripts/MROD_top/FLX712_MROD_import_vivado.tcl @@ -1,3 +1,23 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# Rene +# Thei Wijnen +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + # FLX712_MROD_import_vivado.tcl # # File import script for the FELIX hdl Vivado project diff --git a/scripts/MROD_top/ci.sh b/scripts/MROD_top/ci.sh index 189883d0052fd273c8dd308f2a869d57d3dc9147..bb3b0e49df2f46ab1365ae89765a20c515c14733 100755 --- a/scripts/MROD_top/ci.sh +++ b/scripts/MROD_top/ci.sh @@ -1,4 +1,21 @@ #!/bin/bash +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + if [ -f /localstore/et/Xilinx/Vivado/2020.1/settings64.sh ]; then #Vivado at Nikhef machines, is installed in /localstore diff --git a/scripts/MROD_top/daily.sh b/scripts/MROD_top/daily.sh index c31a9944e10a40fdc170dc212f06d125e09cc542..9ab01891169c451ba6495f8a78df721dbe7faeeb 100755 --- a/scripts/MROD_top/daily.sh +++ b/scripts/MROD_top/daily.sh @@ -1,4 +1,24 @@ #!/bin/bash +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# RHabraken +# Thei Wijnen +# Rene +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + git pull GITVERSION=$(git describe --tags) diff --git a/scripts/Wupper/VC709_import_vivado.tcl b/scripts/Wupper/VC709_import_vivado.tcl index 962b62ce63e9c794ca50293fc01f162446bf46c1..63c30c3ae83cc52a7020b99295e35a3ed0bfdd52 100644 --- a/scripts/Wupper/VC709_import_vivado.tcl +++ b/scripts/Wupper/VC709_import_vivado.tcl @@ -1,3 +1,23 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Nayib Boukadida +# Frans Schreuder +# Alessandro Thea +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + # # File import script for the FELIX hdl Vivado project # Board: VCU128 diff --git a/scripts/Wupper/VCU128_import_vivado.tcl b/scripts/Wupper/VCU128_import_vivado.tcl index f60fb546332c123774dc4889c054c116b1fd50b6..8745a3538e9981c37670442b3f490f5e9c42eaa0 100644 --- a/scripts/Wupper/VCU128_import_vivado.tcl +++ b/scripts/Wupper/VCU128_import_vivado.tcl @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Nayib Boukadida +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + # # File import script for the FELIX hdl Vivado project # Board: VCU128 diff --git a/scripts/Wupper/XUPP3R_VU9P_import_vivado.tcl b/scripts/Wupper/XUPP3R_VU9P_import_vivado.tcl index 797c81ae3332208cf4df85154070e71dc21e483b..5c57662503a2281915f2f5be9fd159c29f260976 100644 --- a/scripts/Wupper/XUPP3R_VU9P_import_vivado.tcl +++ b/scripts/Wupper/XUPP3R_VU9P_import_vivado.tcl @@ -1,3 +1,22 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Nayib Boukadida +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + # # File import script for the FELIX hdl Vivado project # Board: VCU128 diff --git a/scripts/Wupper/do_implementation_VC709.tcl b/scripts/Wupper/do_implementation_VC709.tcl index e611a55c1ad9e8dce6941c04c77ad1a10a2d389b..ca6ab9fb21d7982aa1782a526ddc77b890aae20f 100644 --- a/scripts/Wupper/do_implementation_VC709.tcl +++ b/scripts/Wupper/do_implementation_VC709.tcl @@ -1,3 +1,23 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Nayib Boukadida +# Frans Schreuder +# Alessandro Thea +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ../helper/do_implementation_pre.tcl #Uncomment in order to stop after synthesis, so ILA probes can be added. #set STOP_TO_ADD_ILA 1 diff --git a/scripts/Wupper/do_implementation_VCU128.tcl b/scripts/Wupper/do_implementation_VCU128.tcl index 8861204849d9389c137c9b8819aca81471c44f6e..22c7f9445ea7dee52fe4d53db7934f5efbdb9125 100644 --- a/scripts/Wupper/do_implementation_VCU128.tcl +++ b/scripts/Wupper/do_implementation_VCU128.tcl @@ -1,3 +1,22 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Nayib Boukadida +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ../helper/do_implementation_pre.tcl #Uncomment in order to stop after synthesis, so ILA probes can be added. #set STOP_TO_ADD_ILA 1 diff --git a/scripts/Wupper/do_implementation_XUPP3R_VU9P.tcl b/scripts/Wupper/do_implementation_XUPP3R_VU9P.tcl index 93cf8f134e464d00099442fdcc06286732872039..4686d6872fafa49e2a0cd2fe14a7912b44a85220 100644 --- a/scripts/Wupper/do_implementation_XUPP3R_VU9P.tcl +++ b/scripts/Wupper/do_implementation_XUPP3R_VU9P.tcl @@ -1,3 +1,22 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Nayib Boukadida +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ../helper/do_implementation_pre.tcl #Uncomment in order to stop after synthesis, so ILA probes can be added. #set STOP_TO_ADD_ILA 1 diff --git a/scripts/felixUserSupport/copy_FULLmodeInterface_sources.tcl b/scripts/felixUserSupport/copy_FULLmodeInterface_sources.tcl index 8955e56989b66e28203912f65db358889946d1e5..5347905077f00bb51ae55ebdf2348e229daa1660 100644 --- a/scripts/felixUserSupport/copy_FULLmodeInterface_sources.tcl +++ b/scripts/felixUserSupport/copy_FULLmodeInterface_sources.tcl @@ -1,3 +1,22 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Julia Narevicius +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + # # project sources copy script for FullModeUserInterface # diff --git a/scripts/felixUserSupport/copy_elinkInterface_sources.tcl b/scripts/felixUserSupport/copy_elinkInterface_sources.tcl index 89d92c7677fcb11fc1ecdc55c220a6badf76e4ff..2b363cf8c11398a0f8f34a6c50798d737864089d 100755 --- a/scripts/felixUserSupport/copy_elinkInterface_sources.tcl +++ b/scripts/felixUserSupport/copy_elinkInterface_sources.tcl @@ -1,4 +1,23 @@ #!/usr/bin/tclsh +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Julia Narevicius +# Israel Grayzman +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + # project sources copy script for elinkInterface # # diff --git a/scripts/felixUserSupport/create_tar_FULLmodeInterfaceSources.sh b/scripts/felixUserSupport/create_tar_FULLmodeInterfaceSources.sh index 26cdadecd23c83374c956475c3ac0e24b9ad4fd9..33ebeffb73ed1b0b40b7ab1a12f3e08b89b725a4 100755 --- a/scripts/felixUserSupport/create_tar_FULLmodeInterfaceSources.sh +++ b/scripts/felixUserSupport/create_tar_FULLmodeInterfaceSources.sh @@ -1,4 +1,23 @@ #!/bin/bash +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Mark Donszelmann +# Frans Schreuder +# RHabraken +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + set -e # # create examples tar.gz file diff --git a/scripts/felixUserSupport/create_tar_elinkInterfaceSources.sh b/scripts/felixUserSupport/create_tar_elinkInterfaceSources.sh index 8460e0db59681d8d76dde35de6b5413b89509e7b..d24edbabd67275b65cc25ca7131473d12e062cd6 100755 --- a/scripts/felixUserSupport/create_tar_elinkInterfaceSources.sh +++ b/scripts/felixUserSupport/create_tar_elinkInterfaceSources.sh @@ -1,4 +1,22 @@ #!/bin/bash +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Mark Donszelmann +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + set -e # # create examples tar.gz file diff --git a/scripts/felixUserSupport/vivado_project_gen_elinkInterface.tcl b/scripts/felixUserSupport/vivado_project_gen_elinkInterface.tcl index 2d3518ea7903c03203333a54eb9e83d84eef25a3..732fce9bfccbf3ba8a024b365dbceb8453ad118e 100644 --- a/scripts/felixUserSupport/vivado_project_gen_elinkInterface.tcl +++ b/scripts/felixUserSupport/vivado_project_gen_elinkInterface.tcl @@ -1,3 +1,22 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Julia Narevicius +# Israel Grayzman +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + # # File import script for the FELIX hdl project # generates project for stand alone elinkInterface diff --git a/scripts/filesets/64b66b_fileset.tcl b/scripts/filesets/64b66b_fileset.tcl index eaec9527a28a103b98e3f5c0e0f70dc6d61ecd06..b592bf22ac8ebf3307fcdfd0f854c38e13442264 100644 --- a/scripts/filesets/64b66b_fileset.tcl +++ b/scripts/filesets/64b66b_fileset.tcl @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# mtrovato +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + set VERILOG_FILES [concat $VERILOG_FILES \ 64b66b/64b66b_block_sync_sm.v \ 64b66b/64b66b_cdc_sync.v \ diff --git a/scripts/filesets/UVVM_fileset.tcl b/scripts/filesets/UVVM_fileset.tcl index 6b99e130c4530dfcd9cc8de829f36f254bf27116..d925fc03c2b383ca63d50adc8112470206fc80be 100644 --- a/scripts/filesets/UVVM_fileset.tcl +++ b/scripts/filesets/UVVM_fileset.tcl @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + set SIM_FILES [concat $SIM_FILES \ UVVMtests/sim/vip_egr/egr_vvc.vhd \ UVVMtests/sim/vip_egr/vvc_methods_pkg.vhd \ diff --git a/scripts/filesets/crFromHost_fileset.tcl b/scripts/filesets/crFromHost_fileset.tcl index fb50d6fe91fb5c2f952f03b16efa7425c845b98a..54907f9c6394c9797ef06c6bf5df1edf38527062 100644 --- a/scripts/filesets/crFromHost_fileset.tcl +++ b/scripts/filesets/crFromHost_fileset.tcl @@ -1,3 +1,22 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Marius Wensing +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + set VHDL_FILES [concat $VHDL_FILES \ packages/centralRouter_package.vhd \ templates/pcie_package.vhd \ diff --git a/scripts/filesets/crToHost_fileset.tcl b/scripts/filesets/crToHost_fileset.tcl index a7542ea4681dcc111ff17af3d804e85cf45d1f94..df1354b082e0d62d48da29198efa1088669afa4c 100644 --- a/scripts/filesets/crToHost_fileset.tcl +++ b/scripts/filesets/crToHost_fileset.tcl @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + set VHDL_FILES [concat $VHDL_FILES \ packages/FELIX_package.vhd \ packages/centralRouter_package.vhd \ diff --git a/scripts/filesets/decoding_fileset.tcl b/scripts/filesets/decoding_fileset.tcl index 0e255dfd3e12e6c10855432da370c102baa71a31..8bfdf9464f9a04d54f84907ca871d7e14d5555c8 100644 --- a/scripts/filesets/decoding_fileset.tcl +++ b/scripts/filesets/decoding_fileset.tcl @@ -1,3 +1,23 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Marco +# mtrovato +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + set VHDL_FILES [concat $VHDL_FILES \ packages/axi_stream_package.vhd \ packages/centralRouter_package.vhd \ diff --git a/scripts/filesets/encoding_fileset.tcl b/scripts/filesets/encoding_fileset.tcl index f657bbd7df6c0714c81039c70985fe6a351e9a0f..efe8a1443af2b7510dff6fc794d30d6bfa382dc5 100644 --- a/scripts/filesets/encoding_fileset.tcl +++ b/scripts/filesets/encoding_fileset.tcl @@ -1,3 +1,25 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Kazuki Todome +# Nico Giangiacomi +# Marius Wensing +# mtrovato +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + set VHDL_FILES [concat $VHDL_FILES \ packages/axi_stream_package.vhd \ packages/centralRouter_package.vhd \ diff --git a/scripts/filesets/encrd53_fileset.tcl b/scripts/filesets/encrd53_fileset.tcl index 116c0394a203661f33ee4849470d13a206600518..7d61fbcd91621cc0100c7615b8b4e773d00ae8e2 100644 --- a/scripts/filesets/encrd53_fileset.tcl +++ b/scripts/filesets/encrd53_fileset.tcl @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# mtrovato +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + set VHDL_FILES [concat $VHDL_FILES \ RD53A/newtriggerunit.vhd \ RD53A/rd53a_package.vhd \ diff --git a/scripts/filesets/endeavour_fileset.tcl b/scripts/filesets/endeavour_fileset.tcl index d90f708460e9023c1a7a9554aa15870302c814e4..d3f307bef73c053c396478f0f8c4299080757f2b 100644 --- a/scripts/filesets/endeavour_fileset.tcl +++ b/scripts/filesets/endeavour_fileset.tcl @@ -1,3 +1,25 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Jacopo Pinzino +# jacopo pinzino +# Frans Schreuder +# mtrovato +# Elena Zhivun +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + set VHDL_FILES [concat $VHDL_FILES \ Endeavour/EndeavourPackage.vhd \ Endeavour/EndeavourDecoder.vhd \ diff --git a/scripts/filesets/felig_fileset.tcl b/scripts/filesets/felig_fileset.tcl index 1800f343abf0ff51a6134da559847a47e60605a1..fa3d5a442e3594738d7ed8dbe0ed664b81581fd0 100644 --- a/scripts/filesets/felig_fileset.tcl +++ b/scripts/filesets/felig_fileset.tcl @@ -1,3 +1,24 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Shelfali Saxena +# mtrovato +# Frans Schreuder +# Ricardo Luz +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + set VHDL_FILES [concat $VHDL_FILES \ feligHG710/data_generator/elink_data_emulator.vhd \ feligHG710/data_generator/elink_packet_generator.vhd \ diff --git a/scripts/filesets/felix_mrod_blocks_fileset.tcl b/scripts/filesets/felix_mrod_blocks_fileset.tcl index ba2464ea7e46c97e77ca42af5b887ce1347b9e81..ffed779d8f8de785b9ab567b3a56e921b7eeecd6 100644 --- a/scripts/filesets/felix_mrod_blocks_fileset.tcl +++ b/scripts/filesets/felix_mrod_blocks_fileset.tcl @@ -1,3 +1,22 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Rene +# Thei Wijnen +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + # felix_mrod_blocks_fileset.tcl set VHDL_FILES [concat $VHDL_FILES \ diff --git a/scripts/filesets/felix_mrod_crfm_fileset.tcl b/scripts/filesets/felix_mrod_crfm_fileset.tcl index a55fee8b6b1b67e11bf76504ffe99b92dd777b16..e715bdef225151f5794019bcc292922dcd43f72a 100644 --- a/scripts/filesets/felix_mrod_crfm_fileset.tcl +++ b/scripts/filesets/felix_mrod_crfm_fileset.tcl @@ -1,3 +1,23 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Rene +# Thei Wijnen +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + # felix_mrod_crfm_fileset.tcl set VHDL_FILES [concat $VHDL_FILES \ diff --git a/scripts/filesets/felix_mrod_housekeeping_fileset.tcl b/scripts/filesets/felix_mrod_housekeeping_fileset.tcl index 31d0d777157998c79fb7d528227a79952ac67f23..eadfad52c4a3395ce7bcbd24f3026c9e8eb77d89 100644 --- a/scripts/filesets/felix_mrod_housekeeping_fileset.tcl +++ b/scripts/filesets/felix_mrod_housekeeping_fileset.tcl @@ -1,3 +1,23 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# Rene +# Thei Wijnen +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + #felix_mrod_housekeeping_fileset.tcl set VHDL_FILES [concat $VHDL_FILES \ diff --git a/scripts/filesets/felix_mrod_toplevel_fileset.tcl b/scripts/filesets/felix_mrod_toplevel_fileset.tcl index fd21e0e3c5bc9ac9656bf31b05d9c41f3f9aeb41..df971684146b09e66cedb0d180b68f99089fd48a 100644 --- a/scripts/filesets/felix_mrod_toplevel_fileset.tcl +++ b/scripts/filesets/felix_mrod_toplevel_fileset.tcl @@ -1,3 +1,22 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Thei Wijnen +# Rene +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + # felix_mrod_toplevel_fileset.tcl set VHDL_FILES_KU [concat $VHDL_FILES_KU \ diff --git a/scripts/filesets/felix_mrod_wupper_fileset.tcl b/scripts/filesets/felix_mrod_wupper_fileset.tcl index 881edb760b55b0adcc87bff345d1b0347d8a8781..ecdc943ce9eec910f44005f1e1f4412a243de52a 100644 --- a/scripts/filesets/felix_mrod_wupper_fileset.tcl +++ b/scripts/filesets/felix_mrod_wupper_fileset.tcl @@ -1,3 +1,24 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Thei Wijnen +# mtrovato +# Frans Schreuder +# Rene +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + # felix_mrod_wupper_fileset.tcl set VHDL_FILES [concat $VHDL_FILES \ diff --git a/scripts/filesets/fmemu_fileset.tcl b/scripts/filesets/fmemu_fileset.tcl index 10acb01189aa1c5473c41b47a14de11bf3fa184b..1012949d945db80049d02b23ab5c3f5ea89af004 100644 --- a/scripts/filesets/fmemu_fileset.tcl +++ b/scripts/filesets/fmemu_fileset.tcl @@ -1,3 +1,22 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Mesfin Gebyehu +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + # ---------------------------------------------------------- #FM EMU .vhd & .xci files # ---------------------------------------------------------- diff --git a/scripts/filesets/fmemu_top_fileset.tcl b/scripts/filesets/fmemu_top_fileset.tcl index f4cdaf7db3150ed0795b14839aca0f4fa03853ec..f9f5598f0ecf4f7e9e02d01b362f5e9869781bd8 100644 --- a/scripts/filesets/fmemu_top_fileset.tcl +++ b/scripts/filesets/fmemu_top_fileset.tcl @@ -1,3 +1,22 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# Mesfin Gebyehu +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + #set VHDL_FILES_V7 [concat $VHDL_FILES_V7 \ # FullModeEmulator/FMEmu_top_vc709.vhd] diff --git a/scripts/filesets/fullmode_emulator_fileset.tcl b/scripts/filesets/fullmode_emulator_fileset.tcl index 139ed7905ed8e4b66e4a42e2063b9041aa482596..af630b01b91c936b323a3aae6016be05afbcc833 100644 --- a/scripts/filesets/fullmode_emulator_fileset.tcl +++ b/scripts/filesets/fullmode_emulator_fileset.tcl @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + set VHDL_FILES [concat $VHDL_FILES \ FullModeDataEmulator/FullModeDataEmulator.vhd] diff --git a/scripts/filesets/fullmode_fanout_fileset.tcl b/scripts/filesets/fullmode_fanout_fileset.tcl index abc214680b40703342b7dde7b87c2a94fd2320b8..ea1077cf0763e1a1558f0972bbd1cc1550a66794 100644 --- a/scripts/filesets/fullmode_fanout_fileset.tcl +++ b/scripts/filesets/fullmode_fanout_fileset.tcl @@ -1,2 +1,20 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + set VHDL_FILES [concat $VHDL_FILES \ FanoutSelectors/axis_32_fanout_selector.vhd] diff --git a/scripts/filesets/fullmode_gbt_core_fileset.tcl b/scripts/filesets/fullmode_gbt_core_fileset.tcl index 77a557028fdac307ef2e4235e493643669148f53..d7861ed4bccf4ced7447d5d939611478e878648a 100644 --- a/scripts/filesets/fullmode_gbt_core_fileset.tcl +++ b/scripts/filesets/fullmode_gbt_core_fileset.tcl @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + set VHDL_FILES [concat $VHDL_FILES \ packages/FELIX_gbt_package.vhd \ GBT/gbt_code/gbt_tx_encoder_FELIX.vhd \ diff --git a/scripts/filesets/fullmode_toplevel_fileset.tcl b/scripts/filesets/fullmode_toplevel_fileset.tcl index ab69f9c3a96bcdd5e7473531ad98935e65ddfed4..294f33d524876ecdd8048b0e442de811f03ac824 100644 --- a/scripts/filesets/fullmode_toplevel_fileset.tcl +++ b/scripts/filesets/fullmode_toplevel_fileset.tcl @@ -1,3 +1,22 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# Rene +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + set VHDL_FILES [concat $VHDL_FILES \ FelixTop/felix_top.vhd \ packages/axi_stream_package.vhd] diff --git a/scripts/filesets/gbt_core_fileset.tcl b/scripts/filesets/gbt_core_fileset.tcl index df04f898deb6fe1c83734221a6d5698ab1b0bcb5..b7261338363bc7c80bb21cda4e5c9c8a2fd41dd6 100644 --- a/scripts/filesets/gbt_core_fileset.tcl +++ b/scripts/filesets/gbt_core_fileset.tcl @@ -1,3 +1,23 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Mesfin Gebyehu +# mtrovato +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + set VHDL_FILES [concat $VHDL_FILES \ packages/FELIX_gbt_package.vhd \ GBT/gbt_code/FELIX_GBT_RXSLIDE_FSM.vhd \ diff --git a/scripts/filesets/gbt_emulator_fileset.tcl b/scripts/filesets/gbt_emulator_fileset.tcl index 4a6cc3c8cb19aa95cc0e3b5699f30bbc166ae39b..2df72e3930fe5e6543fbe0d390410ab156c96e98 100644 --- a/scripts/filesets/gbt_emulator_fileset.tcl +++ b/scripts/filesets/gbt_emulator_fileset.tcl @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + set VHDL_FILES [concat $VHDL_FILES \ GBTlinksDataEmulator/GBTdataEmulator.vhd \ packages/txt_util.vhd] diff --git a/scripts/filesets/gbt_fanout_fileset.tcl b/scripts/filesets/gbt_fanout_fileset.tcl index f362a9e4922e23b6396cda4c32435e8686684a68..b7e4e77eb616450650c13dbad29dd5b791f59c57 100644 --- a/scripts/filesets/gbt_fanout_fileset.tcl +++ b/scripts/filesets/gbt_fanout_fileset.tcl @@ -1,2 +1,20 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + set VHDL_FILES [concat $VHDL_FILES \ FanoutSelectors/gbt_fanout_selector.vhd] diff --git a/scripts/filesets/gbt_toplevel_fileset.tcl b/scripts/filesets/gbt_toplevel_fileset.tcl index fb1914f579629831e08c17cd742dc631105abc1a..7baf0d7ca4e2509264d1c56d2a8d98062ba53bea 100644 --- a/scripts/filesets/gbt_toplevel_fileset.tcl +++ b/scripts/filesets/gbt_toplevel_fileset.tcl @@ -1,3 +1,24 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Kai Chen +# Shelfali Saxena +# mtrovato +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + set VHDL_FILES [concat $VHDL_FILES \ FelixTop/felix_top.vhd] diff --git a/scripts/filesets/housekeeping_felig_fileset.tcl b/scripts/filesets/housekeeping_felig_fileset.tcl index 349395fd12a4f5cf55e136b7cc8a974f9550a88e..4eab12bd46b7d705d48079a026ea77e2c264a6cf 100644 --- a/scripts/filesets/housekeeping_felig_fileset.tcl +++ b/scripts/filesets/housekeeping_felig_fileset.tcl @@ -1,3 +1,22 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# mtrovato +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + set VHDL_FILES [concat $VHDL_FILES \ shared/card_type_specific_ios.vhd \ shared/xadc_drp.vhd \ diff --git a/scripts/filesets/housekeeping_fileset.tcl b/scripts/filesets/housekeeping_fileset.tcl index 8c5846bd2ed16d49ec2eed34770c41741440577b..19aa7c33de8e741869be436e9b89815957046d06 100644 --- a/scripts/filesets/housekeeping_fileset.tcl +++ b/scripts/filesets/housekeeping_fileset.tcl @@ -1,3 +1,22 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# mtrovato +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + set VHDL_FILES [concat $VHDL_FILES \ shared/xadc_drp.vhd \ shared/dna.vhd \ diff --git a/scripts/filesets/itk_strips_fileset.tcl b/scripts/filesets/itk_strips_fileset.tcl index 045916b1dc833187fc2c3b8b21027186952f0c09..911e433f23ba1b6bb290dbb50bac0cea5ddb33ad 100644 --- a/scripts/filesets/itk_strips_fileset.tcl +++ b/scripts/filesets/itk_strips_fileset.tcl @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Elena Zhivun +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + set VHDL_FILES [concat $VHDL_FILES \ ItkStrip/l0a_frame_generator.vhd \ ItkStrip/lcb_command_decoder.vhd \ diff --git a/scripts/filesets/lpgbt_core_fileset.tcl b/scripts/filesets/lpgbt_core_fileset.tcl index 93d954716d83d8d919486caa7c6e8ac30a61d2da..2d690943df73012e0a966ecb9ae54e9e229af0fa 100644 --- a/scripts/filesets/lpgbt_core_fileset.tcl +++ b/scripts/filesets/lpgbt_core_fileset.tcl @@ -1,3 +1,24 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Kai Chen +# Frans Schreuder +# Elena Zhivun +# mtrovato +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + set VHDL_FILES [concat $VHDL_FILES \ LpGBT/LpGBT_FELIX/FELIX_LpGBT_Wrapper.vhd \ LpGBT/LpGBT_FELIX/FLX_LpGBT_BE.vhd \ diff --git a/scripts/filesets/lpgbt_toplevel_fileset.tcl b/scripts/filesets/lpgbt_toplevel_fileset.tcl index 117cb7777afb90093454366ff337752af067fd86..16f3649dc739c74fa59fd453ac0b7da35ea1b9a5 100644 --- a/scripts/filesets/lpgbt_toplevel_fileset.tcl +++ b/scripts/filesets/lpgbt_toplevel_fileset.tcl @@ -1,3 +1,22 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Kai Chen +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + set VHDL_FILES_V7 [concat $VHDL_FILES_V7 \ FelixTop/felix_top.vhd] diff --git a/scripts/filesets/ttc_decoder_fileset.tcl b/scripts/filesets/ttc_decoder_fileset.tcl index 216272cb384483efbf06714b7cd4c06ef951d784..95d4cf164bba09dcb1b3ae491b657c7699f1d4c6 100644 --- a/scripts/filesets/ttc_decoder_fileset.tcl +++ b/scripts/filesets/ttc_decoder_fileset.tcl @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + set VHDL_FILES [concat $VHDL_FILES \ ttc/ttc_decoder/ttc_decoder_core.vhd \ ttc/ttc_decoder/cdr2a_b_clk.vhd \ diff --git a/scripts/filesets/ttc_emulator_fileset.tcl b/scripts/filesets/ttc_emulator_fileset.tcl index 4ce132cd5f39940dc4ed62045b4abec38dfcee58..396fe4a33f4ee7668cae74810bb7242a13fec30a 100644 --- a/scripts/filesets/ttc_emulator_fileset.tcl +++ b/scripts/filesets/ttc_emulator_fileset.tcl @@ -1,7 +1,29 @@ +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Israel Grayzman +# Frans Schreuder +# Thei Wijnen +# Ali Skaf +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + + set VHDL_FILES [concat $VHDL_FILES \ TTCdataEmulator/TTC_Emulator.vhd \ TTCdataEmulator/delay_chain.vhd \ + TTCdataEmulator/signal_delay.vhd \ TTCdataEmulator/hilo_detect.vhd \ TTCdataEmulator/pulse_extender.vhd \ packages/FELIX_package.vhd] diff --git a/scripts/filesets/wupper_fileset.tcl b/scripts/filesets/wupper_fileset.tcl index 3d08901f5d33f1ee23e83b5f1b6ca8c0ec22a3f6..809654660d021786f64ee96c4e3f8644a18da8c9 100644 --- a/scripts/filesets/wupper_fileset.tcl +++ b/scripts/filesets/wupper_fileset.tcl @@ -1,3 +1,24 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Rene +# Thei Wijnen +# mtrovato +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + set VHDL_FILES [concat $VHDL_FILES \ templates/pcie_package.vhd \ templates/dma_control.vhd \ diff --git a/scripts/filesets/wupper_oc_fileset.tcl b/scripts/filesets/wupper_oc_fileset.tcl index 67aa70e740a2ce82b00b27fe42e873ddf35ac9fd..dee6598e61311c9c7e78abcc8f0ef420b4c66b98 100644 --- a/scripts/filesets/wupper_oc_fileset.tcl +++ b/scripts/filesets/wupper_oc_fileset.tcl @@ -1,3 +1,23 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Nayib Boukadida +# Alessandro Thea +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + #FPGA series specific files set VHDL_FILES [concat $VHDL_FILES \ diff --git a/scripts/helper/clear_filesets.tcl b/scripts/helper/clear_filesets.tcl index 3285b97cf9174d16ec16832e1a750860c8fb8170..18da10f1639fd35a75f49ad82b26fa325f857866 100644 --- a/scripts/helper/clear_filesets.tcl +++ b/scripts/helper/clear_filesets.tcl @@ -1,3 +1,23 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Thei Wijnen +# mtrovato +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + set XCI_FILES "" set VHDL_FILES "" set VERILOG_FILES "" diff --git a/scripts/helper/do_implementation_finish.tcl b/scripts/helper/do_implementation_finish.tcl index 4db4ba8b5dcf60ce1f00a19943faa43e4b88cca2..840f6f37c9eeb2b94a31447bce1e1072e8d11bc4 100644 --- a/scripts/helper/do_implementation_finish.tcl +++ b/scripts/helper/do_implementation_finish.tcl @@ -1,3 +1,31 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Andrea Borga +# Marius Wensing +# Israel Grayzman +# Enrico Gamberini +# RHabraken +# Mesfin Gebyehu +# William Wulff +# Elena Zhivun +# Rene +# Thei Wijnen +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + #reset_run $IMPL_RUN launch_runs $IMPL_RUN -jobs $CORES #launch_runs $IMPL_RUN -to_step write_bitstream diff --git a/scripts/helper/do_implementation_post.tcl b/scripts/helper/do_implementation_post.tcl index daf66b520f43cdca290d31fe001295e664c41ca2..5ef81003b9fd1c27f7eda7f5bcf65458d829f169 100644 --- a/scripts/helper/do_implementation_post.tcl +++ b/scripts/helper/do_implementation_post.tcl @@ -1,3 +1,72 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Andrea Borga +# Enrico Gamberini +# RHabraken +# Israel Grayzman +# Mesfin Gebyehu +# Kai Chen +# Shelfali Saxena +# William Wulff +# Thei Wijnen +# Marius Wensing +# Ricardo Luz +# Alessandro Palombi +# Filiberto Bonini +# Elena Zhivun +# Rene +# Alessandro Thea +# Ohad Shaked +# mtrovato +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Andrea Borga +# Marius Wensing +# Enrico Gamberini +# RHabraken +# Israel Grayzman +# Mesfin Gebyehu +# Kai Chen +# Shelfali Saxena +# William Wulff +# mtrovato +# Elena Zhivun +# Thei Wijnen +# Ricardo Luz +# Rene +# Ohad Shaked +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + #file: do_implementation_post.tcl set GIT_HASH [exec git rev-parse HEAD] diff --git a/scripts/helper/do_implementation_pre.tcl b/scripts/helper/do_implementation_pre.tcl index 66d2fdc59966320374acc167da9fb250c1e78ebb..06e44413486a8d93d6f7e1bda5153bea82db6f26 100644 --- a/scripts/helper/do_implementation_pre.tcl +++ b/scripts/helper/do_implementation_pre.tcl @@ -1,3 +1,24 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# William Wulff +# Elena Zhivun +# Thei Wijnen +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + #do_implementation_pre.tcl #some defaults: diff --git a/scripts/helper/patch_sigasi_unisim.sh b/scripts/helper/patch_sigasi_unisim.sh index 9b54912270d002142f56a025155f8f80cdd57e1c..75f473f28d45fb9c86b1d70ba0ff6b8814c19e54 100755 --- a/scripts/helper/patch_sigasi_unisim.sh +++ b/scripts/helper/patch_sigasi_unisim.sh @@ -1,4 +1,21 @@ #!/bin/bash +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + echo "2c2 < <com.sigasi.hdt.vhdl.scoping.librarymapping.model:LibraryMappings xmlns:com.sigasi.hdt.vhdl.scoping.librarymapping.model=\"com.sigasi.hdt.vhdl.scoping.librarymapping\" Version=\"2\"> --- diff --git a/scripts/helper/questa_import_generic.tcl b/scripts/helper/questa_import_generic.tcl index abc302b981e06232fbe7a23bf3e5aa4f9bcf5322..c3fcbabe7523d88c602440a36ec90a3e5847ce0b 100644 --- a/scripts/helper/questa_import_generic.tcl +++ b/scripts/helper/questa_import_generic.tcl @@ -1,3 +1,22 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Elena Zhivun +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + set scriptdir [pwd] set firmware_dir $scriptdir/../../ diff --git a/scripts/helper/sigasi_import_generic.tcl b/scripts/helper/sigasi_import_generic.tcl index a2f1c9f7abf6ef6356c3e938aa4da28e7fe14b11..6f8d53678d4ca684a9661de3dc6c919ad12aa777 100644 --- a/scripts/helper/sigasi_import_generic.tcl +++ b/scripts/helper/sigasi_import_generic.tcl @@ -1,3 +1,22 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Mesfin Gebyehu +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + # Set the supportfiles directory path set scriptdir [pwd] #Download SigasiProjectCreator from https://github.com/sigasi/SigasiProjectCreator diff --git a/scripts/helper/vivado_import_generic.tcl b/scripts/helper/vivado_import_generic.tcl index d4b6d75881f6915c15fedba82a37355acf6356d0..4605827f73cefe25a8dfbf85981fd57ed4498c08 100644 --- a/scripts/helper/vivado_import_generic.tcl +++ b/scripts/helper/vivado_import_generic.tcl @@ -1,3 +1,24 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Thei Wijnen +# mtrovato +# Frans Schreuder +# Ohad Shaked +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + # Set the supportfiles directory path set scriptdir [pwd] set firmware_dir $scriptdir/../../ diff --git a/scripts/helper/vivado_set_severity.tcl b/scripts/helper/vivado_set_severity.tcl index 55d386d85af5e7dffffde95b41f44290fe03d3f6..25a6bc0a7015cfb7aca67068981fa4cce7b5547c 100644 --- a/scripts/helper/vivado_set_severity.tcl +++ b/scripts/helper/vivado_set_severity.tcl @@ -1,3 +1,22 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Thei Wijnen +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + #Issue's that are rebranded #NULL port ignored diff --git a/simulation/CRFM/backpressure.do b/simulation/CRFM/backpressure.do index 24bcc962aaa265474f0f98c6c69142a700a42c5a..88ecada1eec09abf85ebc987000976f9444dc5f3 100644 --- a/simulation/CRFM/backpressure.do +++ b/simulation/CRFM/backpressure.do @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + run 10 us force -freeze sim:/crfm_toptest/th_xoff_i 1 0 run 179 us diff --git a/simulation/CRFM/project.do b/simulation/CRFM/project.do index 8f5bad8aecd9b4f4de7edaad787e5fd915af7d88..52497ae43baad9e5a2fa4d2159b384520469e4da 100644 --- a/simulation/CRFM/project.do +++ b/simulation/CRFM/project.do @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + set projectEnv [project env] if { [string length $projectEnv]==0} { puts "no project open" diff --git a/simulation/CRFM/start.do b/simulation/CRFM/start.do index 52433448071c994441fb86103a1e844c8bedefc2..a847d1c1ac4e97fe970ed3777c81ed56f63223c7 100644 --- a/simulation/CRFM/start.do +++ b/simulation/CRFM/start.do @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + restart -force force -freeze sim:/crfm_toptest/clk40_in 1 0, 0 {12500 ps} -r 25ns force -freeze sim:/crfm_toptest/appreg_clk 1 0, 0 {12000 ps} -r 24ns diff --git a/simulation/CRFM/wave.do b/simulation/CRFM/wave.do index fec326d212e113c3e9970ff0834dc9a0696e55f1..a4dca8f8da4b06de1ec18c14325afd830ebfdfc3 100644 --- a/simulation/CRFM/wave.do +++ b/simulation/CRFM/wave.do @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + onerror {resume} quietly WaveActivateNextPane {} 0 add wave -noupdate /crfm_toptest/clk40_in diff --git a/simulation/CRFromHostAxis/CRFromHostAxis_tb.vhd b/simulation/CRFromHostAxis/CRFromHostAxis_tb.vhd index 2e806e98f493145a8498d2ea579aaaab9b7d6ac1..a122b0fdcc277eca9ab50a91e41d1e22b7a03fe3 100644 --- a/simulation/CRFromHostAxis/CRFromHostAxis_tb.vhd +++ b/simulation/CRFromHostAxis/CRFromHostAxis_tb.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Marius Wensing +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; diff --git a/simulation/CRFromHostAxis/SimpleFromHostFifo.vhd b/simulation/CRFromHostAxis/SimpleFromHostFifo.vhd index 2c05dbdadc934f9f30c442a73ebc125249e45840..33b3f23d3fac98ea40520715e60e8f6ebc03add9 100644 --- a/simulation/CRFromHostAxis/SimpleFromHostFifo.vhd +++ b/simulation/CRFromHostAxis/SimpleFromHostFifo.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Marius Wensing +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; diff --git a/simulation/CRFromHostAxis/questa.tcl b/simulation/CRFromHostAxis/questa.tcl index 424f906122baff6a1e1e60984f4294cabcb98a8c..129b5e228d1b961455ec7eb87e91c08429283543 100644 --- a/simulation/CRFromHostAxis/questa.tcl +++ b/simulation/CRFromHostAxis/questa.tcl @@ -1,3 +1,22 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# Marius Wensing +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + set scriptdir [file dirname [info script]] set firmware_dir $scriptdir/../.. echo "firware_dir: $firmware_dir" diff --git a/simulation/Endeavour/amac_chip.vhd b/simulation/Endeavour/amac_chip.vhd index f9f7a458020f5281a2c9cec1b7cbcb516a331756..92feb13ce2884608095dfb098729c7a5c39c4980 100644 --- a/simulation/Endeavour/amac_chip.vhd +++ b/simulation/Endeavour/amac_chip.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! jacopo pinzino +--! Frans Schreuder +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: -- Engineer: diff --git a/simulation/Endeavour/amac_demo_tb.vhd b/simulation/Endeavour/amac_demo_tb.vhd index f805b39430283bfa69b854fe53687d5b5fd2a2c6..2b391d742f287164f682b21f2732c4def0dad96a 100644 --- a/simulation/Endeavour/amac_demo_tb.vhd +++ b/simulation/Endeavour/amac_demo_tb.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! jacopo pinzino +--! Frans Schreuder +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --======================================================================================================================== -- Copyright (c) 2017 by Bitvis AS. All rights reserved. -- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not, diff --git a/simulation/Endeavour/tb_amac_decoder.vhd b/simulation/Endeavour/tb_amac_decoder.vhd index 1bfdcfbfb93891021443b722de6f0eded2d5e63a..30f30f9526dea32a4255f7cf8308af197adf17b0 100644 --- a/simulation/Endeavour/tb_amac_decoder.vhd +++ b/simulation/Endeavour/tb_amac_decoder.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; diff --git a/simulation/Endeavour/tb_amac_deglitcher.vhd b/simulation/Endeavour/tb_amac_deglitcher.vhd index a5a6d73c35deb177815ae70db930c4ef2eb5cc86..2017add507b6200ab13ba9622396ba0c6602e089 100644 --- a/simulation/Endeavour/tb_amac_deglitcher.vhd +++ b/simulation/Endeavour/tb_amac_deglitcher.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; diff --git a/simulation/Endeavour/tb_amac_encoder.vhd b/simulation/Endeavour/tb_amac_encoder.vhd index 0043125ae9babf6aab69d43c0f28ff183d3e8c5d..b2272cfa1d54ded21c1fc02a667741b8c8585050 100644 --- a/simulation/Endeavour/tb_amac_encoder.vhd +++ b/simulation/Endeavour/tb_amac_encoder.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; diff --git a/simulation/FELIX_Top/CRresetManager.vhd b/simulation/FELIX_Top/CRresetManager.vhd index f46f9f28285dfd8f506b2763cff38055a723bac7..d2f05961bad302e78e620c113da95c2370dff507 100644 --- a/simulation/FELIX_Top/CRresetManager.vhd +++ b/simulation/FELIX_Top/CRresetManager.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Israel Grayzman +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/simulation/FELIX_Top/Decoding_pixel_tb_noUVVM.vhd b/simulation/FELIX_Top/Decoding_pixel_tb_noUVVM.vhd index 5d45cf320b9315621a921a6c10c4afef172c5c69..72b94ddd51e6c7aad0ceee72d6377b64b0eb1ed4 100644 --- a/simulation/FELIX_Top/Decoding_pixel_tb_noUVVM.vhd +++ b/simulation/FELIX_Top/Decoding_pixel_tb_noUVVM.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! mtrovato +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; diff --git a/simulation/FELIX_Top/EPATH_FIFO_WRAP.vhd b/simulation/FELIX_Top/EPATH_FIFO_WRAP.vhd index ff738579b225a3c665db5eb1d78473dfc18186d4..19d7c98c767316799da1a289c9d41b3bc00feaf1 100644 --- a/simulation/FELIX_Top/EPATH_FIFO_WRAP.vhd +++ b/simulation/FELIX_Top/EPATH_FIFO_WRAP.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Julia Narevicius +--! Israel Grayzman +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/simulation/FELIX_Top/Encoding_pixel_tb_noUVVM.vhd b/simulation/FELIX_Top/Encoding_pixel_tb_noUVVM.vhd index db8c12f55f9553b79c581342b876f337193f193d..72900e597b218be5dd2c27c106016aac7d90bbb3 100644 --- a/simulation/FELIX_Top/Encoding_pixel_tb_noUVVM.vhd +++ b/simulation/FELIX_Top/Encoding_pixel_tb_noUVVM.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! mtrovato +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --from ~/Phase2/dacanc/EPROCRD53ADownlinktest/triggerunit_TianXingZheng/forVivado2015_4/triggerunit/triggerunit.srcs/sim_1/new/FELIX_top_testbench.vhd library IEEE; use IEEE.std_logic_1164.all; diff --git a/simulation/FELIX_Top/GBT_FULL_import_questa.tcl b/simulation/FELIX_Top/GBT_FULL_import_questa.tcl index 402b17435936bff0166fe3d31728d6cfe6b70e16..814c486c1269ba1c31051061d79a0bfc0e9805a3 100644 --- a/simulation/FELIX_Top/GBT_FULL_import_questa.tcl +++ b/simulation/FELIX_Top/GBT_FULL_import_questa.tcl @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ../../scripts/helper/clear_filesets.tcl set XIL_PROJECTS "FLX712_FULLMODE FLX712_GBT" diff --git a/simulation/FELIX_Top/ISRAELGR_felix_top_bnl711_tb.vhd b/simulation/FELIX_Top/ISRAELGR_felix_top_bnl711_tb.vhd index 9fd91663ad955d9748f888b41cbee0fcf7ecfe44..8003bc8b6098fd0470ad8e8fc299812491af6cba 100644 --- a/simulation/FELIX_Top/ISRAELGR_felix_top_bnl711_tb.vhd +++ b/simulation/FELIX_Top/ISRAELGR_felix_top_bnl711_tb.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Israel Grayzman +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: Israel Grayzman (israel.grayzman@weizmann.ac.il) diff --git a/simulation/FELIX_Top/ISRAELGR_felix_top_tb.vhd b/simulation/FELIX_Top/ISRAELGR_felix_top_tb.vhd index b95e84319a24c6774ad47ead1008c49fa65cf32e..6524edf9558ddf97916739f2ce1a63d7f17c7157 100644 --- a/simulation/FELIX_Top/ISRAELGR_felix_top_tb.vhd +++ b/simulation/FELIX_Top/ISRAELGR_felix_top_tb.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Israel Grayzman +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: Israel Grayzman (israel.grayzman@weizmann.ac.il) diff --git a/simulation/FELIX_Top/RxMux24.vhd b/simulation/FELIX_Top/RxMux24.vhd index 9057efdf376e0685de8a23126ad26bca9b20738f..e8d23aeece5a3de0b9413fde33bcb1706584b0f0 100644 --- a/simulation/FELIX_Top/RxMux24.vhd +++ b/simulation/FELIX_Top/RxMux24.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Julia Narevicius +--! Israel Grayzman +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/simulation/FELIX_Top/ToHostPCIeManager.vhd b/simulation/FELIX_Top/ToHostPCIeManager.vhd index 4637f5ad0de2b5ceb7b003899d413f5add8a3b6e..5675ff93cba6c74c031197598532e5720aa19a25 100644 --- a/simulation/FELIX_Top/ToHostPCIeManager.vhd +++ b/simulation/FELIX_Top/ToHostPCIeManager.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Julia Narevicius +--! Israel Grayzman +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/simulation/FELIX_Top/VSim_Functional.tcl b/simulation/FELIX_Top/VSim_Functional.tcl index a00a1e8d4e50e90ca59f569c9c811597a5c16363..123ebccf42ec1cb3cb0b64e7912b182d8ad52b1f 100755 --- a/simulation/FELIX_Top/VSim_Functional.tcl +++ b/simulation/FELIX_Top/VSim_Functional.tcl @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + ### start simulation vsim -t ps -novopt +notimingchecks -L unisim work.felix_top diff --git a/simulation/FELIX_Top/centralRouter.vhd b/simulation/FELIX_Top/centralRouter.vhd index 6bc4e5ea2f8709c30775f77bddc6abf6c498c45c..bbf6ae27de9251a92f511ff02de8011ac3e2a5e3 100644 --- a/simulation/FELIX_Top/centralRouter.vhd +++ b/simulation/FELIX_Top/centralRouter.vhd @@ -1,3 +1,24 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Andrea Borga +--! Kai Chen +--! Julia Narevicius +--! Frans Schreuder +--! Israel Grayzman +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/simulation/FELIX_Top/dataMUX24_256bit.vhd b/simulation/FELIX_Top/dataMUX24_256bit.vhd index 871c9185d14332299ba30235d6c6e3d93a3b9ceb..95b8624a30278ee0eaed64b45cc03ed29188c51a 100644 --- a/simulation/FELIX_Top/dataMUX24_256bit.vhd +++ b/simulation/FELIX_Top/dataMUX24_256bit.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Julia Narevicius +--! Israel Grayzman +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/simulation/FELIX_Top/dma_read_write.vhd b/simulation/FELIX_Top/dma_read_write.vhd index 14b00ad62ae122f3b9a2e1120841fb41823f775f..81f632032663bab5e43a0e57c91246a0d9065ec2 100644 --- a/simulation/FELIX_Top/dma_read_write.vhd +++ b/simulation/FELIX_Top/dma_read_write.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Israel Grayzman +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!------------------------------------------------------------------------------ --! @@ -29,21 +47,6 @@ --! --! --! ------------------------------------------------------------------------------ ---! Virtex7 PCIe Gen3 DMA Core ---! ---! \copyright GNU LGPL License ---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br> ---! This library is free software; you can redistribute it and/or ---! modify it under the terms of the GNU Lesser General Public ---! License as published by the Free Software Foundation; either ---! version 3.0 of the License, or (at your option) any later version. ---! This library is distributed in the hope that it will be useful, ---! but WITHOUT ANY WARRANTY; without even the implied warranty of ---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU ---! Lesser General Public License for more details.<br> ---! You should have received a copy of the GNU Lesser General Public ---! License along with this library. ---! -- --! @brief ieee diff --git a/simulation/FELIX_Top/external_editor.tcl b/simulation/FELIX_Top/external_editor.tcl index 2065f7f66c84b694fb42414e15cc9c24436748b0..7dff4974892ce3ba7d86e406db9457a5a44c5196 100644 --- a/simulation/FELIX_Top/external_editor.tcl +++ b/simulation/FELIX_Top/external_editor.tcl @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + proc external_editor {filename linenumber} { exec geany -l $linenumber $filename & } diff --git a/simulation/FELIX_Top/felig_sim_top_bnl712.vhd b/simulation/FELIX_Top/felig_sim_top_bnl712.vhd index 63c72e832847fb366a53aed191c7254f88f5c65e..4587cedda1f5431b8c20037059f9b618c4505897 100644 --- a/simulation/FELIX_Top/felig_sim_top_bnl712.vhd +++ b/simulation/FELIX_Top/felig_sim_top_bnl712.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! mtrovato +--! Ricardo Luz +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --TO DO: embed trigger in gbt_rx_data_120b once every X kHZ. For now simulation --works only with internal triggering --============================================================================== @@ -77,6 +95,8 @@ architecture Behavioral of felig_sim_top_bnl712 is signal gt_txusrclk_i : std_logic_vector(GBT_NUM-1 downto 0); signal gt_rxusrclk_i : std_logic_vector(GBT_NUM-1 downto 0); + + signal gbt_downlink0 : std_logic_vector(119 downto 0); -- signal gbt_tx_data_120b_array_i: txrx120b_type(0 to GBT_NUM-1); -- signal gbt_rx_data_120b_array_i: txrx120b_type(0 to GBT_NUM-1); @@ -126,7 +146,7 @@ begin pcie0_register_map_40_control.FMEMU_RANDOM_CONTROL.SELECT_RANDOM <= "0"; pcie0_register_map_40_control.FMEMU_RANDOM_CONTROL.SEED <= (others=>'0'); pcie0_register_map_40_control.FMEMU_RANDOM_CONTROL.POLYNOMIAL <= (others=>'0'); - pcie0_register_map_40_control.CR_REVERSE_10B.FROMHOST <= "1"; + pcie0_register_map_40_control.CR_REVERSE_10B.FROMHOST <= "1"; --MSB -- lane_control.global.lane_reset <= '0'; @@ -171,11 +191,17 @@ begin emu_control(i).userdata <= X"ABCD"; end generate gen_emu_control; +-- emu_control(0).output_width <= "00"; +-- emu_control(1).output_width <= "00"; --"11"; +-- emu_control(2).output_width <= "01"; +-- emu_control(3).output_width <= "01"; +-- emu_control(4).output_width <= "10"; + emu_control(0).output_width <= "00"; - emu_control(1).output_width <= "00"; --"11"; - emu_control(2).output_width <= "01"; - emu_control(3).output_width <= "01"; - emu_control(4).output_width <= "10"; + emu_control(1).output_width <= "01"; --"11"; + emu_control(2).output_width <= "10"; + emu_control(3).output_width <= "10"; + emu_control(4).output_width <= "11"; -- gen_elink_control_egroup_0 : for i in 0 to 7 generate -- elink_control(i).output_width <= "10"; @@ -270,10 +296,10 @@ begin gbt_rx_reset <= '1'; elsif(time_count = 4600) then gbt_rx_reset <= '0'; - elsif(time_count = 4800) then - emu_reset <= '1'; - elsif(time_count = 5000) then - emu_reset <= '0'; +-- elsif(time_count = 4800) then +-- emu_reset <= '1'; +-- elsif(time_count = 5000) then +-- emu_reset <= '0'; elsif(time_count = 5600) then gbt_tx_reset <= '1'; elsif(time_count = 5800) then @@ -282,10 +308,10 @@ begin lane_control(0).global.l1a_counter_reset <= '1'; elsif(time_count = 6575) then lane_control(0).global.l1a_counter_reset <= '0'; - elsif(time_count = 10400) then - elink_sync <= '1'; - elsif(time_count = 15800) then - elink_sync <= '0'; +-- elsif(time_count = 10400) then +-- elink_sync <= '1'; +-- elsif(time_count = 15800) then +-- elink_sync <= '0'; end if; end if; end process; @@ -366,6 +392,7 @@ begin ); + gbt_downlink0 <= TX_120b_i(0); -- FELIG_lane_wrapper_comp : entity work.FELIG_lane_wrapper EmulatorWrapper_comp : entity work.EmulatorWrapper diff --git a/simulation/FELIX_Top/felix_top_tb.vhd b/simulation/FELIX_Top/felix_top_tb.vhd index 804a2da3575467a94b85ac40f15a51727d6e5a12..f5939852aa371736fe69bd17da1493d141b78d40 100644 --- a/simulation/FELIX_Top/felix_top_tb.vhd +++ b/simulation/FELIX_Top/felix_top_tb.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!------------------------------------------------------------------------------ --! @@ -29,21 +46,6 @@ --! --! --! ------------------------------------------------------------------------------ ---! Virtex7 PCIe Gen3 DMA Core ---! ---! \copyright GNU LGPL License ---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br> ---! This library is free software; you can redistribute it and/or ---! modify it under the terms of the GNU Lesser General Public ---! License as published by the Free Software Foundation; either ---! version 3.0 of the License, or (at your option) any later version. ---! This library is distributed in the hope that it will be useful, ---! but WITHOUT ANY WARRANTY; without even the implied warranty of ---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU ---! Lesser General Public License for more details.<br> ---! You should have received a copy of the GNU Lesser General Public ---! License along with this library. ---! -- --! @brief ieee diff --git a/simulation/FELIX_Top/package_pixel.vhd b/simulation/FELIX_Top/package_pixel.vhd index 67c762d06ce97468b0edb43661b4a36bfe0cd6ec..78b85bc268188bad6483fc42622c67a143773333 100644 --- a/simulation/FELIX_Top/package_pixel.vhd +++ b/simulation/FELIX_Top/package_pixel.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! mtrovato +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; diff --git a/simulation/FELIX_Top/pcie_ep_wrap.vhd b/simulation/FELIX_Top/pcie_ep_wrap.vhd index e77c0d8c402a74e533757e9f3df7c21817c3679e..c97155dd04e4612fb29efb1a5ef2e57f58f95080 100644 --- a/simulation/FELIX_Top/pcie_ep_wrap.vhd +++ b/simulation/FELIX_Top/pcie_ep_wrap.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -- Empty wrapper for the simulation of the pcie core, force will be used to make the PCIe commands. diff --git a/simulation/FELIX_Top/project.do b/simulation/FELIX_Top/project.do index 31e1c5af050c4ea80d8fdace4e85c5087683cad6..497f64f88521c6c63cde4030367d75c72d2ccd45 100644 --- a/simulation/FELIX_Top/project.do +++ b/simulation/FELIX_Top/project.do @@ -1,3 +1,22 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# RHabraken +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + set projectEnv [project env] if { [string length $projectEnv]==0} { puts "no project open" diff --git a/simulation/FELIX_Top/start.do b/simulation/FELIX_Top/start.do index bef1c4c1f234638e3a9b7aa23d3170928dbd5574..c959b71f5e3bfcfa8ed471dde7a7336fe9b3ec94 100644 --- a/simulation/FELIX_Top/start.do +++ b/simulation/FELIX_Top/start.do @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + ################################################################## ### Functions declaration ## -- start diff --git a/simulation/FELIX_Top/tb_TTCtoHost_channel.vhd b/simulation/FELIX_Top/tb_TTCtoHost_channel.vhd index 4d70624c21df5d3846ca4a651e566ede45051567..983e1051ba0a7ca3f53732b70d09aa29320ef4df 100644 --- a/simulation/FELIX_Top/tb_TTCtoHost_channel.vhd +++ b/simulation/FELIX_Top/tb_TTCtoHost_channel.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library work, ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; diff --git a/simulation/FELIX_Top/ttc_fmc_wrapper_xilinx.vhd b/simulation/FELIX_Top/ttc_fmc_wrapper_xilinx.vhd index 8b58548f039d2b5f4a7731ca77955637e0d99a24..8f7ac5ac7c8a818ee6aca5687374fa7c0e6f89fd 100644 --- a/simulation/FELIX_Top/ttc_fmc_wrapper_xilinx.vhd +++ b/simulation/FELIX_Top/ttc_fmc_wrapper_xilinx.vhd @@ -1,3 +1,23 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Soo Ryu +--! Israel Grayzman +--! Alessandra Camplani +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; diff --git a/simulation/FELIX_Top/wave.do b/simulation/FELIX_Top/wave.do index 0a44dcee1251abe6b6a131b1b23ec50b8cbe85a5..3f9a7c9263e5730895928d7efc704f46febb7882 100644 --- a/simulation/FELIX_Top/wave.do +++ b/simulation/FELIX_Top/wave.do @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + onerror {resume} quietly WaveActivateNextPane {} 0 add wave -noupdate -expand -group fifoDriver /tb_centralrouter_top/uut/cr0/thFMdataManagers(0)/thFMdmN/chFIFOdriver/clk40 diff --git a/simulation/FELIX_fullmode_top/felix_fullmode_tb.vhd b/simulation/FELIX_fullmode_top/felix_fullmode_tb.vhd index 7522e38d448963234ab27ca2ee298a5caab256bb..e7c5a25e32071a7d7770640361e60d1eca10a3bd 100644 --- a/simulation/FELIX_fullmode_top/felix_fullmode_tb.vhd +++ b/simulation/FELIX_fullmode_top/felix_fullmode_tb.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!------------------------------------------------------------------------------ --! diff --git a/simulation/FELIX_fullmode_top/forces.tcl b/simulation/FELIX_fullmode_top/forces.tcl index 56f7e1aac4cfeb1217c737e785ac3a50d3bac53f..b7b28eab40785c432bc9524ee82dd21728eac47b 100644 --- a/simulation/FELIX_fullmode_top/forces.tcl +++ b/simulation/FELIX_fullmode_top/forces.tcl @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + restart add_force {/felix_fm_tb/uut/pcie0/dma0/u1/register_map_control.CR_FM_PATH_ENA} -radix hex {3F 0ns} add_force {/felix_fm_tb/uut/pcie0/dma0/u1/register_map_control.GBT_FM_EMU_ENA_TOHOST} -radix hex {0 0ns} diff --git a/simulation/FELIX_fullmode_top/pcie_ep_wrap_sim.vhd b/simulation/FELIX_fullmode_top/pcie_ep_wrap_sim.vhd index ff9595ebf69611e350febbdf104b92fee39ecad6..279504c2886dcf0fd042302103e0c89bbb9f163e 100644 --- a/simulation/FELIX_fullmode_top/pcie_ep_wrap_sim.vhd +++ b/simulation/FELIX_fullmode_top/pcie_ep_wrap_sim.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!------------------------------------------------------------------------------ --! diff --git a/simulation/FMEmu/FMEmu_top_bnl711_tb.vhd b/simulation/FMEmu/FMEmu_top_bnl711_tb.vhd old mode 100755 new mode 100644 index b4747836ae27a27af164e6026c181e561cb51b12..cc2477fd9d7801c054e2b4fac6994402eda6cc13 --- a/simulation/FMEmu/FMEmu_top_bnl711_tb.vhd +++ b/simulation/FMEmu/FMEmu_top_bnl711_tb.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! RHabraken +--! Mesfin Gebyehu +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: Radboud University Nijmegen diff --git a/simulation/FMEmu/FMEmu_top_tb.vhd b/simulation/FMEmu/FMEmu_top_tb.vhd index 5301c8f414169cad0e0246ed037695650bc8a611..616c9a7b0dcbeb10fe65a95cb3ed9d4fb4477390 100644 --- a/simulation/FMEmu/FMEmu_top_tb.vhd +++ b/simulation/FMEmu/FMEmu_top_tb.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! RHabraken +--! Mesfin Gebyehu +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: Radboud University Nijmegen diff --git a/simulation/FMEmu/XoffDecoder_tb.vhd b/simulation/FMEmu/XoffDecoder_tb.vhd index bd4cc20ba024fcd505ed015eff78d84f8da37ece..7672dc3900aba5fad135eea58af03ddb05faa4dc 100644 --- a/simulation/FMEmu/XoffDecoder_tb.vhd +++ b/simulation/FMEmu/XoffDecoder_tb.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Mesfin Gebyehu +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: -- Engineer: diff --git a/simulation/FMEmu/do_vivado_simulation.tcl b/simulation/FMEmu/do_vivado_simulation.tcl index 68ebd66634bb422dceafb0dff48678973110d57a..ee24fb1ef01f24720819c14e7787e5ab0c6d091d 100755 --- a/simulation/FMEmu/do_vivado_simulation.tcl +++ b/simulation/FMEmu/do_vivado_simulation.tcl @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Mesfin Gebyehu +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + save_wave_config {/data/et/mgebyehu/FMEmu_MRM4.5/firmware/Projects/FMEmu_BNL711/FMEmu_BNL711.sim/sim_1/FMEmu_top_bnl711_tb_behav.wcfg} close_sim -force launch_simulation diff --git a/simulation/FMEmu/pcie_package_sim.vhd b/simulation/FMEmu/pcie_package_sim.vhd index 8d132cf8d71ba597d0231c973210fa379335c82f..5fb04109903dd6911b7cb867d570e947e317e25b 100644 --- a/simulation/FMEmu/pcie_package_sim.vhd +++ b/simulation/FMEmu/pcie_package_sim.vhd @@ -1,3 +1,27 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Mark Donszelmann +--! Andrea Borga +--! Rene Habraken +--! Soo Ryu +--! Kai Chen +--! Israel Grayzman +--! Frans Schreuder +--! Mesfin Gebyehu +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -- *************************************************************************** -- *************************************************************************** -- *************************************************************************** @@ -51,21 +75,6 @@ --! --! --! ------------------------------------------------------------------------------ ---! Virtex7 PCIe Gen3 DMA Core ---! ---! \copyright GNU LGPL License ---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br> ---! This library is free software; you can redistribute it and/or ---! modify it under the terms of the GNU Lesser General Public ---! License as published by the Free Software Foundation; either ---! version 3.0 of the License, or (at your option) any later version. ---! This library is distributed in the hope that it will be useful, ---! but WITHOUT ANY WARRANTY; without even the implied warranty of ---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU ---! Lesser General Public License for more details.<br> ---! You should have received a copy of the GNU Lesser General Public ---! License along with this library. ---! --! @brief ieee @@ -8367,4 +8376,4 @@ package body pcie_package is begin return A(A'low); end function to_sl; -end pcie_package; \ No newline at end of file +end pcie_package; diff --git a/simulation/FMEmu/start2.do b/simulation/FMEmu/start2.do index 80ea688b830147282efcd6d95cbfcc6b0e645213..8ada3ef9515c4f5ae821977b814e495183a9f5bd 100644 --- a/simulation/FMEmu/start2.do +++ b/simulation/FMEmu/start2.do @@ -1,4 +1,24 @@ +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Rene Habraken +# RHabraken +# Mesfin Gebyehu +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + + ################################################################## ### Compile diff --git a/simulation/FMEmu/wave2.do b/simulation/FMEmu/wave2.do index 467e98ec83393a669a63c1529d890cc75987cf72..ea789958d4f6b2b6c8ecb2131085815edc919843 100644 --- a/simulation/FMEmu/wave2.do +++ b/simulation/FMEmu/wave2.do @@ -1,3 +1,23 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Rene Habraken +# RHabraken +# Mesfin Gebyehu +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + onerror {resume} quietly WaveActivateNextPane {} 0 add wave -noupdate /felix_fullmode_top_tb/u7/RST diff --git a/simulation/GBT/project.do b/simulation/GBT/project.do index 880067089c613a505c653f49664702b901f5faa1..34eba7bf25512efc0ba15f36c763bf5572491931 100644 --- a/simulation/GBT/project.do +++ b/simulation/GBT/project.do @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + project addfile ../../sources/GBT/gth_code/gth_usrclk_gen.vhd project addfile ../../sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_gt.vhd diff --git a/simulation/ItkStrip/bypass_data_parser.vhd b/simulation/ItkStrip/bypass_data_parser.vhd old mode 100755 new mode 100644 index 2cee9552e7f1f3d639a60dc4b195eb56bfdb12ba..2b4d1a263c4894930727c270e6ad2330542484ad --- a/simulation/ItkStrip/bypass_data_parser.vhd +++ b/simulation/ItkStrip/bypass_data_parser.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -------------------------------------------------------------------------------- -- Title : Testbench helper module for sending elink data to Strips modules -- Project : FELIX diff --git a/simulation/ItkStrip/decoder_queue_pkg.vhd b/simulation/ItkStrip/decoder_queue_pkg.vhd old mode 100755 new mode 100644 index df1797ec7022fa982d59e908bb99773e5c96f413..da63cda518191431b14ecb4f3895c334d027cad6 --- a/simulation/ItkStrip/decoder_queue_pkg.vhd +++ b/simulation/ItkStrip/decoder_queue_pkg.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library uvvm_vvc_framework; use uvvm_vvc_framework.ti_generic_queue_pkg; diff --git a/simulation/ItkStrip/elink_data_parser.vhd b/simulation/ItkStrip/elink_data_parser.vhd old mode 100755 new mode 100644 index d0e026a179cd9c6cbfa22b43c8e10b7ef24ee0f9..30fd35aae657fa391dcd35fbb93a136504a7171d --- a/simulation/ItkStrip/elink_data_parser.vhd +++ b/simulation/ItkStrip/elink_data_parser.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -------------------------------------------------------------------------------- -- Title : Testbench helper module for sending elink data to Strips modules -- Project : FELIX diff --git a/simulation/ItkStrip/itk_frame_decoder.vhd b/simulation/ItkStrip/itk_frame_decoder.vhd old mode 100755 new mode 100644 index db6107d60384cdcaf174d64baf769f6176528b1a..30c7dc4d2dc07c265b5eb8222d112d6e44ac3b09 --- a/simulation/ItkStrip/itk_frame_decoder.vhd +++ b/simulation/ItkStrip/itk_frame_decoder.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -------------------------------------------------------------------------------- -- Title : Testbench helper module for decoding ITK Strips data -- Project : FELIX diff --git a/simulation/ItkStrip/tb_bypass_frame_aggregator.vhd b/simulation/ItkStrip/tb_bypass_frame_aggregator.vhd index 4b2eb5cf9d6e1d4dd6aa09a4b3f85899ecdbe72e..cc691f85b3d1dc92836b94aaf4817c3c7cb2378c 100755 --- a/simulation/ItkStrip/tb_bypass_frame_aggregator.vhd +++ b/simulation/ItkStrip/tb_bypass_frame_aggregator.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -------------------------------------------------------------------------------- -- Title : ITk Strips package -- Project : FELIX diff --git a/simulation/ItkStrip/tb_bypass_frame_vvc.vhd b/simulation/ItkStrip/tb_bypass_frame_vvc.vhd old mode 100755 new mode 100644 index d298d2c613db12cd0648e6d433331434b660e2e7..f4e000ec611ae03cd52022bdfeb436e313e9414e --- a/simulation/ItkStrip/tb_bypass_frame_vvc.vhd +++ b/simulation/ItkStrip/tb_bypass_frame_vvc.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -------------------------------------------------------------------------------- -- Title : Testbench simulation for interaction between -- bypass_aggregator and lcb_scheduler_encoder modules diff --git a/simulation/ItkStrip/tb_bypass_scheduler_continuous_write.vhd b/simulation/ItkStrip/tb_bypass_scheduler_continuous_write.vhd old mode 100755 new mode 100644 index 9297a6f8e4fa23630b6b02f248644283ae6ead5f..911bb26e4968e21388d84d6d0a736d52ca002a05 --- a/simulation/ItkStrip/tb_bypass_scheduler_continuous_write.vhd +++ b/simulation/ItkStrip/tb_bypass_scheduler_continuous_write.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -------------------------------------------------------------------------------- -- Title : Testbench simulation for interaction between -- bypass_aggregator and lcb_scheduler_encoder modules diff --git a/simulation/ItkStrip/tb_clk40_detect.vhd b/simulation/ItkStrip/tb_clk40_detect.vhd old mode 100755 new mode 100644 index 0c099be91bd5b7ad5ad8b166b12bacf79eef133a..9f4b6663a97d5ca81111b2f984becb494fb5dc19 --- a/simulation/ItkStrip/tb_clk40_detect.vhd +++ b/simulation/ItkStrip/tb_clk40_detect.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -------------------------------------------------------------------------------- -- Title : CLK40 transition detection testbench -- Project : Default Project Name diff --git a/simulation/ItkStrip/tb_l0a_frame_generator.vhd b/simulation/ItkStrip/tb_l0a_frame_generator.vhd index 2029b0cabc46206612196d626cb6c2184d515808..a947e9c2a97f7198f7fbb7238100e0763ed9eed6 100755 --- a/simulation/ItkStrip/tb_l0a_frame_generator.vhd +++ b/simulation/ItkStrip/tb_l0a_frame_generator.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -------------------------------------------------------------------------------- -- Title : ITk Strips package -- Project : FELIX diff --git a/simulation/ItkStrip/tb_lcb_axi_encoder.vhd b/simulation/ItkStrip/tb_lcb_axi_encoder.vhd old mode 100755 new mode 100644 index 4354f7a1e5e92221fd5555a4246338d7f4dd4460..515e018c53916cc18c96212233e29ec067870f40 --- a/simulation/ItkStrip/tb_lcb_axi_encoder.vhd +++ b/simulation/ItkStrip/tb_lcb_axi_encoder.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -------------------------------------------------------------------------------- -- Title : Testbench simulation for LCB encoder -- Project : FELIX diff --git a/simulation/ItkStrip/tb_lcb_command_decoder.vhd b/simulation/ItkStrip/tb_lcb_command_decoder.vhd index 0d3d1ab4bf50bcc1204c45dcc9272594f74535f0..b7224c70118fa79f9027583acf53540c9d01bb24 100755 --- a/simulation/ItkStrip/tb_lcb_command_decoder.vhd +++ b/simulation/ItkStrip/tb_lcb_command_decoder.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; diff --git a/simulation/ItkStrip/tb_lcb_frame_generator.vhd b/simulation/ItkStrip/tb_lcb_frame_generator.vhd index 4422ff0ab10fbe824aed1f99d2a5cc363b09854a..15d3c790d9e08f19bb10d874e18f634f64c29009 100755 --- a/simulation/ItkStrip/tb_lcb_frame_generator.vhd +++ b/simulation/ItkStrip/tb_lcb_frame_generator.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -------------------------------------------------------------------------------- -- Title : Testbench simulation for lcb_frame_generator -- Project : FELIX diff --git a/simulation/ItkStrip/tb_lcb_regmap.vhd b/simulation/ItkStrip/tb_lcb_regmap.vhd index 885d9188d85e3811e09499fb647db5eeb4a1557a..ac4d7595599645d1a626611e92d778fda6392e08 100755 --- a/simulation/ItkStrip/tb_lcb_regmap.vhd +++ b/simulation/ItkStrip/tb_lcb_regmap.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; diff --git a/simulation/ItkStrip/tb_lcb_scheduler_encoder.vhd b/simulation/ItkStrip/tb_lcb_scheduler_encoder.vhd index a874b9e46a65ccd0e291cac80fd50ef2fa731e30..bd8cd6caa23924518579d44a3119834368c9559a 100755 --- a/simulation/ItkStrip/tb_lcb_scheduler_encoder.vhd +++ b/simulation/ItkStrip/tb_lcb_scheduler_encoder.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -------------------------------------------------------------------------------- -- Title : Testbench simulation for lcb_scheduler_encoder -- Project : FELIX diff --git a/simulation/ItkStrip/tb_playback_controller.vhd b/simulation/ItkStrip/tb_playback_controller.vhd index 2d9216dae9c957aa2ea4413a8e96637c87034f89..b5a8188d8698eef1d43cc6be527a995629f5ff36 100755 --- a/simulation/ItkStrip/tb_playback_controller.vhd +++ b/simulation/ItkStrip/tb_playback_controller.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; diff --git a/simulation/ItkStrip/tb_r3l1_axi_encoder.vhd b/simulation/ItkStrip/tb_r3l1_axi_encoder.vhd old mode 100755 new mode 100644 index 7465dec11b287bb8fe791aba2524572b8ae6663d..bd0cfa8d5cba25cfc293fb05119a0504bedd66e0 --- a/simulation/ItkStrip/tb_r3l1_axi_encoder.vhd +++ b/simulation/ItkStrip/tb_r3l1_axi_encoder.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -------------------------------------------------------------------------------- -- Title : Testbench simulation for R3L1 encoder -- Project : FELIX diff --git a/simulation/ItkStrip/tb_r3l1_frame_generator.vhd b/simulation/ItkStrip/tb_r3l1_frame_generator.vhd old mode 100755 new mode 100644 index dfe8f43d7ae556bc83843e03256db8c65875897a..82f41d00290953809df7e992b50b64d028d9e45f --- a/simulation/ItkStrip/tb_r3l1_frame_generator.vhd +++ b/simulation/ItkStrip/tb_r3l1_frame_generator.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -------------------------------------------------------------------------------- -- Title : ITk Strips package -- Project : FELIX diff --git a/simulation/ItkStrip/tb_r3l1_frame_synchronizer.vhd b/simulation/ItkStrip/tb_r3l1_frame_synchronizer.vhd old mode 100755 new mode 100644 index f47b6576dd6c0547c9a1693d4d3be11dd6dd0d6c..bcb7c7f5f03a45020bbd6460db0c26a1bffd8f3e --- a/simulation/ItkStrip/tb_r3l1_frame_synchronizer.vhd +++ b/simulation/ItkStrip/tb_r3l1_frame_synchronizer.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -------------------------------------------------------------------------------- -- Title : ITk Strips package -- Project : FELIX diff --git a/simulation/ItkStrip/tb_r3l1_regmap.vhd b/simulation/ItkStrip/tb_r3l1_regmap.vhd old mode 100755 new mode 100644 index 63f3d802ab81bb84a7da1c38922babc4202998ec..332b8d008a7d0eefc04f14c073b5bf4becdd048b --- a/simulation/ItkStrip/tb_r3l1_regmap.vhd +++ b/simulation/ItkStrip/tb_r3l1_regmap.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; diff --git a/simulation/ItkStrip/tb_r3l1_scheduler_encoder.vhd b/simulation/ItkStrip/tb_r3l1_scheduler_encoder.vhd old mode 100755 new mode 100644 index f2522fc79be4032184bcd3b8a7f17c8c9d2c48ef..ae429a62e6c84efad1b5d3338d41a6b66534dcb9 --- a/simulation/ItkStrip/tb_r3l1_scheduler_encoder.vhd +++ b/simulation/ItkStrip/tb_r3l1_scheduler_encoder.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -------------------------------------------------------------------------------- -- Title : Testbench simulation for r3l1_scheduler_encoder -- Project : FELIX diff --git a/simulation/ItkStrip/tb_strips_configuration_decoder.vhd b/simulation/ItkStrip/tb_strips_configuration_decoder.vhd old mode 100755 new mode 100644 index ce5f75d3a865a3b73c6693e0155cc11d96f32f32..d9109759ba89f30fd37387730d8ca9af528e2403 --- a/simulation/ItkStrip/tb_strips_configuration_decoder.vhd +++ b/simulation/ItkStrip/tb_strips_configuration_decoder.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Elena Zhivun +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; diff --git a/simulation/ItkStrip/tb_trickle_trigger.vhd b/simulation/ItkStrip/tb_trickle_trigger.vhd index e207840d5a6e6c3ccb5c3381f5adb2bc9d576a26..f8fe17affce8ee60c28a97880f20a5a0f78b8157 100755 --- a/simulation/ItkStrip/tb_trickle_trigger.vhd +++ b/simulation/ItkStrip/tb_trickle_trigger.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; diff --git a/simulation/ItkStrip/ttc_l0a_data_parser.vhd b/simulation/ItkStrip/ttc_l0a_data_parser.vhd old mode 100755 new mode 100644 index 920cc0b282f6c17e1bd6c1c5c25350ae5102ba9c..63e75dfc0f2a92c120a3279743b63784b88d8afe --- a/simulation/ItkStrip/ttc_l0a_data_parser.vhd +++ b/simulation/ItkStrip/ttc_l0a_data_parser.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -------------------------------------------------------------------------------- -- Title : Testbench helper module for sending L0A data to Strips modules -- Project : FELIX diff --git a/simulation/MROD_top_sim/ForceSignals.tcl b/simulation/MROD_top_sim/ForceSignals.tcl index 738d6330de7910cf5374a20b80fb209d1253471f..2eb1f245fefe32962979f6f392a2caa572dd95be 100644 --- a/simulation/MROD_top_sim/ForceSignals.tcl +++ b/simulation/MROD_top_sim/ForceSignals.tcl @@ -1,3 +1,22 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Rene +# Thei Wijnen +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + relaunch_sim add_force {/felix_mrod_top/u11/u10/TRXloopback} -radix bin {1 0ns} diff --git a/simulation/MROD_top_sim/clk_sim.vhd b/simulation/MROD_top_sim/clk_sim.vhd index d26a6de79f4948417cfb36c9a1a366cf749224cf..0845bc51eff3973adca494d111423f5439588760 100644 --- a/simulation/MROD_top_sim/clk_sim.vhd +++ b/simulation/MROD_top_sim/clk_sim.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Rene +--! Thei Wijnen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library ieee, work; use ieee.std_logic_1164.all; use ieee.numeric_std.all; diff --git a/simulation/MROD_top_sim/mrod_top_sim.vhd b/simulation/MROD_top_sim/mrod_top_sim.vhd index 09ba60bc14a85ac0a73414ea047e5a393841ed40..b441bbb49a3fb59c50bc584c23132cd3c32cdfaa 100644 --- a/simulation/MROD_top_sim/mrod_top_sim.vhd +++ b/simulation/MROD_top_sim/mrod_top_sim.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Rene +--! Thei Wijnen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! @authors R. Habraken, T. Wijnen --! @company Radboud University Nijmegen diff --git a/simulation/TTC_emulator/file_reader_hex.vhd b/simulation/TTC_emulator/file_reader_hex.vhd index ebf27b0901d457b4541ed98dc8fe662b2bcbf1a3..23d57c697657916f6dfa5425f490dfb0e5368275 100644 --- a/simulation/TTC_emulator/file_reader_hex.vhd +++ b/simulation/TTC_emulator/file_reader_hex.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Alessandra Camplani +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -------------------------------------------------------------------------------- -- Design : file_reader_hex -- Author : Steffen Staerz diff --git a/simulation/TTC_emulator/fmc_wrapper_tb_forEmu.vhd b/simulation/TTC_emulator/fmc_wrapper_tb_forEmu.vhd index 0123375108a59b54d5badb3ce0464f6196c8605d..f37c23fdf2e7dcbed735fcce8704783edd78261b 100644 --- a/simulation/TTC_emulator/fmc_wrapper_tb_forEmu.vhd +++ b/simulation/TTC_emulator/fmc_wrapper_tb_forEmu.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Alessandra Camplani +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -------------------------------------------------------------------------------- -- Design : fmc_wrapper_tb_forEmu -- Author : Alessandra Camplani diff --git a/simulation/TTC_emulator/force_on_signals.do b/simulation/TTC_emulator/force_on_signals.do index 1d774ab5f52af3f10268fe911ec0f5e1594654f3..90614348b41d8fc84aaa73b82c174928f7d499c2 100644 --- a/simulation/TTC_emulator/force_on_signals.do +++ b/simulation/TTC_emulator/force_on_signals.do @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Alessandra Camplani +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + force -freeze sim:/ttc_emulator_tb/dut/en 1 0 force -freeze sim:/ttc_emulator_tb/dut/input_ecr_period 0 {0 ns} diff --git a/simulation/TTC_emulator/force_on_signals_wrapper.do b/simulation/TTC_emulator/force_on_signals_wrapper.do index ae8ffb0604334f05c7448fd09542f42a80acd172..f779d3c01a10296c0eadeda1916a9b66ce7b76fe 100644 --- a/simulation/TTC_emulator/force_on_signals_wrapper.do +++ b/simulation/TTC_emulator/force_on_signals_wrapper.do @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Alessandra Camplani +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + force -freeze sim:/fmc_wrapper_tb_foremu/dut/TTCEmu/register_map_control.TTC_EMU.SEL 1'h1 0 force -freeze sim:/fmc_wrapper_tb_foremu/dut/TTCEmu/register_map_control.TTC_EMU.ENA 1'h1 0 diff --git a/simulation/TTC_emulator/simulation_basics.vhd b/simulation/TTC_emulator/simulation_basics.vhd index 9ca1dfd297253816b3304a554981b42465d93a31..ece2cb4a6fec179425c1e310334f4638a556f64a 100644 --- a/simulation/TTC_emulator/simulation_basics.vhd +++ b/simulation/TTC_emulator/simulation_basics.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Alessandra Camplani +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ------------------------------------------------------------------------------- -- Design : simulation_basics.vhd -- Author : Steffen Staerz diff --git a/simulation/TTC_emulator/ttc_emulator_tb.vhd b/simulation/TTC_emulator/ttc_emulator_tb.vhd index 85098b3fa7a7867bdab3a3da3af6bc9e085b32a2..3b5a657b2bc35cffba3645259c3969cc479f5e78 100644 --- a/simulation/TTC_emulator/ttc_emulator_tb.vhd +++ b/simulation/TTC_emulator/ttc_emulator_tb.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Alessandra Camplani +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -------------------------------------------------------------------------------- -- Design : ttc_emulator_tb -- Author : Alessandra Camplani diff --git a/simulation/TTC_emulator/wave.do b/simulation/TTC_emulator/wave.do index 5b0460d2e868ffcc82e90a1c570005af32f9dc0e..1a37b2b1d2ac105a1dbe3afc8049e508e20064f0 100644 --- a/simulation/TTC_emulator/wave.do +++ b/simulation/TTC_emulator/wave.do @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Alessandra Camplani +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + onerror {resume} quietly WaveActivateNextPane {} 0 add wave -noupdate /ttc_emulator_tb/Clock diff --git a/simulation/TTC_emulator/wave_wrapper.do b/simulation/TTC_emulator/wave_wrapper.do index 947ddcc439514a225587eaf9eb5c9f979bb06e63..c7b16a9cfb6dbd68d706c7e9fa064f6b99699f52 100644 --- a/simulation/TTC_emulator/wave_wrapper.do +++ b/simulation/TTC_emulator/wave_wrapper.do @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Alessandra Camplani +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + onerror {resume} quietly WaveActivateNextPane {} 0 add wave -noupdate /fmc_wrapper_tb_foremu/dut/TTCEmu/Clock diff --git a/simulation/UVVMtests/BusyVirtualElink_import_questa.tcl b/simulation/UVVMtests/BusyVirtualElink_import_questa.tcl index 7721b6c5e47ca4fa2cd3f0abb973279c56dcda7c..a0f9132fb9932edf690a6441de5498f577947234 100644 --- a/simulation/UVVMtests/BusyVirtualElink_import_questa.tcl +++ b/simulation/UVVMtests/BusyVirtualElink_import_questa.tcl @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ../../scripts/helper/clear_filesets.tcl set XIL_PROJECTS "FLX712_FELIX" diff --git a/simulation/UVVMtests/ByteToAxiStream_import_questa.tcl b/simulation/UVVMtests/ByteToAxiStream_import_questa.tcl index 87f4abca95845a74ce927c9c13862e8a24c0523a..6c7cd00d471bea8048eb7f8994ba99d6b9035b55 100644 --- a/simulation/UVVMtests/ByteToAxiStream_import_questa.tcl +++ b/simulation/UVVMtests/ByteToAxiStream_import_questa.tcl @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ../../scripts/helper/clear_filesets.tcl set XIL_PROJECTS "FLX712_FULLMODE FLX712_GBT" diff --git a/simulation/UVVMtests/CRFromHostAxis_import_questa.tcl b/simulation/UVVMtests/CRFromHostAxis_import_questa.tcl index a9bc4fac85836b1b21d283898370fdbbee05efaf..ac4a73d7288858e3e3497ed58f356044ef90f9ba 100644 --- a/simulation/UVVMtests/CRFromHostAxis_import_questa.tcl +++ b/simulation/UVVMtests/CRFromHostAxis_import_questa.tcl @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ../../scripts/helper/clear_filesets.tcl set XIL_PROJECTS "FLX712_FELIX" diff --git a/simulation/UVVMtests/CRToHost_import_questa.tcl b/simulation/UVVMtests/CRToHost_import_questa.tcl index d649c016249a3356d65b07e662e849ad2aa36933..63d8fabf43883853d30af6db8ea0d7de271b212e 100644 --- a/simulation/UVVMtests/CRToHost_import_questa.tcl +++ b/simulation/UVVMtests/CRToHost_import_questa.tcl @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ../../scripts/helper/clear_filesets.tcl set XIL_PROJECTS "FLX712_FELIX" diff --git a/simulation/UVVMtests/DecEgroup_8b10b_import_questa.tcl b/simulation/UVVMtests/DecEgroup_8b10b_import_questa.tcl index a03a4a8675b23f21091933c7d88adae3ae12db0a..1b03759fef9bbb164f7373da5e0b1e0bf1c0cce9 100644 --- a/simulation/UVVMtests/DecEgroup_8b10b_import_questa.tcl +++ b/simulation/UVVMtests/DecEgroup_8b10b_import_questa.tcl @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ../../scripts/helper/clear_filesets.tcl set XIL_PROJECTS "FLX712_FULLMODE FLX712_GBT" diff --git a/simulation/UVVMtests/DecodingEpath_tb.vhd b/simulation/UVVMtests/DecodingEpath_tb.vhd index b85e3fefbd2f1f7779748be1f85cfd76f2020236..c3920aa141e3c821fb097dd27d920b1091642cbd 100644 --- a/simulation/UVVMtests/DecodingEpath_tb.vhd +++ b/simulation/UVVMtests/DecodingEpath_tb.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: -- Engineer: diff --git a/simulation/UVVMtests/DecodingGearBox_import_questa.tcl b/simulation/UVVMtests/DecodingGearBox_import_questa.tcl index 795bd71ea688d96f3947a9544c0ee5d8ad3d2c23..5da75c04eed5f98fdbf2d55063bac05c41ff1117 100644 --- a/simulation/UVVMtests/DecodingGearBox_import_questa.tcl +++ b/simulation/UVVMtests/DecodingGearBox_import_questa.tcl @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ../../scripts/helper/clear_filesets.tcl set XIL_PROJECTS "FLX712_FULLMODE FLX712_GBT" diff --git a/simulation/UVVMtests/DecodingPixel_import_questa.tcl b/simulation/UVVMtests/DecodingPixel_import_questa.tcl index 4a5c94a03c60ecf7e3ba2bd516306f4203f6af5a..0afeb8985fece9e09a712903eea4111aae86ddee 100644 --- a/simulation/UVVMtests/DecodingPixel_import_questa.tcl +++ b/simulation/UVVMtests/DecodingPixel_import_questa.tcl @@ -1,3 +1,22 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Marco +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ../../scripts/helper/clear_filesets.tcl set XIL_PROJECTS "FLX712_GBT" diff --git a/simulation/UVVMtests/EncodingEPath_import_questa.tcl b/simulation/UVVMtests/EncodingEPath_import_questa.tcl index 20e9bc0e19f0ffd42b6ba5f5ee0b0e15a67870e8..d78697cb85e13bf9009bae2cd173d708fdc9d175 100644 --- a/simulation/UVVMtests/EncodingEPath_import_questa.tcl +++ b/simulation/UVVMtests/EncodingEPath_import_questa.tcl @@ -1,3 +1,22 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Nico Giangiacomi +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ../../scripts/helper/clear_filesets.tcl set XIL_PROJECTS "FLX712_GBT" diff --git a/simulation/UVVMtests/FULLModeToHost_import_questa.tcl b/simulation/UVVMtests/FULLModeToHost_import_questa.tcl index 803216dba0c4cf23e8cb73cbd3e5c51f799b1dfb..1ba0916c985acb02e6d91047533c4c81edcd8236 100644 --- a/simulation/UVVMtests/FULLModeToHost_import_questa.tcl +++ b/simulation/UVVMtests/FULLModeToHost_import_questa.tcl @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ../../scripts/helper/clear_filesets.tcl set XIL_PROJECTS "FLX712_FULLMODE FLX712_GBT" diff --git a/simulation/UVVMtests/GBTCrCoding_import_questa.tcl b/simulation/UVVMtests/GBTCrCoding_import_questa.tcl index 222b190f967c80de0f733d35133ba7741aa37476..4459191339b63b00ba915ea5dc535aa6c51cbf1e 100644 --- a/simulation/UVVMtests/GBTCrCoding_import_questa.tcl +++ b/simulation/UVVMtests/GBTCrCoding_import_questa.tcl @@ -1,3 +1,22 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Elena Zhivun +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ../../scripts/helper/clear_filesets.tcl set XIL_PROJECTS "FLX712_FELIX" diff --git a/simulation/UVVMtests/GBTLinkToHost_import_questa.tcl b/simulation/UVVMtests/GBTLinkToHost_import_questa.tcl index b79de0b6a725486bf6c153f4f8a7dd21d21ac355..645fb1520bd09a85b53c66876c334bea1340090f 100644 --- a/simulation/UVVMtests/GBTLinkToHost_import_questa.tcl +++ b/simulation/UVVMtests/GBTLinkToHost_import_questa.tcl @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ../../scripts/helper/clear_filesets.tcl set XIL_PROJECTS "FLX712_FELIX" diff --git a/simulation/UVVMtests/GBT_FULL_import_questa.tcl b/simulation/UVVMtests/GBT_FULL_import_questa.tcl index 71c2e0141546bb7a07e7b825e87cbc80953d5b47..465bf0b2acaa4946b2c92dcb65145e85a6678e52 100644 --- a/simulation/UVVMtests/GBT_FULL_import_questa.tcl +++ b/simulation/UVVMtests/GBT_FULL_import_questa.tcl @@ -1,3 +1,22 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# Elena Zhivun +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ../../scripts/helper/clear_filesets.tcl set XIL_PROJECTS "FLX712_FULLMODE FLX712_GBT" diff --git a/simulation/UVVMtests/Wupper_import_questa.tcl b/simulation/UVVMtests/Wupper_import_questa.tcl index 794ffc4cf4f92f1992e3e88815540082d95c947f..37bd4a24935633080d6cd833b7fb0602ea42d8f2 100644 --- a/simulation/UVVMtests/Wupper_import_questa.tcl +++ b/simulation/UVVMtests/Wupper_import_questa.tcl @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ../../scripts/helper/clear_filesets.tcl set XIL_PROJECTS "FLX712_FELIX" diff --git a/simulation/UVVMtests/ci-BusyVirtualElink.do b/simulation/UVVMtests/ci-BusyVirtualElink.do index 0f179846f668814c1f82a2fafaa644a9842f4f89..3112d984def99997a9881805020359f0d2309d15 100644 --- a/simulation/UVVMtests/ci-BusyVirtualElink.do +++ b/simulation/UVVMtests/ci-BusyVirtualElink.do @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ./BusyVirtualElink_import_questa.tcl vsim -t 1ps -voptargs="+acc" work.BusyVirtualElink_tb work.glbl add wave -group tb sim:/busyvirtualelink_tb/* diff --git a/simulation/UVVMtests/ci-ByteToAxiStream.do b/simulation/UVVMtests/ci-ByteToAxiStream.do index 8fe279a02035d997fa1fe75ee30fb8276e0022d5..67086fff7a6fa1622be4659cd99a10d58da1ea79 100644 --- a/simulation/UVVMtests/ci-ByteToAxiStream.do +++ b/simulation/UVVMtests/ci-ByteToAxiStream.do @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ./ByteToAxiStream_import_questa.tcl source external_editor.tcl project removefile ../../sources/decoding/decoding.vhd diff --git a/simulation/UVVMtests/ci-CRFromHostAxis.do b/simulation/UVVMtests/ci-CRFromHostAxis.do index 4992588954c6fa57dd85183e2df8e523cac626f8..148ca7adca531d8e42f64a6491be4917bc07d06d 100644 --- a/simulation/UVVMtests/ci-CRFromHostAxis.do +++ b/simulation/UVVMtests/ci-CRFromHostAxis.do @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ./CRFromHostAxis_import_questa.tcl vsim -t 1ps -voptargs="+acc" work.CRFromHostAxis_tb work.glbl run -all diff --git a/simulation/UVVMtests/ci-CRToHost.do b/simulation/UVVMtests/ci-CRToHost.do index 3e6457e971d508a4dc94dbf9317f78e36f8073ea..0faddbd2c5afe57cac0d2bea862180df3717fe76 100644 --- a/simulation/UVVMtests/ci-CRToHost.do +++ b/simulation/UVVMtests/ci-CRToHost.do @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ./CRToHost_import_questa.tcl vsim -t 1ps -voptargs="+acc" work.CRToHost_tb work.glbl add wave -group tb -position insertpoint sim:/crtohost_tb/* diff --git a/simulation/UVVMtests/ci-DecEgroup_8b10b.do b/simulation/UVVMtests/ci-DecEgroup_8b10b.do index 841623089f6f49f52e2107a528e9c517f4defd35..641a8551a9764de0c1f11b09d548b6f9095c43bc 100644 --- a/simulation/UVVMtests/ci-DecEgroup_8b10b.do +++ b/simulation/UVVMtests/ci-DecEgroup_8b10b.do @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ./DecEgroup_8b10b_import_questa.tcl source external_editor.tcl project removefile ../../sources/decoding/decoding.vhd diff --git a/simulation/UVVMtests/ci-FULLModeToHost.do b/simulation/UVVMtests/ci-FULLModeToHost.do index b0ef5e032ec2acf9ab919127a74d7080be478a60..43eb4748a39286b4264b17b0bd9803248c5530b2 100644 --- a/simulation/UVVMtests/ci-FULLModeToHost.do +++ b/simulation/UVVMtests/ci-FULLModeToHost.do @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ./FULLModeToHost_import_questa.tcl vsim -voptargs="+acc" work.FULLModeToHost_tb work.glbl add wave -group top -position insertpoint sim:/fullmodetohost_tb/* diff --git a/simulation/UVVMtests/ci-GBTCrCoding.do b/simulation/UVVMtests/ci-GBTCrCoding.do index cb706fe215b87b164eb6a57138a2e674ff88035f..8277492b79af5277472b4a1bf7291f2305c480b5 100644 --- a/simulation/UVVMtests/ci-GBTCrCoding.do +++ b/simulation/UVVMtests/ci-GBTCrCoding.do @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ./GBTCrCoding_import_questa.tcl vsim -voptargs="+acc" -t 1ps work.GBTCRCoding_tb work.glbl add wave -group top -position insertpoint sim:/gbtcrcoding_tb/* diff --git a/simulation/UVVMtests/ci-GBTLinkToHost.do b/simulation/UVVMtests/ci-GBTLinkToHost.do index 0b43f1a9261e62610710141e7ba047f8ee2fb5e8..7a456c278ba2de79471b8cbf70ab80169d1d243c 100644 --- a/simulation/UVVMtests/ci-GBTLinkToHost.do +++ b/simulation/UVVMtests/ci-GBTLinkToHost.do @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ./GBTLinkToHost_import_questa.tcl vsim -voptargs="+acc" work.GBTLinkToHost_tb work.glbl add wave -group top -position insertpoint sim:/gbtlinktohost_tb/* diff --git a/simulation/UVVMtests/ci-TTCToHostVirtualElink.do b/simulation/UVVMtests/ci-TTCToHostVirtualElink.do index a67c420b2f5c1db8a6c22c372fa921296bc0c118..8cf56b1e06985cde142701bfc246d53edb94fb5c 100644 --- a/simulation/UVVMtests/ci-TTCToHostVirtualElink.do +++ b/simulation/UVVMtests/ci-TTCToHostVirtualElink.do @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ./BusyVirtualElink_import_questa.tcl vsim -t 1ps -voptargs="+acc" work.TTCToHostVirtualElink_tb work.glbl add wave -group tb sim:/ttctohostvirtualelink_tb/* diff --git a/simulation/UVVMtests/ci-Wupper.do b/simulation/UVVMtests/ci-Wupper.do index 3a880c71830d2396127d0487c9acdee6d0a81951..ae6b5183d95f20e8d2cb5a4ae87de5e6d358f79d 100644 --- a/simulation/UVVMtests/ci-Wupper.do +++ b/simulation/UVVMtests/ci-Wupper.do @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ./Wupper_import_questa.tcl vsim -t 1ps -voptargs="+acc" work.Wupper_tb work.glbl run -all diff --git a/simulation/UVVMtests/ci-centralRouter.do b/simulation/UVVMtests/ci-centralRouter.do index ff653b5c0bdaf9259e8f487904fdc24905891cc2..e5ec56f78a0dad666ab17bc9a92c7c3abe454df2 100644 --- a/simulation/UVVMtests/ci-centralRouter.do +++ b/simulation/UVVMtests/ci-centralRouter.do @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ./GBT_FULL_import_questa.tcl vsim -voptargs=+acc work.centralRouter_tb diff --git a/simulation/UVVMtests/ci-crc20.do b/simulation/UVVMtests/ci-crc20.do index 5c817e8dff246866023c489fd37f9e1cf33e16fc..233032842da3bcf6b6c2e753cf3ab6e873e9452b 100644 --- a/simulation/UVVMtests/ci-crc20.do +++ b/simulation/UVVMtests/ci-crc20.do @@ -1,3 +1,22 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# jacopo pinzino +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ./crc20_import_questa.tcl vsim work.crc20_tb(func) work.glbl run -all diff --git a/simulation/UVVMtests/ci-decodinggearbox.do b/simulation/UVVMtests/ci-decodinggearbox.do index 380741423b3c6a103626efd4fe51ba01e4341400..a15e446ca3968e44128062ffa80661db069bedb8 100644 --- a/simulation/UVVMtests/ci-decodinggearbox.do +++ b/simulation/UVVMtests/ci-decodinggearbox.do @@ -1,3 +1,22 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# jacopo pinzino +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ./DecodingGearBox_import_questa.tcl vsim work.decodinggearbox_tb work.glbl run -all diff --git a/simulation/UVVMtests/ci-decodingpixel.do b/simulation/UVVMtests/ci-decodingpixel.do index be102f1d5e1a968f68cd375570327cde63a4349f..8234664af83abe34e7015131a30f3eabe5325727 100644 --- a/simulation/UVVMtests/ci-decodingpixel.do +++ b/simulation/UVVMtests/ci-decodingpixel.do @@ -1,3 +1,22 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Marco +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ./DecodingPixel_import_questa.tcl vsim work.Decoding_pixel_tb work.glbl diff --git a/simulation/UVVMtests/ci-egroup.do b/simulation/UVVMtests/ci-egroup.do index 6ca8ba746a83f0b1800faafcdafc282e397b25f2..7c0847d863ff7c7406e51d9127ed6acb78e640f6 100644 --- a/simulation/UVVMtests/ci-egroup.do +++ b/simulation/UVVMtests/ci-egroup.do @@ -1,3 +1,22 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# jacopo pinzino +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ./GBT_FULL_import_questa.tcl vsim -voptargs=+acc work.egroup_tb(arch) work.glbl #add wave -group CD_COUNTER -position insertpoint sim:/egroup_tb/i_test_harness/DUT/PATH_FIFO_DRIVERs(0)/FDn/Module_enable/SCDataMANAGER_inst/g_trunc/CD_COUNTER_inst/* diff --git a/simulation/UVVMtests/ci-encodingepath.do b/simulation/UVVMtests/ci-encodingepath.do index 78dcf859e7886cd743b03c0620def7eeb1ee9935..22a2ec1b67d70f4bc396a079cde26c6c87885349 100644 --- a/simulation/UVVMtests/ci-encodingepath.do +++ b/simulation/UVVMtests/ci-encodingepath.do @@ -1,3 +1,22 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Nico Giangiacomi +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ./EncodingEPath_import_questa.tcl vsim work.EncodingEpath_tb work.glbl run -all diff --git a/simulation/UVVMtests/ci-encodingepath.sh b/simulation/UVVMtests/ci-encodingepath.sh index 531f70510545a931dcd9e4611b9eff21dddf2f27..0abdaf592deb16406e1f3699f8524b8efd1d7a99 100755 --- a/simulation/UVVMtests/ci-encodingepath.sh +++ b/simulation/UVVMtests/ci-encodingepath.sh @@ -1,4 +1,23 @@ #!/bin/bash +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Elena Zhivun +# Frans Schreuder +# Ohad Shaked +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + #Installation path and license server at Nikhef. For other institutes, please try another location. echo "free -h" free -h diff --git a/simulation/UVVMtests/ci-endeavour.do b/simulation/UVVMtests/ci-endeavour.do index ff85f8c572bf38f76dd18114ea01651b21fc0d94..469ae7b40ea9ebb85b71c6d59c421c274630148d 100644 --- a/simulation/UVVMtests/ci-endeavour.do +++ b/simulation/UVVMtests/ci-endeavour.do @@ -1,3 +1,23 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# jacopo pinzino +# Frans Schreuder +# Elena Zhivun +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ./GBT_FULL_import_questa.tcl diff --git a/simulation/UVVMtests/ci-strips.do b/simulation/UVVMtests/ci-strips.do index e08bec7a44a6544fc1b10054ce8f25664c4cd4f4..379848fee778b6667883ede92aa92400ead36241 100755 --- a/simulation/UVVMtests/ci-strips.do +++ b/simulation/UVVMtests/ci-strips.do @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Elena Zhivun +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ./strips_import_questa.tcl set strips_tb {tb_bypass_frame_aggregator \ diff --git a/simulation/UVVMtests/ci-validate_8b10b.do b/simulation/UVVMtests/ci-validate_8b10b.do index 7faf0db611102597206c299dddc87820154db8a3..6828b16d93f9ca50cdd5ca0fdaa3d2fbee16c8a9 100644 --- a/simulation/UVVMtests/ci-validate_8b10b.do +++ b/simulation/UVVMtests/ci-validate_8b10b.do @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Ohad Shaked +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ./GBT_FULL_import_questa.tcl vsim -voptargs="+acc" work.validate_8b10b_tb work.glbl add wave -group top sim:/validate_8b10b_tb/* diff --git a/simulation/UVVMtests/ci-validate_wrap_EncDec_8b10b.do b/simulation/UVVMtests/ci-validate_wrap_EncDec_8b10b.do index a93c32c1438c28d6b2f112f1dc89eb7fdc4f3adf..ead5736c6199d30bcf7c16db4699014aada12602 100644 --- a/simulation/UVVMtests/ci-validate_wrap_EncDec_8b10b.do +++ b/simulation/UVVMtests/ci-validate_wrap_EncDec_8b10b.do @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Ohad Shaked +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ./GBT_FULL_import_questa.tcl vsim -voptargs="+acc" work.validate_wrap_8b10b_tb work.glbl add wave -group top sim:/validate_wrap_8b10b_tb/* diff --git a/simulation/UVVMtests/ci-xcodingepath.do b/simulation/UVVMtests/ci-xcodingepath.do index c680e14a1882caf89c8aae65ba198870a052d7d0..479bfc1545e9b955798ea0f173e9a77aef4d7124 100644 --- a/simulation/UVVMtests/ci-xcodingepath.do +++ b/simulation/UVVMtests/ci-xcodingepath.do @@ -1,3 +1,22 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Nico Giangiacomi +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ./EncodingEPath_import_questa.tcl vsim work.XcodingEpath_tb work.glbl run -all diff --git a/simulation/UVVMtests/ci.sh b/simulation/UVVMtests/ci.sh index 3179676e87482759394e18e21f0876f8e2cdcc68..efcaefcb985c8228313c1fb1254a0bc3efda7d23 100755 --- a/simulation/UVVMtests/ci.sh +++ b/simulation/UVVMtests/ci.sh @@ -1,4 +1,23 @@ #!/bin/bash +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Elena Zhivun +# Frans Schreuder +# Ohad Shaked +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + #Installation path and license server at Nikhef. For other institutes, please try another location. echo "free -h" free -h diff --git a/simulation/UVVMtests/crc20_import_questa.tcl b/simulation/UVVMtests/crc20_import_questa.tcl index 930e2b652eceaa4411edbfe913e81ef69dc7f351..0802ed2b4b1869c806f0c7aaf219e4c704217302 100644 --- a/simulation/UVVMtests/crc20_import_questa.tcl +++ b/simulation/UVVMtests/crc20_import_questa.tcl @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ../../scripts/helper/clear_filesets.tcl set XIL_PROJECTS "FLX712_FULLMODE" diff --git a/simulation/UVVMtests/external_editor.tcl b/simulation/UVVMtests/external_editor.tcl index 2065f7f66c84b694fb42414e15cc9c24436748b0..7dff4974892ce3ba7d86e406db9457a5a44c5196 100644 --- a/simulation/UVVMtests/external_editor.tcl +++ b/simulation/UVVMtests/external_editor.tcl @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + proc external_editor {filename linenumber} { exec geany -l $linenumber $filename & } diff --git a/simulation/UVVMtests/runsim.do b/simulation/UVVMtests/runsim.do index 93cd998acf611946f523d74019ef483140429467..29ccebbae89b77b0f2683b4d21a0e5ebb7fb9f59 100644 --- a/simulation/UVVMtests/runsim.do +++ b/simulation/UVVMtests/runsim.do @@ -1,3 +1,22 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Ali Skaf +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + vsim work.egroup_tb(arch) add wave -position insertpoint sim:/egroup_tb/* diff --git a/simulation/UVVMtests/setupBolognaEnv.sh b/simulation/UVVMtests/setupBolognaEnv.sh index 68324b2ae4c4dce1a4d6964897147c0e8c027964..abca20d3b446254f0c375931b88788d2b6ff62c0 100644 --- a/simulation/UVVMtests/setupBolognaEnv.sh +++ b/simulation/UVVMtests/setupBolognaEnv.sh @@ -1,4 +1,22 @@ #!/bin/bash +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Nico Giangiacomi +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + THISDIR=$(dirname "${BASH_SOURCE[0]}" ) SIMDIR=$THISDIR/.. diff --git a/simulation/UVVMtests/sim/vip_egr/egr_bfm_pkg.vhd b/simulation/UVVMtests/sim/vip_egr/egr_bfm_pkg.vhd index f82b1a2137ad0b62f5a5e2a274def56e67b5128e..b5240ac7051670620fb0307be0e200f86e6376f3 100644 --- a/simulation/UVVMtests/sim/vip_egr/egr_bfm_pkg.vhd +++ b/simulation/UVVMtests/sim/vip_egr/egr_bfm_pkg.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Ali Skaf +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --======================================================================================================================== -- ATLAS -- FELIX UVVM test automation project diff --git a/simulation/UVVMtests/sim/vip_egr/egr_vvc.vhd b/simulation/UVVMtests/sim/vip_egr/egr_vvc.vhd index a80ae54ce8939f756b70dcf29a2af5a18704ac63..660495c79b527af39fc4076cf42cd1e5e8f685c8 100644 --- a/simulation/UVVMtests/sim/vip_egr/egr_vvc.vhd +++ b/simulation/UVVMtests/sim/vip_egr/egr_vvc.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Ali Skaf +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --======================================================================================================================== -- ATLAS -- FELIX UVVM test automation project diff --git a/simulation/UVVMtests/sim/vip_egr/td_queue_pkg.vhd b/simulation/UVVMtests/sim/vip_egr/td_queue_pkg.vhd index 899fa3c18f34a98a79ddf1aedc105e477fa41cfd..13166bf151fca10b277c939aa68ba8a1e3fb45c1 100644 --- a/simulation/UVVMtests/sim/vip_egr/td_queue_pkg.vhd +++ b/simulation/UVVMtests/sim/vip_egr/td_queue_pkg.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Ali Skaf +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --======================================================================================================================== -- Copyright (c) 2017 by Bitvis AS. All rights reserved. -- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not, diff --git a/simulation/UVVMtests/sim/vip_egr/td_target_support_pkg.vhd b/simulation/UVVMtests/sim/vip_egr/td_target_support_pkg.vhd index 3aec7708c0238c0032dccf777db1e107622b4dfe..55150ff56d2c66e511ed9a7e5b51dbbffee7306e 100644 --- a/simulation/UVVMtests/sim/vip_egr/td_target_support_pkg.vhd +++ b/simulation/UVVMtests/sim/vip_egr/td_target_support_pkg.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Ali Skaf +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --======================================================================================================================== -- Copyright (c) 2017 by Bitvis AS. All rights reserved. -- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not, diff --git a/simulation/UVVMtests/sim/vip_egr/td_vvc_entity_support_pkg.vhd b/simulation/UVVMtests/sim/vip_egr/td_vvc_entity_support_pkg.vhd index 680126a553746add848d5200f09cb5516d577167..09e91029d8de3e3b94d4a883123e9ae0c8341160 100644 --- a/simulation/UVVMtests/sim/vip_egr/td_vvc_entity_support_pkg.vhd +++ b/simulation/UVVMtests/sim/vip_egr/td_vvc_entity_support_pkg.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Ali Skaf +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --======================================================================================================================== -- Copyright (c) 2017 by Bitvis AS. All rights reserved. -- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not, diff --git a/simulation/UVVMtests/sim/vip_egr/td_vvc_framework_common_methods_pkg.vhd b/simulation/UVVMtests/sim/vip_egr/td_vvc_framework_common_methods_pkg.vhd index 141bccf6fd9a998cc286db7feb0ff55844fe9592..60c8862093105d2d4ea080df7d84f29dc64fff04 100644 --- a/simulation/UVVMtests/sim/vip_egr/td_vvc_framework_common_methods_pkg.vhd +++ b/simulation/UVVMtests/sim/vip_egr/td_vvc_framework_common_methods_pkg.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Ali Skaf +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --======================================================================================================================== -- Copyright (c) 2017 by Bitvis AS. All rights reserved. -- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not, diff --git a/simulation/UVVMtests/sim/vip_egr/vvc_cmd_pkg.vhd b/simulation/UVVMtests/sim/vip_egr/vvc_cmd_pkg.vhd index 50d041af1727b32029e129ba74e76fe12a038ed6..d89eadd75ffb97c1186a32ecf8e2d6466aec79db 100644 --- a/simulation/UVVMtests/sim/vip_egr/vvc_cmd_pkg.vhd +++ b/simulation/UVVMtests/sim/vip_egr/vvc_cmd_pkg.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Ali Skaf +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --======================================================================================================================== -- ATLAS -- FELIX UVVM test automation project diff --git a/simulation/UVVMtests/sim/vip_egr/vvc_methods_pkg.vhd b/simulation/UVVMtests/sim/vip_egr/vvc_methods_pkg.vhd index ac59c729ba343e0d8d6960acc61394f5b39886c9..f689b29c9ba56bad710fa27e14d01ff6232dcf60 100644 --- a/simulation/UVVMtests/sim/vip_egr/vvc_methods_pkg.vhd +++ b/simulation/UVVMtests/sim/vip_egr/vvc_methods_pkg.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Ali Skaf +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --======================================================================================================================== -- ATLAS -- FELIX UVVM test automation project diff --git a/simulation/UVVMtests/src/Egroup.vhd b/simulation/UVVMtests/src/Egroup.vhd index 0021c8953cd8beb8073389efdc4b4c9d1911fe0e..34171f6a9a35622a5658d19c2a8c5369c3b58faa 100644 --- a/simulation/UVVMtests/src/Egroup.vhd +++ b/simulation/UVVMtests/src/Egroup.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Israel Grayzman +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- ATLAS -- FELIX UVVM test automation project diff --git a/simulation/UVVMtests/strips_import_questa.tcl b/simulation/UVVMtests/strips_import_questa.tcl index f0c56753f9d465d3103d5ca2cb09c87756d9d267..9a26ecfe6dac5292801010b7722d182e830bf75c 100755 --- a/simulation/UVVMtests/strips_import_questa.tcl +++ b/simulation/UVVMtests/strips_import_questa.tcl @@ -1,3 +1,22 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Elena Zhivun +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ../../scripts/helper/clear_filesets.tcl set XIL_PROJECTS "FLX712_FELIX" diff --git a/simulation/UVVMtests/tb/BusyVirtualElink_tb.vhd b/simulation/UVVMtests/tb/BusyVirtualElink_tb.vhd index 7fd99faa434da304f737cd7faf9b906e9267d4ec..c6205b5be0cf66618fed15a210d4341c322182c6 100644 --- a/simulation/UVVMtests/tb/BusyVirtualElink_tb.vhd +++ b/simulation/UVVMtests/tb/BusyVirtualElink_tb.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; diff --git a/simulation/UVVMtests/tb/ByteToAxiStream_tb.vhd b/simulation/UVVMtests/tb/ByteToAxiStream_tb.vhd index f64687c9c71f450fceb8288df24675c5aa49e2c3..a79d5556d525ccb91accbe2ac40ad7f28adc2ae4 100644 --- a/simulation/UVVMtests/tb/ByteToAxiStream_tb.vhd +++ b/simulation/UVVMtests/tb/ByteToAxiStream_tb.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; diff --git a/simulation/UVVMtests/tb/CRToHost_tb.vhd b/simulation/UVVMtests/tb/CRToHost_tb.vhd index 7a0cae48b97de1bce4d5bfaae3d09ad2a2544d58..33c93f893d06bf0bf07ac9522e356cc604d2e3de 100644 --- a/simulation/UVVMtests/tb/CRToHost_tb.vhd +++ b/simulation/UVVMtests/tb/CRToHost_tb.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; diff --git a/simulation/UVVMtests/tb/DecEgroup_8b10b_framegen.vhd b/simulation/UVVMtests/tb/DecEgroup_8b10b_framegen.vhd index 05946cfef3821ca18ac0078b02d94a20e234963e..f0089ffffa86e5e67a6a307d159bdaeccad9531e 100644 --- a/simulation/UVVMtests/tb/DecEgroup_8b10b_framegen.vhd +++ b/simulation/UVVMtests/tb/DecEgroup_8b10b_framegen.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library ieee, xpm; use ieee.std_logic_1164.all; use ieee.numeric_std.all; diff --git a/simulation/UVVMtests/tb/DecEgroup_8b10b_tb.vhd b/simulation/UVVMtests/tb/DecEgroup_8b10b_tb.vhd index 8c6d6adfafd0a655f8796c21c2edf8883058ee07..12a5e8f31d26c69488c8c4f479f2c4653bb2070d 100644 --- a/simulation/UVVMtests/tb/DecEgroup_8b10b_tb.vhd +++ b/simulation/UVVMtests/tb/DecEgroup_8b10b_tb.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; diff --git a/simulation/UVVMtests/tb/DecodingGearBox_tb.vhd b/simulation/UVVMtests/tb/DecodingGearBox_tb.vhd index 632179efb137db9cf1c2d07e571906fe0ca30a2a..e97b9545529d4b5fe7455f7f85bcba8bdd611250 100644 --- a/simulation/UVVMtests/tb/DecodingGearBox_tb.vhd +++ b/simulation/UVVMtests/tb/DecodingGearBox_tb.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- ATLAS -- FELIX UVVM test automation project diff --git a/simulation/UVVMtests/tb/Decoding_pixel_tb.vhd b/simulation/UVVMtests/tb/Decoding_pixel_tb.vhd index d767814faedd09dc8e465f11ae5f8ca0a7b50410..887bb7ffefa198d907fd4ffc664f6af852c88922 100644 --- a/simulation/UVVMtests/tb/Decoding_pixel_tb.vhd +++ b/simulation/UVVMtests/tb/Decoding_pixel_tb.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Marco +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- ATLAS -- FELIX UVVM test automation project diff --git a/simulation/UVVMtests/tb/Egroup_tb.vhd b/simulation/UVVMtests/tb/Egroup_tb.vhd index 3f8d8e37def650762e85f9def4d59af1e9babcee..2f3fee88cdf48bc8f2b76fca4ec4685501c3372e 100644 --- a/simulation/UVVMtests/tb/Egroup_tb.vhd +++ b/simulation/UVVMtests/tb/Egroup_tb.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Ali Skaf +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- ATLAS -- FELIX UVVM test automation project diff --git a/simulation/UVVMtests/tb/Egroup_th.vhd b/simulation/UVVMtests/tb/Egroup_th.vhd index fc3da6e0441b07e9c0232859a15e6d4a3800bcd5..02781592c6b04dd3217d6bda82bf018c4c4e590b 100644 --- a/simulation/UVVMtests/tb/Egroup_th.vhd +++ b/simulation/UVVMtests/tb/Egroup_th.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Ali Skaf +--! Ohad Shaked +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --------------------------------------------------------------------------------- -- ATLAS -- FELIX UVVM test automation project diff --git a/simulation/UVVMtests/tb/EncodingEpath_tb.vhd b/simulation/UVVMtests/tb/EncodingEpath_tb.vhd index 88a259050afafc8281abb882a6d87db8ef245186..69ee68c12cb150322acc6877115a2481a3284536 100644 --- a/simulation/UVVMtests/tb/EncodingEpath_tb.vhd +++ b/simulation/UVVMtests/tb/EncodingEpath_tb.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Kazuki Todome +--! Nico Giangiacomi +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- ATLAS -- FELIX UVVM test automation project diff --git a/simulation/UVVMtests/tb/FELIXDataSink.vhd b/simulation/UVVMtests/tb/FELIXDataSink.vhd index 7f0ee26dcdd045bef284e3ec1873a02572f31168..44b1f6c62ab5e5cf48a276d0b4311b96b861c276 100644 --- a/simulation/UVVMtests/tb/FELIXDataSink.vhd +++ b/simulation/UVVMtests/tb/FELIXDataSink.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Filiberto Bonini +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- ATLAS -- FELIX UVVM test automation project diff --git a/simulation/UVVMtests/tb/FELIXDataSource.vhd b/simulation/UVVMtests/tb/FELIXDataSource.vhd index bdba0d6cc8f3394c2caa1e2a3b0718559b2c88ca..c87112a2ffff075c3b518be50214fd926eea7846 100644 --- a/simulation/UVVMtests/tb/FELIXDataSource.vhd +++ b/simulation/UVVMtests/tb/FELIXDataSource.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Marius Wensing +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- ATLAS -- FELIX UVVM test automation project diff --git a/simulation/UVVMtests/tb/FULLModeToHost_tb.vhd b/simulation/UVVMtests/tb/FULLModeToHost_tb.vhd index 495bdbb842b9e9c4427a685d13d2eb6af9c959a7..67fdc8124089f1038ecbd3779a6092559f967359 100644 --- a/simulation/UVVMtests/tb/FULLModeToHost_tb.vhd +++ b/simulation/UVVMtests/tb/FULLModeToHost_tb.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Filiberto Bonini +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- ATLAS -- FELIX UVVM test automation project diff --git a/simulation/UVVMtests/tb/GBTCrCoding_tb.vhd b/simulation/UVVMtests/tb/GBTCrCoding_tb.vhd index 0ea82630e94f6e8e2c4d4cc1bbf9792e310487b2..e1a17c2dbff0b50999494153cf32698c1b63033c 100644 --- a/simulation/UVVMtests/tb/GBTCrCoding_tb.vhd +++ b/simulation/UVVMtests/tb/GBTCrCoding_tb.vhd @@ -1,3 +1,23 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Marius Wensing +--! Filiberto Bonini +--! Nico Giangiacomi +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- file: GBTCrCoding_tb.vhd. -- Testbench to excercise CrFromHost, CRToHost, encoding, decoding in GBT mode diff --git a/simulation/UVVMtests/tb/GBTLinkToHost_tb.vhd b/simulation/UVVMtests/tb/GBTLinkToHost_tb.vhd index f1a29330c9121a8ce0035a42abe0f740dc56e3b3..7e0c9be6a2bbf6ce403f7958cb7c515908b3e19a 100644 --- a/simulation/UVVMtests/tb/GBTLinkToHost_tb.vhd +++ b/simulation/UVVMtests/tb/GBTLinkToHost_tb.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Filiberto Bonini +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- ATLAS -- FELIX UVVM test automation project diff --git a/simulation/UVVMtests/tb/TTCToHostVirtualElink_tb.vhd b/simulation/UVVMtests/tb/TTCToHostVirtualElink_tb.vhd index bcf5407838072f0a6bf99d1777e4e7e4a8c3d37e..9428bd29d8972d23b646bf872867236aaf637f0f 100644 --- a/simulation/UVVMtests/tb/TTCToHostVirtualElink_tb.vhd +++ b/simulation/UVVMtests/tb/TTCToHostVirtualElink_tb.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; diff --git a/simulation/UVVMtests/tb/XcodingEpath_tb.vhd b/simulation/UVVMtests/tb/XcodingEpath_tb.vhd index a2cedbcad8f7e9886e64ef52696679ed25172728..0ea618ae67fe61108f27f5abb453d5037f79eb47 100644 --- a/simulation/UVVMtests/tb/XcodingEpath_tb.vhd +++ b/simulation/UVVMtests/tb/XcodingEpath_tb.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Filiberto Bonini +--! Nico Giangiacomi +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- ATLAS -- FELIX UVVM test automation project diff --git a/simulation/UVVMtests/tb/centralRouter_tb.vhd b/simulation/UVVMtests/tb/centralRouter_tb.vhd index 5ebb03561ebdd274ba846682cf82392c1e4c4122..cc0e7b3eda07239ad3e486dd42f3f58ea30443ce 100644 --- a/simulation/UVVMtests/tb/centralRouter_tb.vhd +++ b/simulation/UVVMtests/tb/centralRouter_tb.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- ATLAS -- FELIX UVVM test automation project diff --git a/simulation/UVVMtests/tb/crc20_datagen.vhd b/simulation/UVVMtests/tb/crc20_datagen.vhd index 1722f9b3155621d7e9e5e005ac2d56b677d6f006..b93f5b9e9b8fae0850062359e763381032542c76 100644 --- a/simulation/UVVMtests/tb/crc20_datagen.vhd +++ b/simulation/UVVMtests/tb/crc20_datagen.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: Nikhef -- Engineer: Frans Schreuder diff --git a/simulation/UVVMtests/tb/crc20_tb.vhd b/simulation/UVVMtests/tb/crc20_tb.vhd index e2595ceed67d28adf4f1d5714e75ab006b4196da..2644586c0a0a1a9032934a54c2281a56c7e5710c 100644 --- a/simulation/UVVMtests/tb/crc20_tb.vhd +++ b/simulation/UVVMtests/tb/crc20_tb.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --======================================================================================================================== -- Copyright (c) 2017 by Bitvis AS. All rights reserved. -- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not, diff --git a/simulation/UVVMtests/tb/lookup_8b10b.vhd b/simulation/UVVMtests/tb/lookup_8b10b.vhd index eb771f647881e3f763250104f11b36d9c563b312..11d51c92ccf29558f92a75930504ac4fa7316109 100644 --- a/simulation/UVVMtests/tb/lookup_8b10b.vhd +++ b/simulation/UVVMtests/tb/lookup_8b10b.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Ohad Shaked +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library ieee; use ieee.std_logic_1164.all; diff --git a/simulation/UVVMtests/tb/validate_8b10b_tb.vhd b/simulation/UVVMtests/tb/validate_8b10b_tb.vhd index 913088ee73b3df006196f82d0948dda710154ed3..fc160491ca8dda0ff50f9088f446aa5a10e72d10 100644 --- a/simulation/UVVMtests/tb/validate_8b10b_tb.vhd +++ b/simulation/UVVMtests/tb/validate_8b10b_tb.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Ohad Shaked +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -- Chuck Benz, Hollis, NH Copyright (c)2002 -- -- The information and description contained herein is the diff --git a/simulation/UVVMtests/tb/validate_wrap_8b10b_tb.vhd b/simulation/UVVMtests/tb/validate_wrap_8b10b_tb.vhd index 4dab41c790002c35d0e0d8ceb6fc66ae15097eb5..d16db1730ed387078e1a0e4415aad965acd446a3 100644 --- a/simulation/UVVMtests/tb/validate_wrap_8b10b_tb.vhd +++ b/simulation/UVVMtests/tb/validate_wrap_8b10b_tb.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Ohad Shaked +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -- Chuck Benz, Hollis, NH Copyright (c)2002 -- -- The information and description contained herein is the diff --git a/simulation/UVVMtests/validate_8b10b_import_questa.tcl b/simulation/UVVMtests/validate_8b10b_import_questa.tcl index 8fa2ad42b8e8c029c55c31dbcb97b89a21641b7b..fa164f6fdffa5d63e277bca497ebfacbf94cef21 100644 --- a/simulation/UVVMtests/validate_8b10b_import_questa.tcl +++ b/simulation/UVVMtests/validate_8b10b_import_questa.tcl @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + source ../../scripts/helper/clear_filesets.tcl set XIL_PROJECTS "FLX712_FULLMODE" diff --git a/simulation/UVVMtests/wave_Alignment_all.do b/simulation/UVVMtests/wave_Alignment_all.do index 964d562e4e36953dead6c04a6c1222d353119206..e12c975de80a6ffcae708696d1a22ab69d558b56 100644 --- a/simulation/UVVMtests/wave_Alignment_all.do +++ b/simulation/UVVMtests/wave_Alignment_all.do @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Ohad Shaked +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + onerror {resume} quietly WaveActivateNextPane {} 0 add wave -noupdate -color Coral /egroup_tb/i_test_harness/Data16bitIN diff --git a/simulation/UVVMtests/wave_Realignment.do b/simulation/UVVMtests/wave_Realignment.do index d76465fe17d374437f77c1f7f1b1fde54ae639aa..d98953f5004e8f001b8e9d71015c57392599d67e 100644 --- a/simulation/UVVMtests/wave_Realignment.do +++ b/simulation/UVVMtests/wave_Realignment.do @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Ohad Shaked +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + onerror {resume} quietly WaveActivateNextPane {} 0 add wave -noupdate -color Coral /egroup_tb/i_test_harness/Data16bitIN diff --git a/simulation/UVVMtests/wave_all_debug_8b10bRealign.do b/simulation/UVVMtests/wave_all_debug_8b10bRealign.do index d545260a1ef93ec60a71bdb5877473e7201314f7..ecde6aabf4e7d5739ff1f3fc98214f7ff100107c 100644 --- a/simulation/UVVMtests/wave_all_debug_8b10bRealign.do +++ b/simulation/UVVMtests/wave_all_debug_8b10bRealign.do @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Ohad Shaked +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + onerror {resume} quietly WaveActivateNextPane {} 0 add wave -noupdate -color Coral /egroup_tb/i_test_harness/Data16bitIN diff --git a/simulation/UVVMtests/wave_test_8b10b_Paths.do b/simulation/UVVMtests/wave_test_8b10b_Paths.do index 1d5909be452968687775611f2ac4fc473c041a73..c607350a4df45bb035b5423ec42f090f74125f99 100644 --- a/simulation/UVVMtests/wave_test_8b10b_Paths.do +++ b/simulation/UVVMtests/wave_test_8b10b_Paths.do @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Ohad Shaked +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + onerror {resume} quietly WaveActivateNextPane {} 0 add wave -noupdate /egroup_tb/i_test_harness/DUT/clk160 diff --git a/simulation/UVVMtests/wave_trailer_test.do b/simulation/UVVMtests/wave_trailer_test.do index 11d6866f77ce7c85de1dae243f62ca024ac59f16..c61e6fda576838d33a2657f943738a699aa0adea 100644 --- a/simulation/UVVMtests/wave_trailer_test.do +++ b/simulation/UVVMtests/wave_trailer_test.do @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Ohad Shaked +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + onerror {resume} quietly WaveActivateNextPane {} 0 add wave -noupdate /egroup_tb/i_test_harness/DUT/Realignment_en diff --git a/simulation/Wupper/VSim_Functional.tcl b/simulation/Wupper/VSim_Functional.tcl index 3786763eac48a5a0432f0cec205448ce591669e6..33dd5bc02b29d7c639b09c85b11310a79c4b16f9 100755 --- a/simulation/Wupper/VSim_Functional.tcl +++ b/simulation/Wupper/VSim_Functional.tcl @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + ### start simulation vsim -t ps -novopt +notimingchecks -L unisim work.virtex7_dma_top diff --git a/simulation/Wupper/pcie_ep_sim_model.vhd b/simulation/Wupper/pcie_ep_sim_model.vhd index 656911fa04364d0e99f4af40a7f74a209c6288a2..40aeef9a2c25b810782e85d776f1d107ed8c9056 100644 --- a/simulation/Wupper/pcie_ep_sim_model.vhd +++ b/simulation/Wupper/pcie_ep_sim_model.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!------------------------------------------------------------------------------ --! --! NIKHEF - National Institute for Subatomic Physics diff --git a/simulation/Wupper/pcie_ep_wrap_sim_circularDMA.vhd b/simulation/Wupper/pcie_ep_wrap_sim_circularDMA.vhd index f6ca889c65654922a44a35a6a6ab566c6b05aa7c..a2f8978ce1b5c2429387fbd7e73625bb1814f987 100644 --- a/simulation/Wupper/pcie_ep_wrap_sim_circularDMA.vhd +++ b/simulation/Wupper/pcie_ep_wrap_sim_circularDMA.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!------------------------------------------------------------------------------ --! @@ -27,21 +44,6 @@ --! --! --! ------------------------------------------------------------------------------ ---! Virtex7 PCIe Gen3 DMA Core ---! ---! \copyright GNU LGPL License ---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br> ---! This library is free software; you can redistribute it and/or ---! modify it under the terms of the GNU Lesser General Public ---! License as published by the Free Software Foundation; either ---! version 3.0 of the License, or (at your option) any later version. ---! This library is distributed in the hope that it will be useful, ---! but WITHOUT ANY WARRANTY; without even the implied warranty of ---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU ---! Lesser General Public License for more details.<br> ---! You should have received a copy of the GNU Lesser General Public ---! License along with this library. ---! -- --! @brief ieee diff --git a/simulation/Wupper/project.do b/simulation/Wupper/project.do index d44e41b8be169bb0dc73153bf862ffc4714b05a5..4efac1ed2fc124c95ae1f93359fc8cd49106b913 100644 --- a/simulation/Wupper/project.do +++ b/simulation/Wupper/project.do @@ -1,3 +1,22 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# RHabraken +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + set projectEnv [project env] if { [string length $projectEnv]==0} { puts "no project open" diff --git a/simulation/Wupper/start.do b/simulation/Wupper/start.do index 97c45e97aa9860e6bad64d53310824f7a76f6d03..1abf95adf8eeaa152311b01710a59b97605e7d24 100644 --- a/simulation/Wupper/start.do +++ b/simulation/Wupper/start.do @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + ################################################################## ### Functions declaration ## -- start diff --git a/simulation/Wupper/wave.do b/simulation/Wupper/wave.do index 723ede777a44d1427376cc5ac1f3b265f497b786..921aab6e13f8ccbd2045091364f55febca05269f 100644 --- a/simulation/Wupper/wave.do +++ b/simulation/Wupper/wave.do @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + onerror {resume} quietly WaveActivateNextPane {} 0 add wave -noupdate -group application /virtex7_dma_top/u0/appreg_clk diff --git a/simulation/Wupper/wupper_tb.vhd b/simulation/Wupper/wupper_tb.vhd index 589173c0ebcc32bbf4a8ccd6689b2af4eb8a4a0d..26e6e0186ac365560b3cc7ac39d3bf92fe068311 100644 --- a/simulation/Wupper/wupper_tb.vhd +++ b/simulation/Wupper/wupper_tb.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!------------------------------------------------------------------------------ --! @@ -29,21 +46,6 @@ --! --! --! ------------------------------------------------------------------------------ ---! Virtex7 PCIe Gen3 DMA Core ---! ---! \copyright GNU LGPL License ---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br> ---! This library is free software; you can redistribute it and/or ---! modify it under the terms of the GNU Lesser General Public ---! License as published by the Free Software Foundation; either ---! version 3.0 of the License, or (at your option) any later version. ---! This library is distributed in the hope that it will be useful, ---! but WITHOUT ANY WARRANTY; without even the implied warranty of ---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU ---! Lesser General Public License for more details.<br> ---! You should have received a copy of the GNU Lesser General Public ---! License along with this library. ---! -- --! @brief ieee diff --git a/simulation/centralRouter/EGROUP_Reader.vhd b/simulation/centralRouter/EGROUP_Reader.vhd index ff0ab7ce70704dbe4630debe6d45c6efd9734d07..1d323ed894baa4d11d7e31f470d1f9e38d177a2a 100644 --- a/simulation/centralRouter/EGROUP_Reader.vhd +++ b/simulation/centralRouter/EGROUP_Reader.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: -- Engineer: diff --git a/simulation/centralRouter/ISRAELGR_TB_centralRouter_TOP.vhd b/simulation/centralRouter/ISRAELGR_TB_centralRouter_TOP.vhd index f0067a10eb5112bb819390bfb204c34c0794ace5..ccf058c2b13a108f002cb1907054772941e7ddd3 100644 --- a/simulation/centralRouter/ISRAELGR_TB_centralRouter_TOP.vhd +++ b/simulation/centralRouter/ISRAELGR_TB_centralRouter_TOP.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Julia Narevicius +--! Israel Grayzman +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/simulation/centralRouter/TB_CRFM_TOP.vhd b/simulation/centralRouter/TB_CRFM_TOP.vhd index 1568eb8ea1648b3e4573c0159f6a4e74d696afa5..9a75b6a4badfac2b5ac8d346daeee7d17d3990ba 100644 --- a/simulation/centralRouter/TB_CRFM_TOP.vhd +++ b/simulation/centralRouter/TB_CRFM_TOP.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/simulation/centralRouter/TB_EPROC_OUTx_HDLC.vhd b/simulation/centralRouter/TB_EPROC_OUTx_HDLC.vhd index c8ad52b58cd1e4fd58a200f09bd2429b8c7110c2..48a50139825b96b9856a590f6072a1d9c3a6e9ef 100644 --- a/simulation/centralRouter/TB_EPROC_OUTx_HDLC.vhd +++ b/simulation/centralRouter/TB_EPROC_OUTx_HDLC.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: -- Engineer: diff --git a/simulation/centralRouter/TB_EPROC_to_EPROC.vhd b/simulation/centralRouter/TB_EPROC_to_EPROC.vhd index aa5c5a56d29de77574b7df3ed70144e22de2f615..4a52eeadedffe34d07fc62e240eb6659241f194f 100644 --- a/simulation/centralRouter/TB_EPROC_to_EPROC.vhd +++ b/simulation/centralRouter/TB_EPROC_to_EPROC.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: -- Engineer: diff --git a/simulation/centralRouter/TB_GBT_DATA_MANAGER_TOP.vhd b/simulation/centralRouter/TB_GBT_DATA_MANAGER_TOP.vhd index 9dea76ae2fd4493a73b6a83c928303e2215f9e5a..8229da2f4af20bf2450540568636f4986c6c352f 100644 --- a/simulation/centralRouter/TB_GBT_DATA_MANAGER_TOP.vhd +++ b/simulation/centralRouter/TB_GBT_DATA_MANAGER_TOP.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/simulation/centralRouter/TB_centralRouter_TOP.vhd b/simulation/centralRouter/TB_centralRouter_TOP.vhd index 8a067b843817d5c374ad1575be847ec535814695..b4d21ee338d931fdd1d854ad2c7d4beb69ae2467 100644 --- a/simulation/centralRouter/TB_centralRouter_TOP.vhd +++ b/simulation/centralRouter/TB_centralRouter_TOP.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Julia Narevicius +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/simulation/centralRouter/project.do b/simulation/centralRouter/project.do index 76f02c25b4705b43fe4a4f137306e32d3d93ba4b..3cb703e46396df9b9eba4a3eb9339639d5d705c2 100644 --- a/simulation/centralRouter/project.do +++ b/simulation/centralRouter/project.do @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + set data_width 256 if {$data_width == 256} { diff --git a/simulation/centralRouter/project_emu.do b/simulation/centralRouter/project_emu.do index f300f7a01730ee1605d1bf716277b88350524167..42b4b0f0f223999edb8c37162bc2694748301712 100644 --- a/simulation/centralRouter/project_emu.do +++ b/simulation/centralRouter/project_emu.do @@ -1,3 +1,21 @@ + +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + project addfile ../../sources/GBTlinksDataEmulator/GBTdataEmulator.vhd project addfile ../../Projects/felix_top/felix_top.srcs/sources_1/ip/emuram_0/emuram_0_funcsim.vhdl diff --git a/simulation/centralRouter/tb_EGROUP.vhd b/simulation/centralRouter/tb_EGROUP.vhd index f637b63f3b7be107c67e8186614d667ad5ff6f92..39993973721c505476774fb0a1932b704d3f5bdd 100644 --- a/simulation/centralRouter/tb_EGROUP.vhd +++ b/simulation/centralRouter/tb_EGROUP.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Marco Trovato +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: -- Engineer: diff --git a/simulation/centralRouter/tb_upstreamEpathFifoWrap.vhd b/simulation/centralRouter/tb_upstreamEpathFifoWrap.vhd index 31230b862fa2cf6d9868961e7ce0a4f1f3e514a9..9c44ea530a9149f9c51549a3c438afb5e6ac1562 100644 --- a/simulation/centralRouter/tb_upstreamEpathFifoWrap.vhd +++ b/simulation/centralRouter/tb_upstreamEpathFifoWrap.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library ieee,work; use ieee.std_logic_1164.all; use ieee.numeric_std.all; diff --git a/sources/64b66b/64b66b_DecodingGearBox.vhd b/sources/64b66b/64b66b_DecodingGearBox.vhd index e1f7935aeeb03690ea9a1f69b4377d90dabbeb2a..4489195755d8db16920bbaad89d82e03e0c48d0a 100644 --- a/sources/64b66b/64b66b_DecodingGearBox.vhd +++ b/sources/64b66b/64b66b_DecodingGearBox.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! mtrovato +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: Nikhef, Argonne National Laboratory --! Engineer: Frans Schreuder (initially written by), Marco Trovato diff --git a/sources/64b66b/64b66b_block_sync_sm.v b/sources/64b66b/64b66b_block_sync_sm.v index 9855eb43a77941271f2b872136a78dd793ca3091..1327422a98500d4afc100a05947822bde87215bf 100644 --- a/sources/64b66b/64b66b_block_sync_sm.v +++ b/sources/64b66b/64b66b_block_sync_sm.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! mtrovato +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + //---------------------------------------------------------------------------------- //--! Company: Argonne National Laboratory //--! Engineer: Marco Trovato diff --git a/sources/64b66b/64b66b_cdc_sync.v b/sources/64b66b/64b66b_cdc_sync.v index 7fb79fd53245d75bc051dedb4f95bb9350c3b938..62c76dfd8c466b567a8059bfc4b9d4a05d590df3 100644 --- a/sources/64b66b/64b66b_cdc_sync.v +++ b/sources/64b66b/64b66b_cdc_sync.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! mtrovato +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + /////////////////////////////////////////////////////////////////////////////// // (c) Copyright 2013 Xilinx, Inc. All rights reserved. // diff --git a/sources/64b66b/64b66b_clock_module.v b/sources/64b66b/64b66b_clock_module.v index c8ecc6223a39fddec85efee1b52376290e702161..8e936ab34c0c74bf45287963a1780fe5d4f915a5 100644 --- a/sources/64b66b/64b66b_clock_module.v +++ b/sources/64b66b/64b66b_clock_module.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! mtrovato +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + /////////////////////////////////////////////////////////////////////////////// // // Project: Aurora 64B/66B diff --git a/sources/64b66b/64b66b_clock_module_LPGBT_KCU.v b/sources/64b66b/64b66b_clock_module_LPGBT_KCU.v index 4744357e955842f60516d54e4f97593a9b0fe052..3000cb5c09ad6981c24fb2f046089b7712348ce2 100644 --- a/sources/64b66b/64b66b_clock_module_LPGBT_KCU.v +++ b/sources/64b66b/64b66b_clock_module_LPGBT_KCU.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! mtrovato +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + // file: clk_wiz_test.v of the clk_wiz_lpgbt_6466b (IN=320, OUT1=40, OUT2=20) IP // // (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved. diff --git a/sources/64b66b/64b66b_clock_module_kcu.v b/sources/64b66b/64b66b_clock_module_kcu.v index 91b6ed4a66bb9ba134a4cc1b3bf0203129517b71..d48516d71f23d8ec5b034cadbaf611c6ea02a50b 100644 --- a/sources/64b66b/64b66b_clock_module_kcu.v +++ b/sources/64b66b/64b66b_clock_module_kcu.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! mtrovato +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + // file: clk_wiz_test.v of the clock wiza IP // // (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved. diff --git a/sources/64b66b/64b66b_clockmanagement.vhd b/sources/64b66b/64b66b_clockmanagement.vhd index d437d22201dd2e14c24b9fc99b8be98c01c36844..944327e5a2915dfbae3a22ce51d831c3baaa1357 100644 --- a/sources/64b66b/64b66b_clockmanagement.vhd +++ b/sources/64b66b/64b66b_clockmanagement.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! mtrovato +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; diff --git a/sources/64b66b/64b66b_decoding.vhd b/sources/64b66b/64b66b_decoding.vhd index 6968700f507824c693fa742d03c16ceb4769df90..23717d68041a0650bb5be6430fd2e13e904aba9a 100644 --- a/sources/64b66b/64b66b_decoding.vhd +++ b/sources/64b66b/64b66b_decoding.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! mtrovato +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: Argonne National Laboratory -- Engineer: Marco Trovato diff --git a/sources/64b66b/64b66b_descrambler.v b/sources/64b66b/64b66b_descrambler.v index 4e52f13169243eb7e4cb503ff66ed8b32ac12479..2ae94bf1eb4539756aa3c58153d55a1a69654bca 100644 --- a/sources/64b66b/64b66b_descrambler.v +++ b/sources/64b66b/64b66b_descrambler.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! mtrovato +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + //---------------------------------------------------------------------------------- //--! Company: Argonne National Laboratory //--! Engineer: Marco Trovato diff --git a/sources/64b66b/64b66b_deskew_64binterface.v b/sources/64b66b/64b66b_deskew_64binterface.v index 0e8e03c3870974ba60c50d1699fab4de0a97b0bc..fcae28ced2037be3418cde119b170d5a06cae0cf 100644 --- a/sources/64b66b/64b66b_deskew_64binterface.v +++ b/sources/64b66b/64b66b_deskew_64binterface.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! mtrovato +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + //---------------------------------------------------------------------------------- //--! Company: Argonne National Laboratory //--! Engineer: Marco Trovato diff --git a/sources/64b66b/64b66b_reset_logic.v b/sources/64b66b/64b66b_reset_logic.v index abb72826a907bdced8b787b5a894341eb0cb5a1f..abba85d54128e6bda39ddd3e068571c321fa1d3c 100644 --- a/sources/64b66b/64b66b_reset_logic.v +++ b/sources/64b66b/64b66b_reset_logic.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! mtrovato +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + /////////////////////////////////////////////////////////////////////////////// // (c) Copyright 2008 Xilinx, Inc. All rights reserved. diff --git a/sources/64b66b/64b66b_rx_lane_init_sm_simplex.v b/sources/64b66b/64b66b_rx_lane_init_sm_simplex.v index f3ce7cf5c819e8b9ac9219f28e60b840fc437e64..24865732c541340157ac7cf13e374b5a3d507180 100644 --- a/sources/64b66b/64b66b_rx_lane_init_sm_simplex.v +++ b/sources/64b66b/64b66b_rx_lane_init_sm_simplex.v @@ -1,3 +1,21 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Marco +//! mtrovato +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + module aurora_64b66b_0_SIMPLEX_RX_LANE_INIT_SM # diff --git a/sources/64b66b/64b66b_sym_dec.v b/sources/64b66b/64b66b_sym_dec.v index cb24c0c89c06b71d48b00594bbd29461bcea5ddc..efcb760c5fd9ac528103239ad623b67dd32bd32e 100644 --- a/sources/64b66b/64b66b_sym_dec.v +++ b/sources/64b66b/64b66b_sym_dec.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! mtrovato +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + module aurora_64b66b_0_SYM_DEC ( RX_ENABLE_ERR_DETECT, diff --git a/sources/64b66b/64b66b_top.vhd b/sources/64b66b/64b66b_top.vhd index c3b04c771ade8514cb605f6a6fc7311186a76e49..9e355383e5f1ccbaff90727690b11332182b4d34 100644 --- a/sources/64b66b/64b66b_top.vhd +++ b/sources/64b66b/64b66b_top.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! mtrovato +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library IEEE; use IEEE.STD_LOGIC_1164.ALL; --use work.axi_stream_package.all; diff --git a/sources/64b66b/Aggregator_64b66b.vhd b/sources/64b66b/Aggregator_64b66b.vhd index 54146eaef1cc61407c5b74e8ce623a00da254f33..4a1578cc70d0bff502a6b44abc29e27b32c21dd4 100644 --- a/sources/64b66b/Aggregator_64b66b.vhd +++ b/sources/64b66b/Aggregator_64b66b.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! mtrovato +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --implementation: apriori agnostic on which RD53A lane will carry the header so --it will be easier to port to RD53B --data comes directly from 64b66b decoder after bonding. Considering placing FIFOs that--will allow full control of the incoming data by controlling the rd_en diff --git a/sources/64b66b/CntRcvdPckts_64b66b.vhd b/sources/64b66b/CntRcvdPckts_64b66b.vhd index ac86a9956c80aaa8a8449edab287aa2f3736f0fb..26e9f8e0fe36ab52af07a46dab0081c08e8867fc 100644 --- a/sources/64b66b/CntRcvdPckts_64b66b.vhd +++ b/sources/64b66b/CntRcvdPckts_64b66b.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! mtrovato +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library work, IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; diff --git a/sources/64b66b/LaneInit_64b66b.v b/sources/64b66b/LaneInit_64b66b.v index c4ea28e3a36dcb179011e71705b1dda2109ed0be..00507d563d08908eb461558dbc07315f1c3c1601 100644 --- a/sources/64b66b/LaneInit_64b66b.v +++ b/sources/64b66b/LaneInit_64b66b.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! mtrovato +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + //---------------------------------------------------------------------------------- //--! Company: Argonne National Laboratory //--! Engineer: Marco Trovato diff --git a/sources/64b66b/RemapEpaths.vhd b/sources/64b66b/RemapEpaths.vhd index 3dc0221a8796df3eedb0beb543528ad150adb7a1..99037ccc2e0f4b6ac665e1cae28dba01fabe86bb 100644 --- a/sources/64b66b/RemapEpaths.vhd +++ b/sources/64b66b/RemapEpaths.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! mtrovato +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.numeric_std.all; diff --git a/sources/64b66b/addSOPEOP.v b/sources/64b66b/addSOPEOP.v index 6f4047dbc67e24825f372aaf0b1ca4986ffbea2d..9d2e75c8db8613e35c69b75df75aa140514c454f 100644 --- a/sources/64b66b/addSOPEOP.v +++ b/sources/64b66b/addSOPEOP.v @@ -1,3 +1,21 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Marco +//! mtrovato +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + `timescale 1ns / 1ps ////////////////////////////////////////////////////////////////////////////////// // Company: diff --git a/sources/64b66b/addSOPEOP_EMESSAGE.v b/sources/64b66b/addSOPEOP_EMESSAGE.v index 0a73ac5ac2a5ffd3dcf1cad8fe9bb33c3a87d3df..5fbbdd18a8fed50b8ea548589cd5f5dafdf84b62 100644 --- a/sources/64b66b/addSOPEOP_EMESSAGE.v +++ b/sources/64b66b/addSOPEOP_EMESSAGE.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! mtrovato +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + `timescale 1ns / 1ps ////////////////////////////////////////////////////////////////////////////////// // Company: diff --git a/sources/64b66b/gearbox32to64_64b66b.vhd b/sources/64b66b/gearbox32to64_64b66b.vhd index 7984e24778d61857ab4d907c78e3af3a5052f4a3..eda5bc55f4ca95c3f72497ee7d050f44cc9c4c23 100644 --- a/sources/64b66b/gearbox32to64_64b66b.vhd +++ b/sources/64b66b/gearbox32to64_64b66b.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! mtrovato +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ------------------------------------------------------------------------------------ ----! Company: Argonne National Laboratory ----! Engineer: Marco Trovato diff --git a/sources/64b66b/split64bword.v b/sources/64b66b/split64bword.v index e79301bb14f2b4dd6d22927ffe4a171da7d7fb97..140ec88e807cbf4c58bf17b7f3481762d093ffe9 100644 --- a/sources/64b66b/split64bword.v +++ b/sources/64b66b/split64bword.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! mtrovato +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + ////////////////////////////////////////////////////////////////////////////////// // Company: // Engineer: diff --git a/sources/64b66b/split64bword.vhd b/sources/64b66b/split64bword.vhd index bd11d3284aaa70805d59e82df34291e4dd471b67..a49591d5c9f04421ceb7553aaf5f351939b5463c 100644 --- a/sources/64b66b/split64bword.vhd +++ b/sources/64b66b/split64bword.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! mtrovato +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.numeric_std.all; diff --git a/sources/AxisUtils/Axis32Fifo.vhd b/sources/AxisUtils/Axis32Fifo.vhd index 3e5a9d11c82f4a9313c747975635c9c1850238d9..b93ae324efa404ee79d5a3f3965ea7513848f1ae 100644 --- a/sources/AxisUtils/Axis32Fifo.vhd +++ b/sources/AxisUtils/Axis32Fifo.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; diff --git a/sources/AxisUtils/Axis64Fifo.vhd b/sources/AxisUtils/Axis64Fifo.vhd index 28bc8367c6ce4c8e047f6b4f098896ac7c3ffa17..2b4f8f779b98d7366a054f1777b5fdd14b88b0e8 100644 --- a/sources/AxisUtils/Axis64Fifo.vhd +++ b/sources/AxisUtils/Axis64Fifo.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; diff --git a/sources/AxisUtils/Axis8Fifo.vhd b/sources/AxisUtils/Axis8Fifo.vhd index fdaef7dde355c53c59d8526695f8c5a68c77a99d..57fc401d134db5ee3872b65b445c4901b4e65222 100644 --- a/sources/AxisUtils/Axis8Fifo.vhd +++ b/sources/AxisUtils/Axis8Fifo.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Marius Wensing +--! Nico Giangiacomi +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; diff --git a/sources/CRC20/crc.c b/sources/CRC20/crc.c index 8a6b57c539f3680bcaa42ec6f3f132a9539c6c1c..f27c97e9e004e2a1e234a139c3c2252f2f50b545 100644 --- a/sources/CRC20/crc.c +++ b/sources/CRC20/crc.c @@ -1,3 +1,21 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Frans Schreuder +//! Mesfin Gebyehu +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + /****************************************************************************** * * diff --git a/sources/CRC20/crc.vhd b/sources/CRC20/crc.vhd index 8b2ef1ed1da80c0b3b1dabd50d44fcb8e310ce40..7e4f0a5fcb611eff52b25bbdeb4cf0260f506e54 100644 --- a/sources/CRC20/crc.vhd +++ b/sources/CRC20/crc.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Mesfin Gebyehu +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ------------------------------------------------------------------------------- -- Copyright (C) 2009 OutputLogic.com -- This source file may be used and distributed without restriction diff --git a/sources/CRC20/crc20.vhd b/sources/CRC20/crc20.vhd index 3a8ff893de7c34f68c79d1376986b12047a022fc..aa915a574f8e2fac9b872cbd3e0e25042c124743 100644 --- a/sources/CRC20/crc20.vhd +++ b/sources/CRC20/crc20.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Mesfin Gebyehu +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --****************************************************************************** --* * diff --git a/sources/CRC32/CRC32_v2.vhd b/sources/CRC32/CRC32_v2.vhd index f699b37419008faddda7ce4bc995ad429c2cd42d..2fba8f4bac31337fc52551946c28cc964f1c2b3a 100644 --- a/sources/CRC32/CRC32_v2.vhd +++ b/sources/CRC32/CRC32_v2.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --------------------------------------------------------------------------- -- -- Designed by : Peter Jansweijer. diff --git a/sources/CRFromHost/CRFromHost.vhd b/sources/CRFromHost/CRFromHost.vhd index 3770577f8c6e54f0b85377b498088d4597aaf30c..7d7de05d796b8ef4e173ab3e9d5ba72382c02c20 100644 --- a/sources/CRFromHost/CRFromHost.vhd +++ b/sources/CRFromHost/CRFromHost.vhd @@ -1,3 +1,25 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Andrea Borga +--! Enrico Gamberini +--! Thei Wijnen +--! Filiberto Bonini +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS, Nikhef. --! Engineer: juna, fschreud diff --git a/sources/CRFromHostAxis/CRFromHostAxis.vhd b/sources/CRFromHostAxis/CRFromHostAxis.vhd index 1967c9cc35ab553a9cafac2d185b545142449421..d76258bcc2d8f34528c4b4f05e73cbdf7e2ae5d9 100644 --- a/sources/CRFromHostAxis/CRFromHostAxis.vhd +++ b/sources/CRFromHostAxis/CRFromHostAxis.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Marius Wensing +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; diff --git a/sources/CRFromHostAxis/CRFromHostDataManagerAxis.vhd b/sources/CRFromHostAxis/CRFromHostDataManagerAxis.vhd index f91ddc97ba3f285eeb86ff41d370f55fb2004a36..d8e19a3511f2abcedb8a8ba46713e68cb88773a2 100644 --- a/sources/CRFromHostAxis/CRFromHostDataManagerAxis.vhd +++ b/sources/CRFromHostAxis/CRFromHostDataManagerAxis.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Marius Wensing +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; diff --git a/sources/CRFromHostAxis/CRFromHostGroupFifo.vhd b/sources/CRFromHostAxis/CRFromHostGroupFifo.vhd index 40624d5190b26542ca19a39468a3a674184bccbd..bf1ed20d02c7cf994273856b04ba37a73e2b2fd0 100644 --- a/sources/CRFromHostAxis/CRFromHostGroupFifo.vhd +++ b/sources/CRFromHostAxis/CRFromHostGroupFifo.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Marius Wensing +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; diff --git a/sources/CRFromHostAxis/CRFromHostLinkFifo.vhd b/sources/CRFromHostAxis/CRFromHostLinkFifo.vhd index c61b7930b7144a80d327d6bd650992513cf53afc..957b17b9e785b3ffebc2b2191b7303b28c984c64 100644 --- a/sources/CRFromHostAxis/CRFromHostLinkFifo.vhd +++ b/sources/CRFromHostAxis/CRFromHostLinkFifo.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Marius Wensing +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; diff --git a/sources/CRFromHostAxis/CRFromHostTransferManager.vhd b/sources/CRFromHostAxis/CRFromHostTransferManager.vhd index bfe1bcaf8faaec45da95cc0169b42ad917c84ac7..fd04fa3ddb911d63dc42ea5f2aac1a930029fa9c 100644 --- a/sources/CRFromHostAxis/CRFromHostTransferManager.vhd +++ b/sources/CRFromHostAxis/CRFromHostTransferManager.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Marius Wensing +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; diff --git a/sources/CRToHost/CRToHost.vhd b/sources/CRToHost/CRToHost.vhd index a0f5c48ad8df761d2dcc541f683ad71209fe3064..00d98f60eea4e08ae847fa8486aef17e8638c668 100644 --- a/sources/CRToHost/CRToHost.vhd +++ b/sources/CRToHost/CRToHost.vhd @@ -1,3 +1,26 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Andrea Borga +--! Enrico Gamberini +--! Thei Wijnen +--! Filiberto Bonini +--! mtrovato +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS, Nikhef. --! Engineer: juna, fschreud diff --git a/sources/CRToHost/CRToHostPCIeManager.vhd b/sources/CRToHost/CRToHostPCIeManager.vhd index 91c0a57b4249552263cb12ccab6dcc16a3794cf7..97a2f86574e9d58e28faa1edf9b1c05f512bbb14 100644 --- a/sources/CRToHost/CRToHostPCIeManager.vhd +++ b/sources/CRToHost/CRToHostPCIeManager.vhd @@ -1,3 +1,24 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Frans Schreuder +--! Enrico Gamberini +--! William Wulff +--! Thei Wijnen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/CRToHost/CRToHostdm.vhd b/sources/CRToHost/CRToHostdm.vhd index 5099abd7ed996aa03ee1d559e9ba50100a2037ec..555500656c913634e45f46df54e69aa635c0ac2a 100644 --- a/sources/CRToHost/CRToHostdm.vhd +++ b/sources/CRToHost/CRToHostdm.vhd @@ -1,3 +1,24 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Enrico Gamberini +--! Thei Wijnen +--! mtrovato +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/CRToHost/CRresetManager.vhd b/sources/CRToHost/CRresetManager.vhd index 7eae11f705e339398faacfe680029293a1daa1ca..13048baeac2402456776b8ec82e138753ce1faf7 100644 --- a/sources/CRToHost/CRresetManager.vhd +++ b/sources/CRToHost/CRresetManager.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Israel Grayzman +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/CRToHost/MUXn.vhd b/sources/CRToHost/MUXn.vhd index 404661ed81e9bd4412febd989f2043305de78ef4..7cb48c5197b9d75bae830eb09f50705b41644a60 100644 --- a/sources/CRToHost/MUXn.vhd +++ b/sources/CRToHost/MUXn.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/CRToHost/ReMuxN.vhd b/sources/CRToHost/ReMuxN.vhd index b6cbf9922d3cb137b32b9625f7319dca02b52a23..0e9ca323e544c237395cb3a2a5a7eae2d776cbf6 100644 --- a/sources/CRToHost/ReMuxN.vhd +++ b/sources/CRToHost/ReMuxN.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS., Nikhef --! Engineer: juna, fschreud diff --git a/sources/CRToHost/ToHostAxiStream64Controller.vhd b/sources/CRToHost/ToHostAxiStream64Controller.vhd index d66672e96a9703ad64706cd53adfdff78e1324eb..8d1ca473b7fdad4d9ee4b2e739bbfb1024dfac0a 100644 --- a/sources/CRToHost/ToHostAxiStream64Controller.vhd +++ b/sources/CRToHost/ToHostAxiStream64Controller.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: Nikhef --! Engineer: Frans Schreuder diff --git a/sources/CRToHost/ToHostAxiStreamController.vhd b/sources/CRToHost/ToHostAxiStreamController.vhd index 8e113abdbe1ed396302b128b68205c8b66c0c3f5..c5325d5028b561725dd16c36e6e04f4aad72f580 100644 --- a/sources/CRToHost/ToHostAxiStreamController.vhd +++ b/sources/CRToHost/ToHostAxiStreamController.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: Nikhef --! Engineer: Frans Schreuder diff --git a/sources/Endeavour/EndeavourDecoder.vhd b/sources/Endeavour/EndeavourDecoder.vhd index f920d04c53d81d10cb4884f1996ea7037bcab93c..a1f575d49731aef94f92082c2f8add7866ee67b5 100644 --- a/sources/Endeavour/EndeavourDecoder.vhd +++ b/sources/Endeavour/EndeavourDecoder.vhd @@ -1,3 +1,24 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Jacopo Pinzino +--! jacopo pinzino +--! Nico Giangiacomi +--! Frans Schreuder +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: -- Engineer: jacopo pinzino diff --git a/sources/Endeavour/EndeavourDeglitcher.vhd b/sources/Endeavour/EndeavourDeglitcher.vhd index ccd885451c9b22a171c0178051cdb64838f9a48a..3de5523884f221d6cab5a90da4b4b993855e60d2 100644 --- a/sources/Endeavour/EndeavourDeglitcher.vhd +++ b/sources/Endeavour/EndeavourDeglitcher.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! jacopo pinzino +--! Frans Schreuder +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: -- Engineer: jacopo pinzino diff --git a/sources/Endeavour/EndeavourEncoder.vhd b/sources/Endeavour/EndeavourEncoder.vhd index aebc0446af3a0bbed0747eca5e7888221f4ded9a..d5ab9086be67fae7ec8791f8b710b7a68b9d193b 100644 --- a/sources/Endeavour/EndeavourEncoder.vhd +++ b/sources/Endeavour/EndeavourEncoder.vhd @@ -1,3 +1,23 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Jacopo Pinzino +--! jacopo pinzino +--! Elena Zhivun +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: -- Engineer: jacopo pinzino, Elena Zhivun <ezhivun@bnl.gov> diff --git a/sources/Endeavour/EndeavourPackage.vhd b/sources/Endeavour/EndeavourPackage.vhd index 0bb273cba85b4dd6f68a87d82763ec7dabe7dfb0..1de840ae992099a91efd12a105243e09eae54a72 100644 --- a/sources/Endeavour/EndeavourPackage.vhd +++ b/sources/Endeavour/EndeavourPackage.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.numeric_std.all; diff --git a/sources/FanoutSelectors/GbtSmaOutputSelector.vhd b/sources/FanoutSelectors/GbtSmaOutputSelector.vhd index 966e1a1388f0a537b8487ee3125ac042145f7818..027deb19f38eabee51ef1136f5ceab5a010020a9 100644 --- a/sources/FanoutSelectors/GbtSmaOutputSelector.vhd +++ b/sources/FanoutSelectors/GbtSmaOutputSelector.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! RHabraken +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + diff --git a/sources/FanoutSelectors/axis_32_fanout_selector.vhd b/sources/FanoutSelectors/axis_32_fanout_selector.vhd index e5f13ce3042750eef4873825107e868966d7717f..7697622c76e595900dcf34b17e2f2a4997c13853 100644 --- a/sources/FanoutSelectors/axis_32_fanout_selector.vhd +++ b/sources/FanoutSelectors/axis_32_fanout_selector.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + diff --git a/sources/FanoutSelectors/downstream_fanout_selector.vhd b/sources/FanoutSelectors/downstream_fanout_selector.vhd index d26fd8a3ad7e42d3d8b0a5b99b4a4467ab47467e..46f9a9daea94002f67f4bcb7d4d43aeecdd322e1 100644 --- a/sources/FanoutSelectors/downstream_fanout_selector.vhd +++ b/sources/FanoutSelectors/downstream_fanout_selector.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Andrea Borga +--! Frans Schreuder +--! RHabraken +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + diff --git a/sources/FanoutSelectors/fromfrontend_fanout_selector_FM.vhd b/sources/FanoutSelectors/fromfrontend_fanout_selector_FM.vhd index ccdcd3e4c32665a74a6fc1dfaebad78336378428..0b7f7b561ee6c4cef130785c10aa94806a402961 100644 --- a/sources/FanoutSelectors/fromfrontend_fanout_selector_FM.vhd +++ b/sources/FanoutSelectors/fromfrontend_fanout_selector_FM.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Andrea Borga +--! RHabraken +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + diff --git a/sources/FanoutSelectors/gbt_fanout_selector.vhd b/sources/FanoutSelectors/gbt_fanout_selector.vhd index 88e9dde70c6fceeba84882807b5ef457a5e46489..eafbe2b91f4c951fe9ecd8bbaf128dd236f463b8 100644 --- a/sources/FanoutSelectors/gbt_fanout_selector.vhd +++ b/sources/FanoutSelectors/gbt_fanout_selector.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Marius Wensing +--! mtrovato +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + diff --git a/sources/FanoutSelectors/upstream_fanout_selector.vhd b/sources/FanoutSelectors/upstream_fanout_selector.vhd index 71ec8ddd3085c08fdd8568ac9d8186f926420701..1e78a2e838498c263622b25295e28e1bac080a07 100644 --- a/sources/FanoutSelectors/upstream_fanout_selector.vhd +++ b/sources/FanoutSelectors/upstream_fanout_selector.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Andrea Borga +--! Frans Schreuder +--! RHabraken +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + diff --git a/sources/FelixMROD/Busy_TTC.vhd b/sources/FelixMROD/Busy_TTC.vhd index d18a0da2e2f560cc3a33c97b1a441d191376d364..6474312bc947db27d037d9627c7229f0deff6460 100644 --- a/sources/FelixMROD/Busy_TTC.vhd +++ b/sources/FelixMROD/Busy_TTC.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Thei Wijnen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! @authors R. Habraken, T. Wijnen --! @company Radboud University Nijmegen diff --git a/sources/FelixMROD/CRFM_felixmrod.vhd b/sources/FelixMROD/CRFM_felixmrod.vhd index 449e3c0b83d91cfe6b8820bbd0cdac990640168a..ee2ce9d87c805d979f153da8df0f700ced112e49 100644 --- a/sources/FelixMROD/CRFM_felixmrod.vhd +++ b/sources/FelixMROD/CRFM_felixmrod.vhd @@ -1,3 +1,25 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Andrea Borga +--! Enrico Gamberini +--! Rene +--! Thei Wijnen +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS, Nikhef. --! Engineer: juna, fschreud diff --git a/sources/FelixMROD/CR_Wupper.vhd b/sources/FelixMROD/CR_Wupper.vhd index d866de9743e34d74d1d3facc5ec243815c8b8f7f..5dcb403508f33787a7f60367e8651d1c18159db1 100644 --- a/sources/FelixMROD/CR_Wupper.vhd +++ b/sources/FelixMROD/CR_Wupper.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Rene +--! Thei Wijnen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! @authors R. Habraken, T. Wijnen --! @company Radboud University Nijmegen diff --git a/sources/FelixMROD/CSMHandler.vhd b/sources/FelixMROD/CSMHandler.vhd index d4d52a93d6b90aa0c53731f34a36b273a680b1f1..25b7411c7c0bd017f16643655dcea34a95131fda 100644 --- a/sources/FelixMROD/CSMHandler.vhd +++ b/sources/FelixMROD/CSMHandler.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Thei Wijnen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! @authors R. Habraken, T. Wijnen --! @company Radboud University Nijmegen diff --git a/sources/FelixMROD/CareTaker.vhd b/sources/FelixMROD/CareTaker.vhd index 711e8d36509be77fc13ea60cbb4a409f1ac506f1..30aca32fa77c8f001767fde1b1764207949abd73 100644 --- a/sources/FelixMROD/CareTaker.vhd +++ b/sources/FelixMROD/CareTaker.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Rene +--! Thei Wijnen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! @authors R. Habraken, T. Wijnen --! @company Radboud University Nijmegen diff --git a/sources/FelixMROD/Clks_Reset.vhd b/sources/FelixMROD/Clks_Reset.vhd index 83c676bb0a1636824f296aa9f8f1011bb6b2a72b..f82504003cd59fb6535ac68b50bdf8d1f9fa6d1e 100644 --- a/sources/FelixMROD/Clks_Reset.vhd +++ b/sources/FelixMROD/Clks_Reset.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Thei Wijnen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! @authors R. Habraken, T. Wijnen --! @company Radboud University Nijmegen diff --git a/sources/FelixMROD/DataEmu.vhd b/sources/FelixMROD/DataEmu.vhd index 8334fb8aff923c0ee0dde7c8b3e2403e426d1287..e6ea08e877179cf120cabf643ddbfe0e1c64d453 100644 --- a/sources/FelixMROD/DataEmu.vhd +++ b/sources/FelixMROD/DataEmu.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Thei Wijnen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! @authors R. Habraken, T. Wijnen --! @company Radboud University Nijmegen diff --git a/sources/FelixMROD/EmptySup.vhd b/sources/FelixMROD/EmptySup.vhd index 29f314aec76c62ae19ed25cfc27b24aa9feb6551..2b765ac0706b7537069f68389a1081cb752bcd05 100644 --- a/sources/FelixMROD/EmptySup.vhd +++ b/sources/FelixMROD/EmptySup.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Thei Wijnen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! @authors R. Habraken, T. Wijnen --! @company Radboud University Nijmegen diff --git a/sources/FelixMROD/EvtMux.vhd b/sources/FelixMROD/EvtMux.vhd index ccd382720ec21fd6740182ee415e20af1b4b8704..5777eb54c230a77cd6456a78cc733c0bb8fd5f83 100644 --- a/sources/FelixMROD/EvtMux.vhd +++ b/sources/FelixMROD/EvtMux.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Thei Wijnen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! @authors R. Habraken, T. Wijnen --! @company Radboud University Nijmegen diff --git a/sources/FelixMROD/FSM_Align.vhd b/sources/FelixMROD/FSM_Align.vhd index ca7242cb087a0ffdd702114a89cc5b759216e329..cb3b6334820dcd94021dba150854ddd75e4bf9be 100644 --- a/sources/FelixMROD/FSM_Align.vhd +++ b/sources/FelixMROD/FSM_Align.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Rene +--! Thei Wijnen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! @authors R. Habraken, T. Wijnen --! @company Radboud University Nijmegen diff --git a/sources/FelixMROD/FSMux.vhd b/sources/FelixMROD/FSMux.vhd index 52cc15e3f99178a80a0c93122a4d5ba99df886c4..40beee15fa30960f945094b3835eca1f854fd722 100644 --- a/sources/FelixMROD/FSMux.vhd +++ b/sources/FelixMROD/FSMux.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Thei Wijnen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! @authors R. Habraken, T. Wijnen --! @company Radboud University Nijmegen diff --git a/sources/FelixMROD/Fifo4096w.vhd b/sources/FelixMROD/Fifo4096w.vhd index daff77866a80df0dc843448bee7394ea498d0ecb..b910c7cb42817895789bb9010b6d2a210f2fbbca 100644 --- a/sources/FelixMROD/Fifo4096w.vhd +++ b/sources/FelixMROD/Fifo4096w.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Thei Wijnen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! @authors R. Habraken, T. Wijnen --! @company Radboud University Nijmegen diff --git a/sources/FelixMROD/Fifo512x32s.vhd b/sources/FelixMROD/Fifo512x32s.vhd index 88520139ab4edd9edc492243ef9093ec9d03801f..7082e5dea96ff3067a3cd45a53fbe58df9cd1ad3 100644 --- a/sources/FelixMROD/Fifo512x32s.vhd +++ b/sources/FelixMROD/Fifo512x32s.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Thei Wijnen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! @authors R. Habraken, T. Wijnen --! @company Radboud University Nijmegen diff --git a/sources/FelixMROD/FifoCSMdata.vhd b/sources/FelixMROD/FifoCSMdata.vhd index 73f97dd6c55c1aac8a128d1fa6ed9c8466379907..65e37acf25dde0e498f7333ea7d78c55715f4a8d 100644 --- a/sources/FelixMROD/FifoCSMdata.vhd +++ b/sources/FelixMROD/FifoCSMdata.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Thei Wijnen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! @authors R. Habraken, T. Wijnen --! @company Radboud University Nijmegen diff --git a/sources/FelixMROD/GetControls.vhd b/sources/FelixMROD/GetControls.vhd index 29a6ad19786eba5b9fa8b749123340ffd5e24c1a..a030c3f2170dddcd75120f50352e2431193bf585 100644 --- a/sources/FelixMROD/GetControls.vhd +++ b/sources/FelixMROD/GetControls.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Rene +--! Thei Wijnen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! @authors R. Habraken, T. Wijnen --! @company Radboud University Nijmegen diff --git a/sources/FelixMROD/GetTRXControl.vhd b/sources/FelixMROD/GetTRXControl.vhd index 2b898e7d4311df16740297ae79bc26902fa20d09..03e40d0213a7b3c998525d8b371e0f9773a8c2dd 100644 --- a/sources/FelixMROD/GetTRXControl.vhd +++ b/sources/FelixMROD/GetTRXControl.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Rene +--! Thei Wijnen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! @authors R. Habraken, T. Wijnen --! @company Radboud University Nijmegen diff --git a/sources/FelixMROD/GlueBox.vhd b/sources/FelixMROD/GlueBox.vhd index 425cb4b2614e08b72e21bb257783fc7c28ecb269..ddf71409bd4321723be8fda0d119c8163d5e9c97 100644 --- a/sources/FelixMROD/GlueBox.vhd +++ b/sources/FelixMROD/GlueBox.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Rene +--! Thei Wijnen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! @authors R. Habraken, T. Wijnen --! @company Radboud University Nijmegen diff --git a/sources/FelixMROD/GlueBox_EMU.vhd b/sources/FelixMROD/GlueBox_EMU.vhd index 29d34e0b63d6e430b99e98e1726944a310d805e6..739b7c965fd56ab11ead5432ef272e3f58598ff4 100644 --- a/sources/FelixMROD/GlueBox_EMU.vhd +++ b/sources/FelixMROD/GlueBox_EMU.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Rene +--! Thei Wijnen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --file: GlueBox_EMU.vhd --!----------------------------------------------------------------------------- --! @authors R. Habraken, T. Wijnen diff --git a/sources/FelixMROD/MakeBlocks.vhd b/sources/FelixMROD/MakeBlocks.vhd index b762f67f47c25b233c520b7c79e99c4d9a53337c..4898805ef72ee8ec6dc1040a50123188d243cdad 100644 --- a/sources/FelixMROD/MakeBlocks.vhd +++ b/sources/FelixMROD/MakeBlocks.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Thei Wijnen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! @authors R. Habraken, T. Wijnen --! @company Radboud University Nijmegen diff --git a/sources/FelixMROD/PrepaChunk.vhd b/sources/FelixMROD/PrepaChunk.vhd index d716f757802e1a4f06717acd4cc38c185715194d..09325d300be9d45df78f00cca70fa0d8f096d9b5 100644 --- a/sources/FelixMROD/PrepaChunk.vhd +++ b/sources/FelixMROD/PrepaChunk.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Rene +--! Thei Wijnen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! @authors R. Habraken, T. Wijnen --! @company Radboud University Nijmegen diff --git a/sources/FelixMROD/ReadFHFifo.vhd b/sources/FelixMROD/ReadFHFifo.vhd index 9eaac5b5e5ab772654c619c765d8af63aa96d4ce..f4497cf5bc4464eed4364edb1eefbf6289fc1356 100644 --- a/sources/FelixMROD/ReadFHFifo.vhd +++ b/sources/FelixMROD/ReadFHFifo.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Thei Wijnen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! @authors R. Habraken, T. Wijnen --! @company Radboud University Nijmegen diff --git a/sources/FelixMROD/SyncTTC.vhd b/sources/FelixMROD/SyncTTC.vhd index 1083d5e569f995fc8f0c6d31bb4dd9ce17e4356e..a529495baa792f7c9c40d0b31e10009a37f6fa2b 100644 --- a/sources/FelixMROD/SyncTTC.vhd +++ b/sources/FelixMROD/SyncTTC.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Thei Wijnen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! @authors R. Habraken, T. Wijnen --! @company Radboud University Nijmegen diff --git a/sources/FelixMROD/TXCVR_1CH.vhd b/sources/FelixMROD/TXCVR_1CH.vhd index 2747004f6d753557e8c7a4f12e6acb1e6758167e..e2bd1ed5ff4161ea137e4fb1165ae27512ba5535 100644 --- a/sources/FelixMROD/TXCVR_1CH.vhd +++ b/sources/FelixMROD/TXCVR_1CH.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Rene +--! Thei Wijnen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! @authors R. Habraken, T. Wijnen --! @company Radboud University Nijmegen diff --git a/sources/FelixMROD/Transceiver.vhd b/sources/FelixMROD/Transceiver.vhd index bdbe978dd66fd723a0899f9d2eae5964a7e80ac1..162914be9dfbc769831d628c0173d4ed90eeba15 100644 --- a/sources/FelixMROD/Transceiver.vhd +++ b/sources/FelixMROD/Transceiver.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Rene +--! Thei Wijnen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! @authors R. Habraken, T. Wijnen --! @company Radboud University Nijmegen diff --git a/sources/FelixMROD/Transceiver_Quad.vhd b/sources/FelixMROD/Transceiver_Quad.vhd index 5f698d3a746f3b8b461c9a4a78a045e78d4ee680..ff89e8c8edffdeb22321898f3d010d1f1b8a9ea8 100644 --- a/sources/FelixMROD/Transceiver_Quad.vhd +++ b/sources/FelixMROD/Transceiver_Quad.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Rene +--! Thei Wijnen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! @authors R. Habraken, T. Wijnen --! @company Radboud University Nijmegen diff --git a/sources/FelixMROD/TrigCnt.vhd b/sources/FelixMROD/TrigCnt.vhd index 0e5d187d0833dfc5bd8a6888da70918f8d39ca82..505dde89ff7d10f759865a91a53365d749372245 100644 --- a/sources/FelixMROD/TrigCnt.vhd +++ b/sources/FelixMROD/TrigCnt.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Thei Wijnen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! @authors R. Habraken, T. Wijnen --! @company Radboud University Nijmegen diff --git a/sources/FelixMROD/TrxBuffer.vhd b/sources/FelixMROD/TrxBuffer.vhd index b7da03ae471ca534aeae6ccf6465adf0a9c58c98..f53bc3a33f71be913514a1a47536733072a2e5d3 100644 --- a/sources/FelixMROD/TrxBuffer.vhd +++ b/sources/FelixMROD/TrxBuffer.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Rene +--! Thei Wijnen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! @authors R. Habraken, T. Wijnen --! @company Radboud University Nijmegen diff --git a/sources/FelixMROD/TrxBuffer_1CH.vhd b/sources/FelixMROD/TrxBuffer_1CH.vhd index d779b778e682bce4954c0ee6ffd8faa74258eb01..b67232615ccd4b3a4ee64b7efeba152790892bce 100644 --- a/sources/FelixMROD/TrxBuffer_1CH.vhd +++ b/sources/FelixMROD/TrxBuffer_1CH.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Rene +--! Thei Wijnen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! @authors R. Habraken, T. Wijnen --! @company Radboud University Nijmegen diff --git a/sources/FelixMROD/TxDriver.vhd b/sources/FelixMROD/TxDriver.vhd index 6e73b7970989fbf8688f8dfc01c9af4de98c7eb0..68241c4b2166074d57bcc7ec8fbc24111981d16d 100644 --- a/sources/FelixMROD/TxDriver.vhd +++ b/sources/FelixMROD/TxDriver.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Rene +--! Thei Wijnen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! @authors R. Habraken, T. Wijnen --! @company Radboud University Nijmegen diff --git a/sources/FelixMROD/clock_and_reset.vhd b/sources/FelixMROD/clock_and_reset.vhd index 759e1bad03bbe1f2e2e7cebe7cd3eee2aa0b1545..a2092b8f8d6246c4e2d16d5041183be6d89aecef 100644 --- a/sources/FelixMROD/clock_and_reset.vhd +++ b/sources/FelixMROD/clock_and_reset.vhd @@ -1,3 +1,26 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Andrea Borga +--! Israel Grayzman +--! Frans Schreuder +--! Mesfin Gebyehu +--! RHabraken +--! Rene +--! Thei Wijnen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!------------------------------------------------------------------------------ --! --! NIKHEF - National Institute for Subatomic Physics @@ -28,21 +51,6 @@ --! --! --! ------------------------------------------------------------------------------ ---! Virtex7 PCIe Gen3 DMA Core ---! ---! \copyright GNU LGPL License ---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br> ---! This library is free software; you can redistribute it and/or ---! modify it under the terms of the GNU Lesser General Public ---! License as published by the Free Software Foundation; either ---! version 3.0 of the License, or (at your option) any later version. ---! This library is distributed in the hope that it will be useful, ---! but WITHOUT ANY WARRANTY; without even the implied warranty of ---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU ---! Lesser General Public License for more details.<br> ---! You should have received a copy of the GNU Lesser General Public ---! License along with this library. ---! --! --! @brief ieee diff --git a/sources/FelixMROD/felix_mrod_package.vhd b/sources/FelixMROD/felix_mrod_package.vhd index 835345fbd39d77fdb35a51a194673fada0ea3ce1..bef31d9dac484378f0add485635129097a94ac58 100644 --- a/sources/FelixMROD/felix_mrod_package.vhd +++ b/sources/FelixMROD/felix_mrod_package.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Rene +--! Thei Wijnen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! @file felix_mrod_package.vhd --! diff --git a/sources/FelixMROD/felix_mrod_top.vhd b/sources/FelixMROD/felix_mrod_top.vhd index 607e27b7dcb5c9865891e309edb549d2e864ad08..e73b3ca07afd48570fe348980ad2b7ef8ebd5daf 100644 --- a/sources/FelixMROD/felix_mrod_top.vhd +++ b/sources/FelixMROD/felix_mrod_top.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Rene +--! Frans Schreuder +--! Thei Wijnen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! @authors R. Habraken, T. Wijnen --! @company Radboud University Nijmegen diff --git a/sources/FelixMROD/felix_mrod_top_EMU.vhd b/sources/FelixMROD/felix_mrod_top_EMU.vhd index 906b89e64f018da3ddd8334f727fc0462385e050..09b861c5eceef74a413ee1dc7cd2f74788f70099 100644 --- a/sources/FelixMROD/felix_mrod_top_EMU.vhd +++ b/sources/FelixMROD/felix_mrod_top_EMU.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Rene +--! Thei Wijnen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --file: felix_mrod_top_EMU.vhd --!----------------------------------------------------------------------------- --! @authors R. Habraken, T. Wijnen diff --git a/sources/FelixMROD/register_map_sync.vhd b/sources/FelixMROD/register_map_sync.vhd index 64a9ac353dbac2561765baae5b3d5f36961663b0..e7a07f3c379ebcdd4ec2d91bba7339f3a52b1ce8 100644 --- a/sources/FelixMROD/register_map_sync.vhd +++ b/sources/FelixMROD/register_map_sync.vhd @@ -1,3 +1,23 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! RHabraken +--! Rene +--! Thei Wijnen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!------------------------------------------------------------------------------ --! NIKHEF - National Institute for Subatomic Physics --! Electronics Department diff --git a/sources/FelixTop/felig_top_bnl712.vhd b/sources/FelixTop/felig_top_bnl712.vhd index 4b8d0d03ede64fd95ac925d7e3fd977711218974..a2fabfa88ffef1840d68ca8a0cfe18158849bcad 100644 --- a/sources/FelixTop/felig_top_bnl712.vhd +++ b/sources/FelixTop/felig_top_bnl712.vhd @@ -1,3 +1,23 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Shelfali Saxena +--! mtrovato +--! Ricardo Luz +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!------------------------------------------------------------------------------ --! @@ -30,21 +50,6 @@ --! --! --! ------------------------------------------------------------------------------ ---! Virtex7 PCIe Gen3 DMA Core ---! ---! \copyright GNU LGPL License ---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br> ---! This library is free software; you can redistribute it and/or ---! modify it under the terms of the GNU Lesser General Public ---! License as published by the Free Software Foundation; either ---! version 3.0 of the License, or (at your option) any later version. ---! This library is distributed in the hope that it will be useful, ---! but WITHOUT ANY WARRANTY; without even the implied warranty of ---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU ---! Lesser General Public License for more details.<br> ---! You should have received a copy of the GNU Lesser General Public ---! License along with this library. ---! -- --! @brief ieee @@ -144,6 +149,7 @@ entity felig_top_bnl712 is SI5345_OE : out std_logic; SI5345_SEL : out std_logic; SI5345_nLOL : in std_logic; + SI5345_RSTN : out std_logic; RX_N : in std_logic_vector(GBT_NUM-1 downto 0); RX_P : in std_logic_vector(GBT_NUM-1 downto 0); TX_N : out std_logic_vector(GBT_NUM-1 downto 0); @@ -885,7 +891,7 @@ begin SI5345_A => SI5345_A, SI5345_INSEL => SI5345_INSEL, SI5345_OE => SI5345_OE, - SI5345_RSTN => open, + SI5345_RSTN => SI5345_RSTN, SI5345_SEL => SI5345_SEL, SI5345_nLOL => SI5345_nLOL, appreg_clk => appreg_clk, diff --git a/sources/FelixTop/felix_top.vhd b/sources/FelixTop/felix_top.vhd index 310cdc065b89c7524c42eddfc9aeb49428b73cb0..1401f734b18ccb25d8b32e78c59f72758c74f032 100644 --- a/sources/FelixTop/felix_top.vhd +++ b/sources/FelixTop/felix_top.vhd @@ -1,3 +1,30 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Andrea Borga +--! Soo Ryu +--! Alexander Paramonov +--! Kai Chen +--! RHabraken +--! Israel Grayzman +--! Mesfin Gebyehu +--! Elena Zhivun +--! Ricardo Luz +--! Ohad Shaked +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!------------------------------------------------------------------------------ --! @@ -27,21 +54,6 @@ --!----------------------------------------------------------------------------- --! --! ------------------------------------------------------------------------------ ---! Virtex7 PCIe Gen3 DMA Core ---! ---! \copyright GNU LGPL License ---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br> ---! This library is free software; you can redistribute it and/or ---! modify it under the terms of the GNU Lesser General Public ---! License as published by the Free Software Foundation; either ---! version 3.0 of the License, or (at your option) any later version. ---! This library is distributed in the hope that it will be useful, ---! but WITHOUT ANY WARRANTY; without even the implied warranty of ---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU ---! Lesser General Public License for more details.<br> ---! You should have received a copy of the GNU Lesser General Public ---! License along with this library. ---! -- --! @brief ieee diff --git a/sources/FullModeDataEmulator/FullModeDataEmulator.vhd b/sources/FullModeDataEmulator/FullModeDataEmulator.vhd index e5ecd3dc5581f49552b07fca2c1e95dd000880a3..69dcdc0496f4778caa78005beae48656f11f7786 100644 --- a/sources/FullModeDataEmulator/FullModeDataEmulator.vhd +++ b/sources/FullModeDataEmulator/FullModeDataEmulator.vhd @@ -1,3 +1,24 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Andrea Borga +--! Julia Narevicius +--! RHabraken +--! Filiberto Bonini +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/FullModeEmulator/FIFO34to34b.vhd b/sources/FullModeEmulator/FIFO34to34b.vhd index 6f6cd79b51529e0c2a4eafd999ee763df461704c..7762cf80e16eb4773a4981f155e25e120b4c5500 100644 --- a/sources/FullModeEmulator/FIFO34to34b.vhd +++ b/sources/FullModeEmulator/FIFO34to34b.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! RHabraken +--! Mesfin Gebyehu +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + diff --git a/sources/FullModeEmulator/FIFOfromHost_256to32.vhd b/sources/FullModeEmulator/FIFOfromHost_256to32.vhd index b64ec0c3a48c084b5672dfe517a2ebc1b837fddc..e2005fcfa5b3d66d54cb6c646de97e33c8fbb637 100644 --- a/sources/FullModeEmulator/FIFOfromHost_256to32.vhd +++ b/sources/FullModeEmulator/FIFOfromHost_256to32.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! RHabraken +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + diff --git a/sources/FullModeEmulator/FIFOtoHost_32to256.vhd b/sources/FullModeEmulator/FIFOtoHost_32to256.vhd index 33d9c8f21e8e0b4baa61c59d2b684d2e388e90a6..bfe0dedcd5bdc4eb42466d4da2c700f51c2ba277 100644 --- a/sources/FullModeEmulator/FIFOtoHost_32to256.vhd +++ b/sources/FullModeEmulator/FIFOtoHost_32to256.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! RHabraken +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + diff --git a/sources/FullModeEmulator/FMEmu_FSM.vhd b/sources/FullModeEmulator/FMEmu_FSM.vhd index c4a914778e35771b0ea3a51a3ab60f5801fbb2d9..9b0e22f24d871426d2ccdfb3aa3f1d8d196c5dcc 100644 --- a/sources/FullModeEmulator/FMEmu_FSM.vhd +++ b/sources/FullModeEmulator/FMEmu_FSM.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Rene Habraken +--! RHabraken +--! Mesfin Gebyehu +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: Radboud University Nijmegen -- Engineer: Rene Habraken diff --git a/sources/FullModeEmulator/FMEmu_FSM_mealy.vhd b/sources/FullModeEmulator/FMEmu_FSM_mealy.vhd old mode 100755 new mode 100644 index 30c134ef7c955d0a354356d98791df5c54686b28..c47af6dd7abc4188d90fe80b73a2342b26c3e325 --- a/sources/FullModeEmulator/FMEmu_FSM_mealy.vhd +++ b/sources/FullModeEmulator/FMEmu_FSM_mealy.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Mesfin Gebyehu +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: Radboud University Nijmegen -- Engineer: Rene Habraken diff --git a/sources/FullModeEmulator/FMEmu_top.vhd b/sources/FullModeEmulator/FMEmu_top.vhd index 92f3eac08ad0443b736d8f1e0d44d75d2bb2203b..922c690f8b6b3a5bd6f5f55c6c7a8fea97a48a76 100644 --- a/sources/FullModeEmulator/FMEmu_top.vhd +++ b/sources/FullModeEmulator/FMEmu_top.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Rene Habraken +--! RHabraken +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + @@ -484,21 +503,6 @@ begin --! --! --! ------------------------------------------------------------------------------ - --! Virtex7 PCIe Gen3 DMA Core - --! - --! \copyright GNU LGPL License - --! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br> - --! This library is free software; you can redistribute it and/or - --! modify it under the terms of the GNU Lesser General Public - --! License as published by the Free Software Foundation; either - --! version 3.0 of the License, or (at your option) any later version. - --! This library is distributed in the hope that it will be useful, - --! but WITHOUT ANY WARRANTY; without even the implied warranty of - --! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU - --! Lesser General Public License for more details.<br> - --! You should have received a copy of the GNU Lesser General Public - --! License along with this library. - --! -- --! @brief ieee u7: FIFO34to34b @@ -546,21 +550,6 @@ begin --! --! --! ------------------------------------------------------------------------------ - --! Virtex7 PCIe Gen3 DMA Core - --! - --! \copyright GNU LGPL License - --! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br> - --! This library is free software; you can redistribute it and/or - --! modify it under the terms of the GNU Lesser General Public - --! License as published by the Free Software Foundation; either - --! version 3.0 of the License, or (at your option) any later version. - --! This library is distributed in the hope that it will be useful, - --! but WITHOUT ANY WARRANTY; without even the implied warranty of - --! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU - --! Lesser General Public License for more details.<br> - --! You should have received a copy of the GNU Lesser General Public - --! License along with this library. - --! -- --! @brief ieee u4: FIFOfromHost_256to32 @@ -610,21 +599,6 @@ begin --! --! --! ------------------------------------------------------------------------------ - --! Virtex7 PCIe Gen3 DMA Core - --! - --! \copyright GNU LGPL License - --! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br> - --! This library is free software; you can redistribute it and/or - --! modify it under the terms of the GNU Lesser General Public - --! License as published by the Free Software Foundation; either - --! version 3.0 of the License, or (at your option) any later version. - --! This library is distributed in the hope that it will be useful, - --! but WITHOUT ANY WARRANTY; without even the implied warranty of - --! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU - --! Lesser General Public License for more details.<br> - --! You should have received a copy of the GNU Lesser General Public - --! License along with this library. - --! -- --! @brief ieee u8: FIFOtoHost_32to256 @@ -780,22 +754,7 @@ begin --! --! --! ------------------------------------------------------------------------------ - --! Virtex7 PCIe Gen3 DMA Core - --! - --! \copyright GNU LGPL License - --! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br> - --! This library is free software; you can redistribute it and/or - --! modify it under the terms of the GNU Lesser General Public - --! License as published by the Free Software Foundation; either - --! version 3.0 of the License, or (at your option) any later version. - --! This library is distributed in the hope that it will be useful, - --! but WITHOUT ANY WARRANTY; without even the implied warranty of - --! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU - --! Lesser General Public License for more details.<br> - --! You should have received a copy of the GNU Lesser General Public - --! License along with this library. - --! - -- + --! @brief ieee clk1: FM_example_clocking generic map( @@ -859,22 +818,6 @@ begin --! --! --! ------------------------------------------------------------------------------ - --! Virtex7 PCIe Gen3 DMA Core - --! - --! \copyright GNU LGPL License - --! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br> - --! This library is free software; you can redistribute it and/or - --! modify it under the terms of the GNU Lesser General Public - --! License as published by the Free Software Foundation; either - --! version 3.0 of the License, or (at your option) any later version. - --! This library is distributed in the hope that it will be useful, - --! but WITHOUT ANY WARRANTY; without even the implied warranty of - --! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU - --! Lesser General Public License for more details.<br> - --! You should have received a copy of the GNU Lesser General Public - --! License along with this library. - --! - -- --! @brief ieee init0: si5324_init port map( diff --git a/sources/FullModeEmulator/FMEmu_top_bnl711.vhd b/sources/FullModeEmulator/FMEmu_top_bnl711.vhd old mode 100755 new mode 100644 index e9b3c798a496ba71c3dd65680201ff59abc92ba7..de1a3a0cf004abb4bf5a3e6dbefde4a18b336be2 --- a/sources/FullModeEmulator/FMEmu_top_bnl711.vhd +++ b/sources/FullModeEmulator/FMEmu_top_bnl711.vhd @@ -1,3 +1,23 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Rene Habraken +--! RHabraken +--! Mesfin Gebyehu +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!------------------------------------------------------------------------------ --! @@ -29,21 +49,6 @@ --! --! --! ------------------------------------------------------------------------------ ---! Virtex7 PCIe Gen3 DMA Core ---! ---! \copyright GNU LGPL License ---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br> ---! This library is free software; you can redistribute it and/or ---! modify it under the terms of the GNU Lesser General Public ---! License as published by the Free Software Foundation; either ---! version 3.0 of the License, or (at your option) any later version. ---! This library is distributed in the hope that it will be useful, ---! but WITHOUT ANY WARRANTY; without even the implied warranty of ---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU ---! Lesser General Public License for more details.<br> ---! You should have received a copy of the GNU Lesser General Public ---! License along with this library. ---! -- --! @brief ieee diff --git a/sources/FullModeEmulator/FMEmu_top_bnl711_debug.vhd b/sources/FullModeEmulator/FMEmu_top_bnl711_debug.vhd old mode 100755 new mode 100644 index 93393d4b88be1b39b91226911045789e9eb2e135..aa3af4ab2e1583bed1ee0bd0c49134c8f267aab1 --- a/sources/FullModeEmulator/FMEmu_top_bnl711_debug.vhd +++ b/sources/FullModeEmulator/FMEmu_top_bnl711_debug.vhd @@ -1,3 +1,23 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Rene Habraken +--! Frans Schreuder +--! RHabraken +--! Mesfin Gebyehu +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!------------------------------------------------------------------------------ --! @@ -29,21 +49,6 @@ --! --! --! ------------------------------------------------------------------------------ ---! Virtex7 PCIe Gen3 DMA Core ---! ---! \copyright GNU LGPL License ---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br> ---! This library is free software; you can redistribute it and/or ---! modify it under the terms of the GNU Lesser General Public ---! License as published by the Free Software Foundation; either ---! version 3.0 of the License, or (at your option) any later version. ---! This library is distributed in the hope that it will be useful, ---! but WITHOUT ANY WARRANTY; without even the implied warranty of ---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU ---! Lesser General Public License for more details.<br> ---! You should have received a copy of the GNU Lesser General Public ---! License along with this library. ---! -- --! @brief ieee diff --git a/sources/FullModeEmulator/FMemuRAM.vhd b/sources/FullModeEmulator/FMemuRAM.vhd old mode 100755 new mode 100644 index 18aa31b1a9cb86f5dc68e7aba454b2cb6be13414..59e1ee5d9a75e2ca7209b3293e917761b32011c7 --- a/sources/FullModeEmulator/FMemuRAM.vhd +++ b/sources/FullModeEmulator/FMemuRAM.vhd @@ -1,3 +1,23 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Rene Habraken +--! Frans Schreuder +--! RHabraken +--! Mesfin Gebyehu +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!------------------------------------------------------------------------------ --! @@ -29,21 +49,6 @@ --! --! --! ------------------------------------------------------------------------------ ---! Virtex7 PCIe Gen3 DMA Core ---! ---! \copyright GNU LGPL License ---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br> ---! This library is free software; you can redistribute it and/or ---! modify it under the terms of the GNU Lesser General Public ---! License as published by the Free Software Foundation; either ---! version 3.0 of the License, or (at your option) any later version. ---! This library is distributed in the hope that it will be useful, ---! but WITHOUT ANY WARRANTY; without even the implied warranty of ---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU ---! Lesser General Public License for more details.<br> ---! You should have received a copy of the GNU Lesser General Public ---! License along with this library. ---! -- --! @brief ieee diff --git a/sources/FullModeEmulator/OUTPUTctrl.vhd b/sources/FullModeEmulator/OUTPUTctrl.vhd index 32029b7981a926ee1efd6920515242b3771cb14b..12ee3ac5907372036d0d658c322723ec75cf2d1b 100644 --- a/sources/FullModeEmulator/OUTPUTctrl.vhd +++ b/sources/FullModeEmulator/OUTPUTctrl.vhd @@ -1,3 +1,23 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Rene Habraken +--! Frans Schreuder +--! RHabraken +--! Mesfin Gebyehu +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + diff --git a/sources/FullModeEmulator/OUTPUTctrl_emu.vhd b/sources/FullModeEmulator/OUTPUTctrl_emu.vhd old mode 100755 new mode 100644 index 39e69cf272ee8e6cc5d544afbc323128de4edc9f..f35ba840261c9aef1c31ef4079f551045dd4eb2d --- a/sources/FullModeEmulator/OUTPUTctrl_emu.vhd +++ b/sources/FullModeEmulator/OUTPUTctrl_emu.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! RHabraken +--! Mesfin Gebyehu +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + diff --git a/sources/FullModeEmulator/TX_CLOCK_CHECK.vhd b/sources/FullModeEmulator/TX_CLOCK_CHECK.vhd old mode 100755 new mode 100644 index 60cd1a85e6792d3eec8c5649d36932ac58283342..22c3a6f3a64befa0b4f2e9be54abc2da0d40f381 --- a/sources/FullModeEmulator/TX_CLOCK_CHECK.vhd +++ b/sources/FullModeEmulator/TX_CLOCK_CHECK.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Mesfin Gebyehu +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library ieee; use ieee.std_logic_1164.all; --use ieee.numeric_std.all; diff --git a/sources/FullModeTransmitter/FMchannelTXctrl.vhd b/sources/FullModeTransmitter/FMchannelTXctrl.vhd index b23a624d5afd55b526fbbcc919225c730eedcfa7..41d00d12a3c402ff25be37bba5be0b36927d2f65 100644 --- a/sources/FullModeTransmitter/FMchannelTXctrl.vhd +++ b/sources/FullModeTransmitter/FMchannelTXctrl.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Frans Schreuder +--! Mesfin Gebyehu +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna, fschreud diff --git a/sources/FullModeTransmitter/FMchannelTXctrl_emu.vhd b/sources/FullModeTransmitter/FMchannelTXctrl_emu.vhd index 30d76e664d04e0e4abf97abe76d642ee5d73bb27..5023a3be79df3f6e0e30b3f8b7ae8b8f84931ba9 100644 --- a/sources/FullModeTransmitter/FMchannelTXctrl_emu.vhd +++ b/sources/FullModeTransmitter/FMchannelTXctrl_emu.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! RHabraken +--! Mesfin Gebyehu +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna, fschreud diff --git a/sources/FullModeTransmitter/FullModeUserLogic.vhd b/sources/FullModeTransmitter/FullModeUserLogic.vhd index 9953218ea08f6922ca9cf8c172343a80a7eaaea1..d5ff30c4cff29958a4fef61da9e95a3ca0b74489 100644 --- a/sources/FullModeTransmitter/FullModeUserLogic.vhd +++ b/sources/FullModeTransmitter/FullModeUserLogic.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/FullModeWrapper/FELIX_FM_gbt_wrapper.vhd b/sources/FullModeWrapper/FELIX_FM_gbt_wrapper.vhd index 1196bb642df35c7188d310049b3a6503d9504605..f90cac0203b07f9fa434b0897fe6b3cddf5baf33 100644 --- a/sources/FullModeWrapper/FELIX_FM_gbt_wrapper.vhd +++ b/sources/FullModeWrapper/FELIX_FM_gbt_wrapper.vhd @@ -1,3 +1,23 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Andrea Borga +--! Weihao Wu +--! Kai Chen +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: -- Engineer: Kai Chen diff --git a/sources/FullModeWrapper/FELIX_FM_gbt_wrapper_ku.vhd b/sources/FullModeWrapper/FELIX_FM_gbt_wrapper_ku.vhd index 2fe8de5dd08a20aa15e574d5964cbe7268d5153e..0c655fa6cdd5720bb291bbd79f4df6fb39f2be4b 100644 --- a/sources/FullModeWrapper/FELIX_FM_gbt_wrapper_ku.vhd +++ b/sources/FullModeWrapper/FELIX_FM_gbt_wrapper_ku.vhd @@ -1,3 +1,25 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Andrea Borga +--! Weihao Wu +--! Kai Chen +--! Mesfin Gebyehu +--! Rene +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: -- Engineer: Kai Chen diff --git a/sources/FullModeWrapper/fullmode_auto_rxreset.vhd b/sources/FullModeWrapper/fullmode_auto_rxreset.vhd index e7b547f9510741678bdfd47123c404a3465425fd..088b1cebfc9ec3ba31cad8426e31f59a26422db0 100644 --- a/sources/FullModeWrapper/fullmode_auto_rxreset.vhd +++ b/sources/FullModeWrapper/fullmode_auto_rxreset.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: -- Engineer: Rene Habraken diff --git a/sources/FullModeWrapper/gth_fullmode_wrapper_48g_ku.vhd b/sources/FullModeWrapper/gth_fullmode_wrapper_48g_ku.vhd index e2bc47ebb8849b762ecda10969e405aa626ee96d..040d4b17417af72cf78f480f3f02cb834f4ed514 100644 --- a/sources/FullModeWrapper/gth_fullmode_wrapper_48g_ku.vhd +++ b/sources/FullModeWrapper/gth_fullmode_wrapper_48g_ku.vhd @@ -1,3 +1,23 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Weihao Wu +--! Kai Chen +--! Alessandro Palombi +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --Modified by Kai Chen -- 2015/01/14 -- For FELIX: 4-chanel 4.8Gbps QPLL GTH diff --git a/sources/FullModeWrapper/gth_fullmode_wrapper_48g_v7.vhd b/sources/FullModeWrapper/gth_fullmode_wrapper_48g_v7.vhd index f2545ea79192611733b022a33985bf0ea34f8e87..bccb36ad83db1c8d7ae8b4ba6b4c5740781c109e 100644 --- a/sources/FullModeWrapper/gth_fullmode_wrapper_48g_v7.vhd +++ b/sources/FullModeWrapper/gth_fullmode_wrapper_48g_v7.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Weihao Wu +--! Alessandro Palombi +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --Modified by Kai Chen -- 2015/01/14 -- For FELIX: 4-chanel 4.8Gbps QPLL GTH diff --git a/sources/FullModeWrapper/gth_fullmode_wrapper_48g_vup.vhd b/sources/FullModeWrapper/gth_fullmode_wrapper_48g_vup.vhd index 8daea40b199ac76546a5884f5cee463323b660ea..1a5da299b0d31c7b239de3c18e1a63615144f72b 100644 --- a/sources/FullModeWrapper/gth_fullmode_wrapper_48g_vup.vhd +++ b/sources/FullModeWrapper/gth_fullmode_wrapper_48g_vup.vhd @@ -1,3 +1,23 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Weihao Wu +--! Kai Chen +--! Rene +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --Modified by Kai Chen -- 2015/01/14 -- For FELIX: 4-chanel 4.8Gbps QPLL GTH diff --git a/sources/FullModeWrapper/gth_fullmode_wrapper_ku.vhd b/sources/FullModeWrapper/gth_fullmode_wrapper_ku.vhd index 24aa4a4b87a99974234f040a3c8ad054cefcef30..06cc2ac49c137880b8bf07bb87d75be1a6678169 100644 --- a/sources/FullModeWrapper/gth_fullmode_wrapper_ku.vhd +++ b/sources/FullModeWrapper/gth_fullmode_wrapper_ku.vhd @@ -1,3 +1,23 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Weihao Wu +--! Kai Chen +--! Frans Schreuder +--! Rene +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --Modified by Kai Chen -- 2015/01/14 -- For FELIX: 4-chanel 4.8Gbps QPLL GTH diff --git a/sources/FullModeWrapper/gth_fullmode_wrapper_v7.vhd b/sources/FullModeWrapper/gth_fullmode_wrapper_v7.vhd index 976d2cc6c369b66cc2d100d8847e49a21c5e2c7a..eed24d040830bef83389fa2eb4d97e3005ae834a 100644 --- a/sources/FullModeWrapper/gth_fullmode_wrapper_v7.vhd +++ b/sources/FullModeWrapper/gth_fullmode_wrapper_v7.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Weihao Wu +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --Modified by Kai Chen -- 2015/01/14 -- For FELIX: 4-chanel 4.8Gbps QPLL GTH diff --git a/sources/FullModeWrapper/gth_fullmode_wrapper_vup.vhd b/sources/FullModeWrapper/gth_fullmode_wrapper_vup.vhd index cc7edd6370d1aa010d007ffc6314473bba3419ea..daf4913161b45473ca6d8ec3fb8d948e49c21947 100644 --- a/sources/FullModeWrapper/gth_fullmode_wrapper_vup.vhd +++ b/sources/FullModeWrapper/gth_fullmode_wrapper_vup.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Weihao Wu +--! Kai Chen +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --Modified by Kai Chen -- 2015/01/14 -- For FELIX: 4-chanel 4.8Gbps QPLL GTH diff --git a/sources/FullModeWrapper/standalone_test/bit_err_calc.vhd b/sources/FullModeWrapper/standalone_test/bit_err_calc.vhd index 87437d759fe7151eb3279ac2a00aabdb1a6373d4..b8330f3a1c255882d60d2884af3d619da92081f4 100644 --- a/sources/FullModeWrapper/standalone_test/bit_err_calc.vhd +++ b/sources/FullModeWrapper/standalone_test/bit_err_calc.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Andrea Borga +--! Kai Chen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: BNL -- Engineer: Kai Chen diff --git a/sources/FullModeWrapper/standalone_test/gtx_one_prbs.vhd b/sources/FullModeWrapper/standalone_test/gtx_one_prbs.vhd index 3b12eef99a9f83cb9323dbf85ab982ed7f326544..24919d8786a9612baced94a4c8ca61069803e318 100644 --- a/sources/FullModeWrapper/standalone_test/gtx_one_prbs.vhd +++ b/sources/FullModeWrapper/standalone_test/gtx_one_prbs.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Andrea Borga +--! Kai Chen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -- Kai Chen @ BNL -- July, 2016 -- For 32 bit PRBS31 encoding diff --git a/sources/FullModeWrapper/standalone_test/gtx_one_prbs_chk.vhd b/sources/FullModeWrapper/standalone_test/gtx_one_prbs_chk.vhd index 824481a931ee151ffb9f413a812e576cff6ea772..b71fe556ea8297dd56cf7e60303551d8002568ba 100644 --- a/sources/FullModeWrapper/standalone_test/gtx_one_prbs_chk.vhd +++ b/sources/FullModeWrapper/standalone_test/gtx_one_prbs_chk.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Andrea Borga +--! Kai Chen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ------------------------------------------------------------------------------- -- Kai Chen @ BNL -- July, 2016 diff --git a/sources/FullModeWrapper/standalone_test/gtx_one_prbs_chknew.vhd b/sources/FullModeWrapper/standalone_test/gtx_one_prbs_chknew.vhd index 48db3ef92afd707df98cb46c3a91955d6e538b62..6c8b60e2b634105b0d1656f0d4e33eb309b2bdff 100644 --- a/sources/FullModeWrapper/standalone_test/gtx_one_prbs_chknew.vhd +++ b/sources/FullModeWrapper/standalone_test/gtx_one_prbs_chknew.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Andrea Borga +--! Kai Chen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -- Kai Chen @ BNL -- July, 2016 -- For 32 bit PRBS31 decoding diff --git a/sources/FullModeWrapper/standalone_test/prbs_any.vhd b/sources/FullModeWrapper/standalone_test/prbs_any.vhd index 5d71e01a2d6aea09e6b6ceeb74a0314f97dccfd2..e0546546739efe548be0f112f0ad8ea70c58c9fa 100644 --- a/sources/FullModeWrapper/standalone_test/prbs_any.vhd +++ b/sources/FullModeWrapper/standalone_test/prbs_any.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Andrea Borga +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -------------------------------------------------------------------------------- -- File Name: PRBS_ANY.vhd -- Version: 1.0 diff --git a/sources/FullModeWrapper/standalone_test/prbs_any_rx.vhd b/sources/FullModeWrapper/standalone_test/prbs_any_rx.vhd index 2c30612004f1c8f2bfcd4e57a876e8e60df7c59f..00650b2808fa421494a162206df52cd894a830e2 100644 --- a/sources/FullModeWrapper/standalone_test/prbs_any_rx.vhd +++ b/sources/FullModeWrapper/standalone_test/prbs_any_rx.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Andrea Borga +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -------------------------------------------------------------------------------- -- File Name: PRBS_ANY.vhd -- Version: 1.0 diff --git a/sources/FullModeWrapper/standalone_test/prbs_top.vhd b/sources/FullModeWrapper/standalone_test/prbs_top.vhd index b977e5355c1e9e9d4b1c731533c6787c49d020b9..0bf8a26a020b341c2c8fc66f9a77825737b10b2b 100644 --- a/sources/FullModeWrapper/standalone_test/prbs_top.vhd +++ b/sources/FullModeWrapper/standalone_test/prbs_top.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Andrea Borga +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: -- Engineer: diff --git a/sources/FullModeWrapper/support/prbs8b10b_9p6g_common.vhd b/sources/FullModeWrapper/support/prbs8b10b_9p6g_common.vhd index 27a12961f26945a4f91ea1322ad61e034d6e0a12..b2619d7bf15966da4b32af890bdc29b724fd0721 100644 --- a/sources/FullModeWrapper/support/prbs8b10b_9p6g_common.vhd +++ b/sources/FullModeWrapper/support/prbs8b10b_9p6g_common.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Andrea Borga +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ------------------------------------------------------------------------------/ -- ____ ____ -- / /\/ / diff --git a/sources/FullModeWrapper/support/prbs8b10b_9p6g_common_reset.vhd b/sources/FullModeWrapper/support/prbs8b10b_9p6g_common_reset.vhd index 598804b942bcbe4938ee8e2d0d618090119c912e..d01f1ac406b95de49ed2bef9081c6db599fa1df1 100644 --- a/sources/FullModeWrapper/support/prbs8b10b_9p6g_common_reset.vhd +++ b/sources/FullModeWrapper/support/prbs8b10b_9p6g_common_reset.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Andrea Borga +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -------------------------------------------------------------------------------- -- ____ ____ -- / /\/ / diff --git a/sources/FullModeWrapper/support/prbs8b10b_9p6g_gt_usrclk_source.vhd b/sources/FullModeWrapper/support/prbs8b10b_9p6g_gt_usrclk_source.vhd index 69d4f896c880a40f5c12a02fca9b415a7cc5b467..1e36973286c6e1e3c8302a9b1d42969cf4124c55 100644 --- a/sources/FullModeWrapper/support/prbs8b10b_9p6g_gt_usrclk_source.vhd +++ b/sources/FullModeWrapper/support/prbs8b10b_9p6g_gt_usrclk_source.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Andrea Borga +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ------------------------------------------------------------------------------ -- ____ ____ -- / /\/ / diff --git a/sources/FullModeWrapper/support/prbs8b10b_9p6g_support.vhd b/sources/FullModeWrapper/support/prbs8b10b_9p6g_support.vhd index 77a0a44a36b03534bff7a811282b51ff3ae20d71..75fb68476e631257ec61a00e164c45c83ac71b35 100644 --- a/sources/FullModeWrapper/support/prbs8b10b_9p6g_support.vhd +++ b/sources/FullModeWrapper/support/prbs8b10b_9p6g_support.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Andrea Borga +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ------------------------------------------------------------------------------ -- ____ ____ -- / /\/ / diff --git a/sources/GBT/gbt_code/FELIX_GBT_RXSLIDE_FSM.vhd b/sources/GBT/gbt_code/FELIX_GBT_RXSLIDE_FSM.vhd index af2307ee11b4fab36b7cd086f49ed86b25159381..a960eb4e964d8e147b671ea2f2739665156c90f7 100644 --- a/sources/GBT/gbt_code/FELIX_GBT_RXSLIDE_FSM.vhd +++ b/sources/GBT/gbt_code/FELIX_GBT_RXSLIDE_FSM.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Kai Chen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! -- --! BNL - Brookhaven National Lboratory -- diff --git a/sources/GBT/gbt_code/FELIX_GBT_RX_ALIGN_FSM.vhd b/sources/GBT/gbt_code/FELIX_GBT_RX_ALIGN_FSM.vhd index a856bffc9b05d2f4d3109dd7065f112562f3d7a2..fc4fc84a332b02f8c36a50b0fa6815573c17917c 100644 --- a/sources/GBT/gbt_code/FELIX_GBT_RX_ALIGN_FSM.vhd +++ b/sources/GBT/gbt_code/FELIX_GBT_RX_ALIGN_FSM.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Kai Chen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! -- --! BNL - Brookhaven National Lboratory -- diff --git a/sources/GBT/gbt_code/FELIX_GBT_RX_AUTO_RST.vhd b/sources/GBT/gbt_code/FELIX_GBT_RX_AUTO_RST.vhd index befde932e77aaf39af2babb6d8bc0b85bdc0b0e0..465db5bd1c321829c63dc4382d130346cab62ed0 100644 --- a/sources/GBT/gbt_code/FELIX_GBT_RX_AUTO_RST.vhd +++ b/sources/GBT/gbt_code/FELIX_GBT_RX_AUTO_RST.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Kai Chen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! -- --! BNL - Brookhaven National Lboratory -- diff --git a/sources/GBT/gbt_code/FELIX_gbt_wrapper_FELIGKCU.vhd b/sources/GBT/gbt_code/FELIX_gbt_wrapper_FELIGKCU.vhd index f2ab99fecad374f4652382f34e156232198a7d98..346a11d5879ef30d0eed8c9243a9cf2674714982 100644 --- a/sources/GBT/gbt_code/FELIX_gbt_wrapper_FELIGKCU.vhd +++ b/sources/GBT/gbt_code/FELIX_gbt_wrapper_FELIGKCU.vhd @@ -1,3 +1,27 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Andrea Borga +--! Israel Grayzman +--! RHabraken +--! Kai Chen +--! Frans Schreuder +--! Shelfali Saxena +--! mtrovato +--! Ricardo Luz +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! -- --! BNL - Brookhaven National Lboratory -- diff --git a/sources/GBT/gbt_code/FELIX_gbt_wrapper_KCU.vhd b/sources/GBT/gbt_code/FELIX_gbt_wrapper_KCU.vhd index 68f821d621cc2dc417273b5a5abfd6d0b8f91737..6b9f16b937df9871c5dc139b1af44c85adce1504 100644 --- a/sources/GBT/gbt_code/FELIX_gbt_wrapper_KCU.vhd +++ b/sources/GBT/gbt_code/FELIX_gbt_wrapper_KCU.vhd @@ -1,3 +1,26 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Andrea Borga +--! Israel Grayzman +--! RHabraken +--! Kai Chen +--! Shelfali Saxena +--! mtrovato +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------FA------------------------------------------------ --! -- --! BNL - Brookhaven National Lboratory -- diff --git a/sources/GBT/gbt_code/FELIX_gbt_wrapper_V7.vhd b/sources/GBT/gbt_code/FELIX_gbt_wrapper_V7.vhd index 7816cc887bbaab597d62dae8cfb5fea36424778e..d0002a94647ce140a02795297522f7970e14f09f 100644 --- a/sources/GBT/gbt_code/FELIX_gbt_wrapper_V7.vhd +++ b/sources/GBT/gbt_code/FELIX_gbt_wrapper_V7.vhd @@ -1,3 +1,24 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Andrea Borga +--! Israel Grayzman +--! RHabraken +--! Kai Chen +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! -- --! BNL - Brookhaven National Lboratory -- diff --git a/sources/GBT/gbt_code/FELIX_gbt_wrapper_V7_low_latency.vhd b/sources/GBT/gbt_code/FELIX_gbt_wrapper_V7_low_latency.vhd index ad0a6bd8b1cf90de32e8c25185044453d8f93957..c913511aad475fe3c515d0e19059a8c03a39af2f 100644 --- a/sources/GBT/gbt_code/FELIX_gbt_wrapper_V7_low_latency.vhd +++ b/sources/GBT/gbt_code/FELIX_gbt_wrapper_V7_low_latency.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Kai Chen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! -- --! BNL - Brookhaven National Lboratory -- diff --git a/sources/GBT/gbt_code/FELIX_gbt_wrapper_no_gth.vhd b/sources/GBT/gbt_code/FELIX_gbt_wrapper_no_gth.vhd old mode 100755 new mode 100644 index 57c47465a8171b1c92d5091905f6e8b1fc63214d..822a6160fa18b796e8dc9a9a014c581559ca5147 --- a/sources/GBT/gbt_code/FELIX_gbt_wrapper_no_gth.vhd +++ b/sources/GBT/gbt_code/FELIX_gbt_wrapper_no_gth.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! RHabraken +--! Mesfin Gebyehu +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! -- --! BNL - Brookhaven National Lboratory -- diff --git a/sources/GBT/gbt_code/FELIX_gbt_wrapper_xoff_L1t_det.vhd b/sources/GBT/gbt_code/FELIX_gbt_wrapper_xoff_L1t_det.vhd index 85c80088dcbfdfb91849a1beaa1a3fc599b3efed..f273fab132694bea43a39c57a6e9a3bd0a09ddf2 100644 --- a/sources/GBT/gbt_code/FELIX_gbt_wrapper_xoff_L1t_det.vhd +++ b/sources/GBT/gbt_code/FELIX_gbt_wrapper_xoff_L1t_det.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! RHabraken +--! Frans Schreuder +--! Mesfin Gebyehu +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! -- --! BNL - Brookhaven National Lboratory -- diff --git a/sources/GBT/gbt_code/gbtRx_FELIX.vhd b/sources/GBT/gbt_code/gbtRx_FELIX.vhd index f3338f975abddce276010e5c50e949d211e39cc6..b6034941ed05c5312b81bb9d0a32fce9c2c5318b 100644 --- a/sources/GBT/gbt_code/gbtRx_FELIX.vhd +++ b/sources/GBT/gbt_code/gbtRx_FELIX.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Kai Chen +--! Andrea Borga +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! -- --! BNL - Brookhaven National Lboratory -- diff --git a/sources/GBT/gbt_code/gbtRx_wrap_FELIX.vhd b/sources/GBT/gbt_code/gbtRx_wrap_FELIX.vhd index 39c8db53368b8fde6fd8b1d58365d5e6479200a0..70b68519942aa33c4c6e42325dd325f8b1ba1577 100644 --- a/sources/GBT/gbt_code/gbtRx_wrap_FELIX.vhd +++ b/sources/GBT/gbt_code/gbtRx_wrap_FELIX.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Kai Chen +--! Mesfin Gebyehu +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! -- --! BNL - Brookhaven National Lboratory -- diff --git a/sources/GBT/gbt_code/gbtTxRx_FELIX.vhd b/sources/GBT/gbt_code/gbtTxRx_FELIX.vhd index d41d84f01caf89a7a3c43290fd27788b64fa897d..e49d4e9b096b530da31168661615cbcc3a9ee981 100644 --- a/sources/GBT/gbt_code/gbtTxRx_FELIX.vhd +++ b/sources/GBT/gbt_code/gbtTxRx_FELIX.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Kai Chen +--! RHabraken +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! -- --! BNL - Brookhaven National Lboratory -- diff --git a/sources/GBT/gbt_code/gbtTx_FELIX.vhd b/sources/GBT/gbt_code/gbtTx_FELIX.vhd index dce23d485d3f519dc0da763ec0b99d68437e7fec..22f0e4de54f14aff33e6bc1f95f3380aa61950b9 100644 --- a/sources/GBT/gbt_code/gbtTx_FELIX.vhd +++ b/sources/GBT/gbt_code/gbtTx_FELIX.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Kai Chen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! -- --! BNL - Brookhaven National Lboratory -- diff --git a/sources/GBT/gbt_code/gbt_rx_decoder_FELIX.vhd b/sources/GBT/gbt_code/gbt_rx_decoder_FELIX.vhd index 794a871a44d9197cd628618f4967d80e2e58b8f4..e6c4b3aaa5a0f63bf91f1a185999e00a0b8cc03d 100644 --- a/sources/GBT/gbt_code/gbt_rx_decoder_FELIX.vhd +++ b/sources/GBT/gbt_code/gbt_rx_decoder_FELIX.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Kai Chen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --=================================================================================================-- --################################## Module Information #######################################-- diff --git a/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_chnsrch.vhd b/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_chnsrch.vhd index 404bb620a4c495b413de7e9f68d7865704e38858..200fc3a9e6ea0661a43ad51f3cb3cc9701f85c3b 100644 --- a/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_chnsrch.vhd +++ b/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_chnsrch.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Kai Chen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --=================================================================================================-- --################################## Module Information #######################################-- --=================================================================================================-- diff --git a/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_deintlver.vhd b/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_deintlver.vhd index 2f28645b0ba01f6a5ab0dc56a9f385537c448f76..0e0e64b9ee07f539a9fbfcf64cd25574921b6e0f 100644 --- a/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_deintlver.vhd +++ b/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_deintlver.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --=================================================================================================-- --################################## Module Information #######################################-- --=================================================================================================-- diff --git a/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_elpeval.vhd b/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_elpeval.vhd index 72815c78ce65a4e4466941c559c41929963baf8c..f7932acb35a381b4a7a590c65852ed150a35c553 100644 --- a/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_elpeval.vhd +++ b/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_elpeval.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --=================================================================================================-- --################################## Module Information #######################################-- --=================================================================================================-- diff --git a/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_errlcpoly.vhd b/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_errlcpoly.vhd index 960ed86cd9393c3c8a0ef48d059df28070cc3dd2..672d63c7bc48f8755bafdb15c3f9085bea82012b 100644 --- a/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_errlcpoly.vhd +++ b/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_errlcpoly.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --=================================================================================================-- --################################## Module Information #######################################-- --=================================================================================================-- diff --git a/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_lmbddet.vhd b/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_lmbddet.vhd index e5fd434370997baa98368df07a93e3bb60181367..b97ce2dbca983bd983f00ab44cee38960fd73de5 100644 --- a/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_lmbddet.vhd +++ b/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_lmbddet.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --=================================================================================================-- --################################## Module Information #######################################-- --=================================================================================================-- diff --git a/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_rs2errcor.vhd b/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_rs2errcor.vhd index c538eadd30f4285182f24fc0d95b38a1d6c18b6e..e50d99acc45049366f63f7f562889c300489969c 100644 --- a/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_rs2errcor.vhd +++ b/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_rs2errcor.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --=================================================================================================-- --################################## Module Information #######################################-- --=================================================================================================-- diff --git a/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_rsdec.vhd b/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_rsdec.vhd index 8725918f46a29cbe01df12fb23026a7502eefe32..3808f55691c644defda1bfe9ff0817cfbdc54210 100644 --- a/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_rsdec.vhd +++ b/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_rsdec.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --=================================================================================================-- --################################## Module Information #######################################-- --=================================================================================================-- diff --git a/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_rsdec_sync.vhd b/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_rsdec_sync.vhd index 1618b8dc5770702154412f2a8456d067e0aa97aa..5f21089bb132a8b2d58262c9d95349fc7a762992 100644 --- a/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_rsdec_sync.vhd +++ b/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_rsdec_sync.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -- Modified by Kai Chen, to change it from combinational logic, to be synchronized with the 240MHz clock --=================================================================================================-- --################################## Module Information #######################################-- diff --git a/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_syndrom.vhd b/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_syndrom.vhd index 989bdd873bb605cd8b3fdef120e8eb3520d9b01b..5d71b600ed204b5034854d640fde52b1b5b21e69 100644 --- a/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_syndrom.vhd +++ b/sources/GBT/gbt_code/gbt_rx_decoder_gbtframe_syndrom.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --=================================================================================================-- --################################## Module Information #######################################-- --=================================================================================================-- diff --git a/sources/GBT/gbt_code/gbt_rx_descrambler_16bit.vhd b/sources/GBT/gbt_code/gbt_rx_descrambler_16bit.vhd index 742aa23f906ac2334c73a7751082d2578bae8086..0921cb2eef42e14324b941a67836528c8482e400 100644 --- a/sources/GBT/gbt_code/gbt_rx_descrambler_16bit.vhd +++ b/sources/GBT/gbt_code/gbt_rx_descrambler_16bit.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Kai Chen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ------------------------------------------------------------------------------- -- Modified by K. Chen @ Dec. 2014, control signal added. ------------------------------------------------------------------------------- diff --git a/sources/GBT/gbt_code/gbt_rx_descrambler_21bit.vhd b/sources/GBT/gbt_code/gbt_rx_descrambler_21bit.vhd index 6db6e5c574e881d5acbf151e8ccc246421727d34..2e0ddc04e0cab6f78e9ecbf802a293d5fbdc4732 100644 --- a/sources/GBT/gbt_code/gbt_rx_descrambler_21bit.vhd +++ b/sources/GBT/gbt_code/gbt_rx_descrambler_21bit.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Kai Chen +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ------------------------------------------------------------------------------- -- Modified by K. Chen @ Dec. 2014, control signal added. ------------------------------------------------------------------------------- diff --git a/sources/GBT/gbt_code/gbt_rx_descrambler_FELIX.vhd b/sources/GBT/gbt_code/gbt_rx_descrambler_FELIX.vhd index a8c98aa22759150e6ad1fbd0c50742eec0773b91..c15f2329357e1281966ef519a6579f3241ebc550 100644 --- a/sources/GBT/gbt_code/gbt_rx_descrambler_FELIX.vhd +++ b/sources/GBT/gbt_code/gbt_rx_descrambler_FELIX.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Kai Chen +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ------------------------------------------------------------------------------- -- Modified by K. Chen @ Dec. 2014, Clock changed, control signal added. -- Dynamic data mode change is added. diff --git a/sources/GBT/gbt_code/gbt_rx_gearbox_FELIX_wi_rxbuffer.vhd b/sources/GBT/gbt_code/gbt_rx_gearbox_FELIX_wi_rxbuffer.vhd index 1ffc4577285af80317531f775e358ded6cb8a507..83c0950f8e86473e1a7846ae811e95a5f9836be8 100644 --- a/sources/GBT/gbt_code/gbt_rx_gearbox_FELIX_wi_rxbuffer.vhd +++ b/sources/GBT/gbt_code/gbt_rx_gearbox_FELIX_wi_rxbuffer.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Kai Chen +--! Mesfin Gebyehu +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! -- --! BNL - Brookhaven National Lboratory -- diff --git a/sources/GBT/gbt_code/gbt_rx_gearbox_FELIX_wo_rxbuffer.vhd b/sources/GBT/gbt_code/gbt_rx_gearbox_FELIX_wo_rxbuffer.vhd index 47283eccb9801d8169e9595a27c038c9ed666b45..ef941ffefd43e5e999e101ac0de1d512acb28319 100644 --- a/sources/GBT/gbt_code/gbt_rx_gearbox_FELIX_wo_rxbuffer.vhd +++ b/sources/GBT/gbt_code/gbt_rx_gearbox_FELIX_wo_rxbuffer.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Kai Chen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! -- --! BNL - Brookhaven National Lboratory -- diff --git a/sources/GBT/gbt_code/gbt_tx_encoder_FELIX.vhd b/sources/GBT/gbt_code/gbt_tx_encoder_FELIX.vhd index 82c664f2690672e15ddc51d79b91d1e75d1ef396..dacf9dbc92bb2b85c382ab53d076477f145e91ca 100644 --- a/sources/GBT/gbt_code/gbt_tx_encoder_FELIX.vhd +++ b/sources/GBT/gbt_code/gbt_tx_encoder_FELIX.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Kai Chen +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ------------------------------------------------------------------------------- -- The dynamical change of the data type is added -- K. Chen, Dec. 2014 diff --git a/sources/GBT/gbt_code/gbt_tx_encoder_gbtframe_intlver.vhd b/sources/GBT/gbt_code/gbt_tx_encoder_gbtframe_intlver.vhd index e7b976a770cd6f4c1bb462f8a23a86702b8bcdd2..19f256acc025872be15f2201eb106c9a6d6b8adb 100644 --- a/sources/GBT/gbt_code/gbt_tx_encoder_gbtframe_intlver.vhd +++ b/sources/GBT/gbt_code/gbt_tx_encoder_gbtframe_intlver.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --=================================================================================================-- --################################## Module Information #######################################-- --=================================================================================================-- diff --git a/sources/GBT/gbt_code/gbt_tx_encoder_gbtframe_polydiv.vhd b/sources/GBT/gbt_code/gbt_tx_encoder_gbtframe_polydiv.vhd index 1216b0aac2b60e7bf80b7244bf5bd6b948d6f729..fad35fd82770a1222da91fa33b3ed66dbf4b3bd3 100644 --- a/sources/GBT/gbt_code/gbt_tx_encoder_gbtframe_polydiv.vhd +++ b/sources/GBT/gbt_code/gbt_tx_encoder_gbtframe_polydiv.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --=================================================================================================-- --################################## Module Information #######################################-- --=================================================================================================-- diff --git a/sources/GBT/gbt_code/gbt_tx_encoder_gbtframe_polydiv_sim.vhd b/sources/GBT/gbt_code/gbt_tx_encoder_gbtframe_polydiv_sim.vhd index 281a5013f6e4fa46b0ab7ad375a05b40781f11af..d1a060365241defc53ee940fe3978132df194baa 100644 --- a/sources/GBT/gbt_code/gbt_tx_encoder_gbtframe_polydiv_sim.vhd +++ b/sources/GBT/gbt_code/gbt_tx_encoder_gbtframe_polydiv_sim.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --=================================================================================================-- --################################## Module Information #######################################-- --=================================================================================================-- diff --git a/sources/GBT/gbt_code/gbt_tx_encoder_gbtframe_rsencode.vhd b/sources/GBT/gbt_code/gbt_tx_encoder_gbtframe_rsencode.vhd index b95d144b4f28bf68c28245eed05dfd0afbca266f..6f0777c97451af53e9a2e75e13e9c6260d78fa73 100644 --- a/sources/GBT/gbt_code/gbt_tx_encoder_gbtframe_rsencode.vhd +++ b/sources/GBT/gbt_code/gbt_tx_encoder_gbtframe_rsencode.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --=================================================================================================-- --################################## Module Information #######################################-- --=================================================================================================-- diff --git a/sources/GBT/gbt_code/gbt_tx_gearbox_FELIX.vhd b/sources/GBT/gbt_code/gbt_tx_gearbox_FELIX.vhd index 80c97ebaaca473ecada51d7219ac2d885883a2e0..bb627c092a437116e300357ad4cfc3217feb3f09 100644 --- a/sources/GBT/gbt_code/gbt_tx_gearbox_FELIX.vhd +++ b/sources/GBT/gbt_code/gbt_tx_gearbox_FELIX.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Kai Chen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ------------------------------------------------------------------------------- ---- Big Modification is done, by K. Chen @ Dec. 2014 ---- The timing crossing is deleted. A new robust one is moved before scrambler. diff --git a/sources/GBT/gbt_code/gbt_tx_scrambler_16bit.vhd b/sources/GBT/gbt_code/gbt_tx_scrambler_16bit.vhd index 2d7e499b17e5953e0a8e3d3391f6bf3bb9b0c8f6..1b40c25d59f8c9a3728bb359f39ccaa60c74184b 100644 --- a/sources/GBT/gbt_code/gbt_tx_scrambler_16bit.vhd +++ b/sources/GBT/gbt_code/gbt_tx_scrambler_16bit.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Kai Chen +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ------------------------------------------------------------------------------- ---- Modified by K. Chen @ Dec. 2014, Clock changed, control signal added. ------------------------------------------------------------------------------- diff --git a/sources/GBT/gbt_code/gbt_tx_scrambler_21bit.vhd b/sources/GBT/gbt_code/gbt_tx_scrambler_21bit.vhd index 1c6e6c26a3710fab67d304413f638c19cee95a79..ed89e89659032495c3a6d2da8e70c6c7f49de0bf 100644 --- a/sources/GBT/gbt_code/gbt_tx_scrambler_21bit.vhd +++ b/sources/GBT/gbt_code/gbt_tx_scrambler_21bit.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Kai Chen +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ------------------------------------------------------------------------------- -- ---- Modified by K. Chen @ Dec. 2014, Clock changed, control signal added. ------------------------------------------------------------------------------- diff --git a/sources/GBT/gbt_code/gbt_tx_scrambler_FELIX.vhd b/sources/GBT/gbt_code/gbt_tx_scrambler_FELIX.vhd index c51a2ba5ea80c401e500c034f1f7e7bf654191a1..b6fe606ddccb57c0a0f755b402ced20f9374e1d2 100644 --- a/sources/GBT/gbt_code/gbt_tx_scrambler_FELIX.vhd +++ b/sources/GBT/gbt_code/gbt_tx_scrambler_FELIX.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Kai Chen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ------------------------------------------------------------------------------- -- Based on GBT-FPGA project v3 -- Modified by K. Chen @ Dec. 2014, Clock changed, in/out control signal added. diff --git a/sources/GBT/gbt_code/gbt_tx_timedomaincrossing_FELIX.vhd b/sources/GBT/gbt_code/gbt_tx_timedomaincrossing_FELIX.vhd index cae31fe532ecceddf6c9e386ef9c448dd6a8b8ac..42b1755cbd58b412057e0022b4c10f7f4b15e0d5 100644 --- a/sources/GBT/gbt_code/gbt_tx_timedomaincrossing_FELIX.vhd +++ b/sources/GBT/gbt_code/gbt_tx_timedomaincrossing_FELIX.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Kai Chen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! -- --! BNL - Brookhaven National Lboratory -- diff --git a/sources/GBT/gth_code/cpll4p8g1ch_KCU/GTH_CPLL_Wrapper.vhd b/sources/GBT/gth_code/cpll4p8g1ch_KCU/GTH_CPLL_Wrapper.vhd index 8c789cac6bd58968f31cb3ac68e238c197cc27e9..2fa8c020325516e6cfb060b589ff8f4cd907c747 100644 --- a/sources/GBT/gth_code/cpll4p8g1ch_KCU/GTH_CPLL_Wrapper.vhd +++ b/sources/GBT/gth_code/cpll4p8g1ch_KCU/GTH_CPLL_Wrapper.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Kai Chen +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! -- --! BNL - Brookhaven National Lboratory -- diff --git a/sources/GBT/gth_code/cpll4p8g1ch_V7/GTH_CPLL_Wrapper_V7.vhd b/sources/GBT/gth_code/cpll4p8g1ch_V7/GTH_CPLL_Wrapper_V7.vhd index 78597402628dd9aa752258f77b60c1ee89f98015..164ef928348e7311bfb1536ad8bdd11e51decba3 100644 --- a/sources/GBT/gth_code/cpll4p8g1ch_V7/GTH_CPLL_Wrapper_V7.vhd +++ b/sources/GBT/gth_code/cpll4p8g1ch_V7/GTH_CPLL_Wrapper_V7.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Kai Chen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! -- --! BNL - Brookhaven National Lboratory -- diff --git a/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_exdes.vhd b/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_exdes.vhd index 7e1eb38bcb4f67429a373a0836a31c049dee64df..2a23421879af4393510a58269ebac93fb45e95d5 100644 --- a/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_exdes.vhd +++ b/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_exdes.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Kai Chen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --Modified for the FELIX HTG-710, Kai CHEN @ bnl ------------------------------------------------------------------------------ diff --git a/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_gt.vhd b/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_gt.vhd index c7041ff6f745fcfac6a04907323fea57d070ec0e..cd91f294840b2660d779441662b8c335b78136ea 100644 --- a/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_gt.vhd +++ b/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_gt.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Kai Chen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --Modified by Kai Chen -- 2015/01/14 -- For FELIX: 4-chanel 4.8Gbps CPLL GTH diff --git a/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_init.vhd b/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_init.vhd index 250103dce623fda48a9dad441408962d1c99c683..312ef48c252c0eb0abf331172b34e2c3b65b359e 100644 --- a/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_init.vhd +++ b/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_init.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Kai Chen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --Modified by Kai Chen -- 2014 -- For FELIX: 4-chanel 4.8Gbps CPLL GTH diff --git a/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_multi_gt.vhd b/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_multi_gt.vhd index 7c3ad7c9e10152af729c89e2ffbb42695a3638fc..b351dcaee798f1333d1238a589b77266d57e5b5b 100644 --- a/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_multi_gt.vhd +++ b/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_multi_gt.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Kai Chen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ------------------------------------------------------------------------------- -- ____ ____ -- / /\/ / diff --git a/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_rx_manual_phase_align.vhd b/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_rx_manual_phase_align.vhd index 34e7da9c6588d22e4dc2aaef3d78b71a0fbb969a..f9afe0849c8b8e39ed72a1991c59750d221dadbd 100644 --- a/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_rx_manual_phase_align.vhd +++ b/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_rx_manual_phase_align.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --////////////////////////////////////////////////////////////////////////////// -- ____ ____ -- / /\/ / diff --git a/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_rx_startup_fsm.vhd b/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_rx_startup_fsm.vhd index 376215564d7496e3a5ec329b4005eb067240b499..2e3ff453e246b7bd42d963b563584a022215dc6c 100644 --- a/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_rx_startup_fsm.vhd +++ b/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_rx_startup_fsm.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --//////////////////////////////////////////////////////////////////////////////// --// ____ ____ --// / /\/ / diff --git a/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_sync_block.vhd b/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_sync_block.vhd index 5866d72b961fe6ed50abc458795fab0c7a5cedf2..9a14a9051ba87fbac6a64e96122f9cd4982c14d7 100644 --- a/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_sync_block.vhd +++ b/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_sync_block.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --//////////////////////////////////////////////////////////////////////////////// --// ____ ____ --// / /\/ / diff --git a/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_sync_pulse.vhd b/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_sync_pulse.vhd index ac7bee6f4accd4f8a20fc08e7e25a3dd8d505536..48614f30d325ff0a82e243b27e0a8c6d94b469ab 100644 --- a/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_sync_pulse.vhd +++ b/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_sync_pulse.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --//////////////////////////////////////////////////////////////////////////////// --// ____ ____ --// / /\/ / diff --git a/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_tx_manual_phase_align.vhd b/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_tx_manual_phase_align.vhd index 1103bdfd6fdf6b564a42e68f15a8184f15ef694b..bf0186f7578f404308d206ff9f7466b771f2f9a5 100644 --- a/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_tx_manual_phase_align.vhd +++ b/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_tx_manual_phase_align.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --////////////////////////////////////////////////////////////////////////////// -- ____ ____ -- / /\/ / diff --git a/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_tx_startup_fsm.vhd b/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_tx_startup_fsm.vhd index f5346f532d02599f4ba53fe08de56de6da07be42..57572e17cfaa1379db2c212030d4603fbbc3f7d3 100644 --- a/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_tx_startup_fsm.vhd +++ b/sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_tx_startup_fsm.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --////////////////////////////////////////////////////////////////////////////// -- ____ ____ -- / /\/ / diff --git a/sources/GBT/gth_code/gth_top.vhd b/sources/GBT/gth_code/gth_top.vhd index 32e432618a4db598865cc9b9bb37fd558f61ef1e..dceb3fa1fa786fe03521d25c2ba881e085d04979 100644 --- a/sources/GBT/gth_code/gth_top.vhd +++ b/sources/GBT/gth_code/gth_top.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Kai Chen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! -- --! BNL - Brookhaven National Lboratory -- diff --git a/sources/GBT/gth_code/gth_usrclk_gen.vhd b/sources/GBT/gth_code/gth_usrclk_gen.vhd index 698abf2ef6b12a1d14dea7f7a3a4e6c842da8caa..18b25e89202fc7d9d2d6a4695442d104e52be8ad 100644 --- a/sources/GBT/gth_code/gth_usrclk_gen.vhd +++ b/sources/GBT/gth_code/gth_usrclk_gen.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Kai Chen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! -- --! BNL - Brookhaven National Lboratory -- diff --git a/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch.vhd b/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch.vhd index 6a1852afc043fdeb26ceaa2dfc274eee503ad508..3e3111b73fe04e8c8fdfb7ac4e8fd39fd60d2da3 100644 --- a/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch.vhd +++ b/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Kai Chen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --Modified by Kai Chen -- 2015/01/14 -- For FELIX: 4-chanel 4.8Gbps QPLL GTH diff --git a/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_gt.vhd b/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_gt.vhd index 26765f605e56ed23716580915493a627da437b98..6ff52272d76e3d356be0e5d7a8b3a055670f6c38 100644 --- a/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_gt.vhd +++ b/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_gt.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Kai Chen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --Modified by Kai Chen -- 2015/01/14 -- For FELIX: 4-chanel 4.8Gbps QPLL GTH diff --git a/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_gtrxreset_seq.vhd b/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_gtrxreset_seq.vhd index aaecb02af55dfb9bf772de4089b34e8d1e4cd2cb..bb35559e693f8d80f2e176bd046d2e0f19c2b204 100644 --- a/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_gtrxreset_seq.vhd +++ b/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_gtrxreset_seq.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ------------------------------------------------------------------------------ -- ____ ____ -- / /\/ / diff --git a/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_init.vhd b/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_init.vhd index ce64abb786159765366f93136c478ba55ac20860..4edc658ea9519d4aad46a12f5d0a5114b42947e0 100644 --- a/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_init.vhd +++ b/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_init.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Kai Chen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --Modified by Kai Chen -- 2015/01/14 -- For FELIX: 4-chanel 4.8Gbps QPLL GTH diff --git a/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_multi_gt.vhd b/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_multi_gt.vhd index 7b06e5afc68bf84867390f0afd8ca04f1e0dcc85..001952056acedc6b7ac3ed32551b79c3d05cec2f 100644 --- a/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_multi_gt.vhd +++ b/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_multi_gt.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Kai Chen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ------------------------------------------------------------------------------- -- ____ ____ -- / /\/ / diff --git a/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_rx_manual_phase_align.vhd b/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_rx_manual_phase_align.vhd index e6b4cd33d78718baf10a519dc862ce0aa8025e47..655b2bd1cdfd392292b805d42d705cd78ae6ceb8 100644 --- a/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_rx_manual_phase_align.vhd +++ b/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_rx_manual_phase_align.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --////////////////////////////////////////////////////////////////////////////// -- ____ ____ -- / /\/ / diff --git a/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_rx_startup_fsm.vhd b/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_rx_startup_fsm.vhd index f205394595f9cee500ae622a255a23d67847921e..650b575cb61d8d2f690613f67eab753d32eafc72 100644 --- a/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_rx_startup_fsm.vhd +++ b/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_rx_startup_fsm.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --//////////////////////////////////////////////////////////////////////////////// --// ____ ____ --// / /\/ / diff --git a/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_sync_block.vhd b/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_sync_block.vhd index fcaf070a18e8c479fd555201c1860e4fee73170f..a8ecb5d1daaa86d907f0b023868d989e0dd1c48b 100644 --- a/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_sync_block.vhd +++ b/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_sync_block.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --//////////////////////////////////////////////////////////////////////////////// --// ____ ____ --// / /\/ / diff --git a/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_sync_pulse.vhd b/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_sync_pulse.vhd index be022901f2300cb2fa4e62a9eb812a7255f66c33..063134cec5645b1a7a26111778db285657ffa767 100644 --- a/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_sync_pulse.vhd +++ b/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_sync_pulse.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --//////////////////////////////////////////////////////////////////////////////// --// ____ ____ --// / /\/ / diff --git a/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_tx_manual_phase_align.vhd b/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_tx_manual_phase_align.vhd index d2bb56966016694c5a7bffcbe6548fb5272494c7..35efb8320b679ed68547f3fe2c891cd6af9e1609 100644 --- a/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_tx_manual_phase_align.vhd +++ b/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_tx_manual_phase_align.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --////////////////////////////////////////////////////////////////////////////// -- ____ ____ -- / /\/ / diff --git a/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_tx_startup_fsm.vhd b/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_tx_startup_fsm.vhd index 03363f5484d40b04f26055a4c581e48c30d4b4b1..1abfe6c35c96baf03f2c94159514a5cee0b47f22 100644 --- a/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_tx_startup_fsm.vhd +++ b/sources/GBT/gth_code/qpll4p8g4ch/gtwizard_qpll_4p8g_4ch_tx_startup_fsm.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --////////////////////////////////////////////////////////////////////////////// -- ____ ____ -- / /\/ / diff --git a/sources/GBT/gth_code/qpll4p8g4ch_KCU/GTH_QPLL_Wrapper.vhd b/sources/GBT/gth_code/qpll4p8g4ch_KCU/GTH_QPLL_Wrapper.vhd index 32ac6a05100d4bd826db6fa39624419f1102ef51..fa9495c2820afe1beaa42ff86fe9f43cb695ad7e 100644 --- a/sources/GBT/gth_code/qpll4p8g4ch_KCU/GTH_QPLL_Wrapper.vhd +++ b/sources/GBT/gth_code/qpll4p8g4ch_KCU/GTH_QPLL_Wrapper.vhd @@ -1,3 +1,24 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Andrea Borga +--! Kai Chen +--! Frans Schreuder +--! Shelfali Saxena +--! mtrovato +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! -- --! BNL - Brookhaven National Lboratory -- diff --git a/sources/GBT/gth_code/qpll4p8g4ch_KCU/GTH_QPLL_Wrapper_FELIG.vhd b/sources/GBT/gth_code/qpll4p8g4ch_KCU/GTH_QPLL_Wrapper_FELIG.vhd index 796042a43a86d15ce5dd2fde5985a44ffc0a45bb..d9246e5b10009f4f292b0f96b7384a06dd1a410e 100644 --- a/sources/GBT/gth_code/qpll4p8g4ch_KCU/GTH_QPLL_Wrapper_FELIG.vhd +++ b/sources/GBT/gth_code/qpll4p8g4ch_KCU/GTH_QPLL_Wrapper_FELIG.vhd @@ -1,3 +1,25 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Andrea Borga +--! Kai Chen +--! Frans Schreuder +--! Shelfali Saxena +--! mtrovato +--! Ricardo Luz +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! -- --! BNL - Brookhaven National Lboratory -- diff --git a/sources/GBT/gth_code/qpll4p8g4ch_V7/GTH_QPLL_Wrapper_V7.vhd b/sources/GBT/gth_code/qpll4p8g4ch_V7/GTH_QPLL_Wrapper_V7.vhd index c7d523af7015fc003026a2f97e4d02056ac6d55c..22a8478cea931cc9081d5e938b358fdffef74bca 100644 --- a/sources/GBT/gth_code/qpll4p8g4ch_V7/GTH_QPLL_Wrapper_V7.vhd +++ b/sources/GBT/gth_code/qpll4p8g4ch_V7/GTH_QPLL_Wrapper_V7.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Kai Chen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! -- --! BNL - Brookhaven National Lboratory -- diff --git a/sources/GBTlinksDataEmulator/GBTdataEmulator.vhd b/sources/GBTlinksDataEmulator/GBTdataEmulator.vhd index ff37d8d44155528fc5ce4fa9131cc42b030bb0e4..6c828e3b00a78dbb4b01d6e4da8f83e75554525e 100644 --- a/sources/GBTlinksDataEmulator/GBTdataEmulator.vhd +++ b/sources/GBTlinksDataEmulator/GBTdataEmulator.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Andrea Borga +--! Frans Schreuder +--! Julia Narevicius +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/GBTlinksDataEmulator/IG_GBTdataEmulator.vhd b/sources/GBTlinksDataEmulator/IG_GBTdataEmulator.vhd index 30abfce417d5c3ca733c12f8733cd6c7de5d2c7a..5a4025fd62c8eb934b65047c19ee80c47ef4df75 100644 --- a/sources/GBTlinksDataEmulator/IG_GBTdataEmulator.vhd +++ b/sources/GBTlinksDataEmulator/IG_GBTdataEmulator.vhd @@ -1,3 +1,23 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Andrea Borga +--! Frans Schreuder +--! Julia Narevicius +--! Israel Grayzman +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/ItkPixel/upstream_fanout_selector.vhd b/sources/ItkPixel/upstream_fanout_selector.vhd index 7c579afcdf517a93ff5e089e660b222ab2387b92..3b3b5b1ff4354a677d674a4168d7372540943496 100644 --- a/sources/ItkPixel/upstream_fanout_selector.vhd +++ b/sources/ItkPixel/upstream_fanout_selector.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Weihao Wu +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + diff --git a/sources/ItkPixel/upstream_fanout_selector_(telescope_readout).vhd b/sources/ItkPixel/upstream_fanout_selector_(telescope_readout).vhd index 67ef260a1e71994a2ae5fed3f6e51af98f7d9767..6b7d315327168fbab6576577196e92fe01b98844 100644 --- a/sources/ItkPixel/upstream_fanout_selector_(telescope_readout).vhd +++ b/sources/ItkPixel/upstream_fanout_selector_(telescope_readout).vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Weihao Wu +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + diff --git a/sources/ItkStrip/l0a_frame_generator.vhd b/sources/ItkStrip/l0a_frame_generator.vhd index a86b12dfce9a66410da31a643c5a779a45f6b8bd..c7f6ae8fef49407cb8c92ccd3ea22a3b2c7030fe 100755 --- a/sources/ItkStrip/l0a_frame_generator.vhd +++ b/sources/ItkStrip/l0a_frame_generator.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -------------------------------------------------------------------------------- -- Title : ITk Strips package -- Project : FELIX diff --git a/sources/ItkStrip/lcb_axi_encoder.vhd b/sources/ItkStrip/lcb_axi_encoder.vhd index aed5e586b94a1f145f3c8db6d115eccf8f10da3b..21f0a7587d76d67fada8415b4852ce7f2f4dc98d 100755 --- a/sources/ItkStrip/lcb_axi_encoder.vhd +++ b/sources/ItkStrip/lcb_axi_encoder.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: BNL --! Engineer: Elena Zhivun diff --git a/sources/ItkStrip/lcb_command_decoder.vhd b/sources/ItkStrip/lcb_command_decoder.vhd index ebe0099f0a529af5c952baba9829c65a55dc2a8c..32543b0815fd0c09f44c7b4254698876460871b7 100755 --- a/sources/ItkStrip/lcb_command_decoder.vhd +++ b/sources/ItkStrip/lcb_command_decoder.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -------------------------------------------------------------------------------- -- Title : ITk Strips package -- Project : FELIX diff --git a/sources/ItkStrip/lcb_frame_generator.vhd b/sources/ItkStrip/lcb_frame_generator.vhd index 885c4d666df796430d47aed8d11c423eaad9e74d..d136e13a4d0b18f3f711128b625d3c4ca588084d 100755 --- a/sources/ItkStrip/lcb_frame_generator.vhd +++ b/sources/ItkStrip/lcb_frame_generator.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: BNL --! Engineer: Elena Zhivun <ezhivun@bnl.gov> diff --git a/sources/ItkStrip/lcb_regmap.vhd b/sources/ItkStrip/lcb_regmap.vhd index 6d5ce15300a7a95c3f7891f3f76e17a788d947c6..b0a16b7afddf94aa1e8e33dcee5485754550a5d0 100755 --- a/sources/ItkStrip/lcb_regmap.vhd +++ b/sources/ItkStrip/lcb_regmap.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; diff --git a/sources/ItkStrip/lcb_regmap_package.vhd b/sources/ItkStrip/lcb_regmap_package.vhd index fe272a574d4a509fb435e7ce590730e0039ad89f..a35b1fa8e013f9bbd216b2ad92abf9fbab6710a2 100755 --- a/sources/ItkStrip/lcb_regmap_package.vhd +++ b/sources/ItkStrip/lcb_regmap_package.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.numeric_std.all; diff --git a/sources/ItkStrip/lcb_scheduler_encoder.vhd b/sources/ItkStrip/lcb_scheduler_encoder.vhd index 8890314531dd408acf329eaaf4ad2ea21c6af109..2c52b3c418a10393da3c81b3301fd25930372176 100755 --- a/sources/ItkStrip/lcb_scheduler_encoder.vhd +++ b/sources/ItkStrip/lcb_scheduler_encoder.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: BNL --! Engineer: Elena Zhivun <ezhivun@bnl.gov> diff --git a/sources/ItkStrip/lcb_trickle_trigger.vhd b/sources/ItkStrip/lcb_trickle_trigger.vhd index 6b967f13d832909f7a56f5c1c88621649c54f341..e4213d33b6dd68db0d9354d6d79c3a1a96de0289 100755 --- a/sources/ItkStrip/lcb_trickle_trigger.vhd +++ b/sources/ItkStrip/lcb_trickle_trigger.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; diff --git a/sources/ItkStrip/lcb_wrapper.vhd b/sources/ItkStrip/lcb_wrapper.vhd index 6a0d793c276a6bbfe477ba1dce449066b4175c6b..9365e83ebf96095511ca4ec79fd5c1601108fb5d 100755 --- a/sources/ItkStrip/lcb_wrapper.vhd +++ b/sources/ItkStrip/lcb_wrapper.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; diff --git a/sources/ItkStrip/playback_controller.vhd b/sources/ItkStrip/playback_controller.vhd index b48c13b418a704c36226a9dddec38734ca37473d..e12eb4453d8593bd2658077722f9049ad8984c54 100755 --- a/sources/ItkStrip/playback_controller.vhd +++ b/sources/ItkStrip/playback_controller.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -------------------------------------------------------------------------------- -- Title : ITk Strips package -- Project : FELIX diff --git a/sources/ItkStrip/r3l1_axi_encoder.vhd b/sources/ItkStrip/r3l1_axi_encoder.vhd old mode 100755 new mode 100644 index 0a995cc3368d8fbd60dd24180388d84deccf60a9..48ac616090bdcad1c15ea133ed84a01eccade1aa --- a/sources/ItkStrip/r3l1_axi_encoder.vhd +++ b/sources/ItkStrip/r3l1_axi_encoder.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: BNL --! Engineer: Elena Zhivun diff --git a/sources/ItkStrip/r3l1_frame_generator.vhd b/sources/ItkStrip/r3l1_frame_generator.vhd old mode 100755 new mode 100644 index 4c1b1576870d34a4b69de4ba526a06c5c82ad750..4ad1ec844f78e99828f24b81b7a518f1ed896b1a --- a/sources/ItkStrip/r3l1_frame_generator.vhd +++ b/sources/ItkStrip/r3l1_frame_generator.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -------------------------------------------------------------------------------- -- Title : ITk Strips package -- Project : FELIX diff --git a/sources/ItkStrip/r3l1_frame_synchronizer.vhd b/sources/ItkStrip/r3l1_frame_synchronizer.vhd old mode 100755 new mode 100644 index b217c1e5eb4e3e33396c40d2b98bad8844831817..7259e043e34778d97bcb8db8e4fc740340928ad0 --- a/sources/ItkStrip/r3l1_frame_synchronizer.vhd +++ b/sources/ItkStrip/r3l1_frame_synchronizer.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -------------------------------------------------------------------------------- -- Title : ITk Strips package -- Project : FELIX diff --git a/sources/ItkStrip/r3l1_regmap.vhd b/sources/ItkStrip/r3l1_regmap.vhd old mode 100755 new mode 100644 index ffe0785c9b0e37c2d6851cbedd44ddec3c29cca9..5b5b3fcbfa3901ecad738bba1cd56e6cf3e06d03 --- a/sources/ItkStrip/r3l1_regmap.vhd +++ b/sources/ItkStrip/r3l1_regmap.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; diff --git a/sources/ItkStrip/r3l1_regmap_package.vhd b/sources/ItkStrip/r3l1_regmap_package.vhd old mode 100755 new mode 100644 index 8e43c78ad6cafaa2021c54a15855906b8c301f26..834f307d245146a5b193956ca466ce48b050cff6 --- a/sources/ItkStrip/r3l1_regmap_package.vhd +++ b/sources/ItkStrip/r3l1_regmap_package.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.numeric_std.all; diff --git a/sources/ItkStrip/r3l1_scheduler_encoder.vhd b/sources/ItkStrip/r3l1_scheduler_encoder.vhd old mode 100755 new mode 100644 index 79d2f18066f205d4eb9a3bf878b004c68841c4eb..ea01a680534ab82b3b080c744521a13cd73ad19a --- a/sources/ItkStrip/r3l1_scheduler_encoder.vhd +++ b/sources/ItkStrip/r3l1_scheduler_encoder.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: BNL --! Engineer: Elena Zhivun <ezhivun@bnl.gov> diff --git a/sources/ItkStrip/r3l1_wrapper.vhd b/sources/ItkStrip/r3l1_wrapper.vhd old mode 100755 new mode 100644 index a9acae9abf3097e829f7e3c40728e03f10d51ed0..bc0ecf4f1462c50fe55d8a7bd9b58748ce29f817 --- a/sources/ItkStrip/r3l1_wrapper.vhd +++ b/sources/ItkStrip/r3l1_wrapper.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; diff --git a/sources/ItkStrip/strips_bypass_frame_aggregator.vhd b/sources/ItkStrip/strips_bypass_frame_aggregator.vhd index d9a0d57caca1a1f78a4d44aba856410819b31ff1..f0d9a8a7f6490b98a85af4090e37ba5d01e1f272 100755 --- a/sources/ItkStrip/strips_bypass_frame_aggregator.vhd +++ b/sources/ItkStrip/strips_bypass_frame_aggregator.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -------------------------------------------------------------------------------- -- Title : ITk Strips package -- Project : FELIX diff --git a/sources/ItkStrip/strips_configuration_decoder.vhd b/sources/ItkStrip/strips_configuration_decoder.vhd old mode 100755 new mode 100644 index 2138a011ef77ab2fbc33031725e5c2904cf7f5fb..e03eac95ff7bef5873657b1182f43447fcee58c1 --- a/sources/ItkStrip/strips_configuration_decoder.vhd +++ b/sources/ItkStrip/strips_configuration_decoder.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -------------------------------------------------------------------------------- -- Title : ITk Strips package -- Project : FELIX diff --git a/sources/ItkStrip/strips_package.vhd b/sources/ItkStrip/strips_package.vhd index 13100f4ebeccae5fd83d1d6c92f466c412e907c5..b22b5531ad2c321dbe14274211f135a9e54278da 100755 --- a/sources/ItkStrip/strips_package.vhd +++ b/sources/ItkStrip/strips_package.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -------------------------------------------------------------------------------- -- Title : ITk Strips package -- Project : FELIX diff --git a/sources/LinkWrapper/link_wrapper.vhd b/sources/LinkWrapper/link_wrapper.vhd index 509f8c864275952e1639887cc84c3ec4e11a87fc..e882a0c7e49dfd2f3bd865e7d52a58587054f88c 100644 --- a/sources/LinkWrapper/link_wrapper.vhd +++ b/sources/LinkWrapper/link_wrapper.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Alessandro Palombi +--! mtrovato +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library ieee; use ieee.std_logic_1164.all; diff --git a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/dataPath.v b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/dataPath.v index e5e5471e555bf5a32b1e3298b5a45d75cd7c68d5..cb62aafc3291f187649046099f08f706ac580ee4 100644 --- a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/dataPath.v +++ b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/dataPath.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Kai Chen +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + /* ***************************************************************************** * lpGBTX * * Copyright (C) 2011-2016 GBTX Team, CERN * diff --git a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/dataPathFecCounter.v b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/dataPathFecCounter.v index 759dc7b3faa7553aad92fe056cc87fc5ad557d81..ddc2c827f77cec3a13a23752a7c8350dc229e2e0 100644 --- a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/dataPathFecCounter.v +++ b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/dataPathFecCounter.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Kai Chen +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + /** **************************************************************************** * lpGBTX * * Copyright (C) 2011-2016 GBTX Team, CERN * diff --git a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/descrambler36bitOrder36.v b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/descrambler36bitOrder36.v index 757ff7d841b42cfc5df1064f0b9114fad4137409..6eaab44e8a22af5e1e58f66e912a090917110e3a 100644 --- a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/descrambler36bitOrder36.v +++ b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/descrambler36bitOrder36.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Kai Chen +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + /* Module: Descrambler36bitOrder36 */ /* Created: Paulo Moreira, 2015/09/15 */ /* Institute: CERN */ diff --git a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/downLinkDataPath.v b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/downLinkDataPath.v index 910e250a3b8a0770663e2a21f2e02ff8152ee440..0ba9c0e1450be179b98d87a36d821fd2df53ca49 100644 --- a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/downLinkDataPath.v +++ b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/downLinkDataPath.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Kai Chen +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + /** **************************************************************************** * lpGBTX * * Copyright (C) 2011-2016 GBTX Team, CERN * diff --git a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/downLinkDeinterleaver.v b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/downLinkDeinterleaver.v index c235b18d9592002a3007a0da661149290dfc27a0..005ca6bd4c4c603d435f5d04e981ef32ba4ec7a9 100644 --- a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/downLinkDeinterleaver.v +++ b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/downLinkDeinterleaver.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Kai Chen +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + /** **************************************************************************** * lpGBTX * * Copyright (C) 2011-2016 GBTX Team, CERN * diff --git a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/downLinkFECDecoder.v b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/downLinkFECDecoder.v index 8b4e9822e4e5bf9e86adc4f890f4c7248dd5f94e..def5f6bf30b5cff25e2ea1ea649208ed31629d18 100644 --- a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/downLinkFECDecoder.v +++ b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/downLinkFECDecoder.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Kai Chen +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + /** **************************************************************************** * lpGBTX * * Copyright (C) 2011-2016 GBTX Team, CERN * diff --git a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_add_3.v b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_add_3.v index fc95a55df269093bdf6763f4f3e3d26d6cefa26a..5536a90769c6c91ca01f779ecd2134ab08d2e386 100644 --- a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_add_3.v +++ b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_add_3.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Kai Chen +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + module gf_add_3(op1, op2, res); // -------------------------------------------------------------------------- // diff --git a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_add_4.v b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_add_4.v index d9dfd52ce10631ef2f649bb81cdaea8727394787..a9f57273ba3394fe079b8394030d5813afd8a193 100644 --- a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_add_4.v +++ b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_add_4.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Kai Chen +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + module gf_add_4(op1, op2, res); // -------------------------------------------------------------------------- // diff --git a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_add_5.v b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_add_5.v index c906d3907767f2b38eb421d9afc94ced70a0b9b9..e3f1012f54799282397436b74cef0d6863ca0d3e 100644 --- a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_add_5.v +++ b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_add_5.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Kai Chen +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + module gf_add_5(op1, op2, res); // -------------------------------------------------------------------------- // diff --git a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_inv_3.v b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_inv_3.v index 943769bd7122c8aaa8ecbf8f621de072917745d0..a3b19fceb266245a09da94ff8f3a4d1e4e75dc66 100644 --- a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_inv_3.v +++ b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_inv_3.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Kai Chen +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + module gf_inv_3(op, res); // -------------------------------------------------------------------------- // diff --git a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_inv_5.v b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_inv_5.v index bfeb7aa0e1017153ba2822022e24283b0e7f2c53..b97e685aca55801014bf016c89b1b0b4d5351c67 100644 --- a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_inv_5.v +++ b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_inv_5.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Kai Chen +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + module gf_inv_5(op, res); input [4:0] op; diff --git a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_log_3.v b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_log_3.v index 32901b94cd2998a58a4972ca5095f93e104cd455..54c857cb9b2b148f57d779319d1bd315cc6d891f 100644 --- a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_log_3.v +++ b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_log_3.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Kai Chen +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + module gf_log_3(op, err, res); // -------------------------------------------------------------------------- // diff --git a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_log_5.v b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_log_5.v index 95b75c1b7183cf5fdd93449774acae0bd46249b2..d729e6c0499f4e5bf4f067130e9e52b0f492f2d3 100644 --- a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_log_5.v +++ b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_log_5.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Kai Chen +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + module gf_log_5(op, err, res); input [4:0] op; diff --git a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_multBy2_3.v b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_multBy2_3.v index 1e692b712c9d2816abd34eb08720c6609c708713..0bfd0363517540207937aec448b5ba506edac2d6 100644 --- a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_multBy2_3.v +++ b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_multBy2_3.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Kai Chen +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + module gf_multBy2_3(op, res); // -------------------------------------------------------------------------- // diff --git a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_multBy2_4.v b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_multBy2_4.v index aed2592a9bbcfc27f3ec645f8975dc31cb9b223f..f9b78cf27c5bd1dfc8a8d3626482c2a8ed78365e 100644 --- a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_multBy2_4.v +++ b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_multBy2_4.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Kai Chen +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + module gf_multBy2_4(op, res); // -------------------------------------------------------------------------- // diff --git a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_multBy2_5.v b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_multBy2_5.v index 5bae6064598348c1daae202687fbbcb2beef4006..481ea2ef64b61eb5ccccac834ac32eea12faa3d3 100644 --- a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_multBy2_5.v +++ b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_multBy2_5.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Kai Chen +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + module gf_multBy2_5(op, res); // -------------------------------------------------------------------------- // diff --git a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_multBy3_4.v b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_multBy3_4.v index 2242c162af623fd0cbddd32586c47bf50bebe8b5..af658c60c288146ebccc37b6091172c9cadda256 100644 --- a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_multBy3_4.v +++ b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_multBy3_4.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Kai Chen +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + module gf_multBy3_4(op, res); // -------------------------------------------------------------------------- // diff --git a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_multBy3_5.v b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_multBy3_5.v index dd4e7f79ecebe9f25e4a08f3f94e7473e57961cc..c6e897f4442ae0e1f5c1e231d1a944acfbbea0e6 100644 --- a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_multBy3_5.v +++ b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_multBy3_5.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Kai Chen +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + module gf_multBy3_5(op, res); // -------------------------------------------------------------------------- // diff --git a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_mult_3.v b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_mult_3.v index ed2155169b65e346ebd5ae58abedc21141146987..66471731452e439b69d7ecc4a67a9735f112390c 100644 --- a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_mult_3.v +++ b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_mult_3.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Kai Chen +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + module gf_mult_3(op1, op2, res); // -------------------------------------------------------------------------- // diff --git a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_mult_4.v b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_mult_4.v index 1b9cac6549efced12b75fc6913ddce3abafa517c..2b4091d81868fcb28372cdbd448369851fc16fc7 100644 --- a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_mult_4.v +++ b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_mult_4.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Kai Chen +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + module gf_mult_4(op1, op2, res); input [3:0] op1; diff --git a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_mult_5.v b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_mult_5.v index c69122789073842244a5fa71d10d58821b733829..a6f8995a77a1ea23faa43d3e3a97369086a49d73 100644 --- a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_mult_5.v +++ b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/gf_mult_5.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Kai Chen +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + module gf_mult_5(op1, op2, res); input [4:0] op1; diff --git a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/rs_decoder_N7K5.v b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/rs_decoder_N7K5.v index 86c9770dc4d8c309f9991da4f9bbe6e906886dfd..7aea1bdae4d59c0d24872673fa67ae90d6457d21 100644 --- a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/rs_decoder_N7K5.v +++ b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/rs_decoder_N7K5.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Kai Chen +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + /** **************************************************************************** * lpGBTX * * Copyright (C) 2011-2016 GBTX Team, CERN * diff --git a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/rs_encoder_N15K13.v b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/rs_encoder_N15K13.v index 3ff22d6e0399e7f042df7fb0fccc9b28321021c6..36c1bf5a930bb1336969c64b20ba70b9bb53a909 100644 --- a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/rs_encoder_N15K13.v +++ b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/rs_encoder_N15K13.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Kai Chen +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + /** **************************************************************************** * lpGBTX * * Copyright (C) 2011-2016 GBTX Team, CERN * diff --git a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/rs_encoder_N31K29.v b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/rs_encoder_N31K29.v index 78582cf4227e44b2aaa8aa40a3fa274ad4ee4a62..45b0cbf2c335953a2f29f4722a2893836c257f07 100644 --- a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/rs_encoder_N31K29.v +++ b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/rs_encoder_N31K29.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Kai Chen +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + /** **************************************************************************** * lpGBTX * * Copyright (C) 2011-2016 GBTX Team, CERN * diff --git a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/scrambler51bitOrder49.v b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/scrambler51bitOrder49.v index 0975865788ccb9c181f1b15fcfe4561031ebcbeb..2ce30afe018384b9b0f3adea973cd7ef34feea76 100644 --- a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/scrambler51bitOrder49.v +++ b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/scrambler51bitOrder49.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Kai Chen +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + /* Module: scrambler51bitOrder49 */ /* Created: Paulo Moreira, 2015/09/18 */ /* Modified: José Fonseca, 2016/10/21 */ diff --git a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/scrambler53bitOrder49.v b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/scrambler53bitOrder49.v index 21f7feb2e6498ac0a243854453942699b91c0aa2..8e6a982a5a8d8e55eec695e7bcb9bcb95908ce84 100644 --- a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/scrambler53bitOrder49.v +++ b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/scrambler53bitOrder49.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Kai Chen +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + /* Module: scrambler51bitOrder49 */ /* Created: Paulo Moreira, 2015/09/18 */ /* Modified: José Fonseca, 2016/10/21 */ diff --git a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/scrambler58bitOrder58.v b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/scrambler58bitOrder58.v index 23038b3c3ea58c42440d1f36858f1608785f34a0..5039b30e0a3c2f5ccd108130d27036b94c39f574 100644 --- a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/scrambler58bitOrder58.v +++ b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/scrambler58bitOrder58.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Kai Chen +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + /* Module: scrambler58bitOrder58 */ /* Created: Paulo Moreira, 2015/09/15 */ /* 2016/05/30 Kulis : Test partterns removed */ diff --git a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/scrambler60bitOrder58.v b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/scrambler60bitOrder58.v index efa363af78a029720d6b7902f804d40f2b3ac642..70da81a474b25b110ceb3709389a3fd1b2aec838 100644 --- a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/scrambler60bitOrder58.v +++ b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/scrambler60bitOrder58.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Kai Chen +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + /* Module: scrambler58bitOrder58 */ /* Created: Paulo Moreira, 2015/09/15 */ /* Modified: José Fonseca, 2016/10/21 */ diff --git a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/upLinkDataPath.v b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/upLinkDataPath.v index be2e404662b025fa9954255f8be5931627b18548..42f6b857b29ebc5c7c3efaba133a7e04d12415e8 100644 --- a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/upLinkDataPath.v +++ b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/upLinkDataPath.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Kai Chen +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + /** **************************************************************************** * lpGBTX * * Copyright (C) 2011-2016 GBTX Team, CERN * diff --git a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/upLinkDataSelect.v b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/upLinkDataSelect.v index bb2a07093a4ba9e8f25a4473604dbb033d526952..b982dbd04c67c52650c8c2c456aab990128faa5c 100644 --- a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/upLinkDataSelect.v +++ b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/upLinkDataSelect.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Kai Chen +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + /** **************************************************************************** * lpGBTX * * Copyright (C) 2011-2016 GBTX Team, CERN * diff --git a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/upLinkFECEncoder.v b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/upLinkFECEncoder.v index 6145637aaa272afe0ca95d0b6c936d5c72b7179c..86ac0e873c581c4e886721059a4d9341cfebf12d 100644 --- a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/upLinkFECEncoder.v +++ b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/upLinkFECEncoder.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Kai Chen +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + /** **************************************************************************** * lpGBTX * diff --git a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/upLinkInterleaver.v b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/upLinkInterleaver.v index d5e55b053821525d71bc3a860193f3198aec1d0a..153424e0170b61de75a38d765f2834c1aa35a866 100644 --- a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/upLinkInterleaver.v +++ b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/upLinkInterleaver.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Kai Chen +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + /** **************************************************************************** * lpGBTX * * Copyright (C) 2011-2016 GBTX Team, CERN * diff --git a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/upLinkScrambler.v b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/upLinkScrambler.v index eddca7cca3528ad71d0bfe088d9a190b1415064f..85b9c216b866f85f724417143ce0f5c4845ea795 100644 --- a/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/upLinkScrambler.v +++ b/sources/LpGBT/LpGBT_CERN/FE/LpGBT_model/upLinkScrambler.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Kai Chen +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + /** **************************************************************************** * lpGBTX * diff --git a/sources/LpGBT/LpGBT_FELIX/BIT_ERROR_CALC.vhd b/sources/LpGBT/LpGBT_FELIX/BIT_ERROR_CALC.vhd index 364d1ad90ad9fb4f7653ede8a3719116ea3b0267..fde833973592190134d0e38643a7c897c1e5f464 100644 --- a/sources/LpGBT/LpGBT_FELIX/BIT_ERROR_CALC.vhd +++ b/sources/LpGBT/LpGBT_FELIX/BIT_ERROR_CALC.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Kai Chen +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! -- --! BNL - Brookhaven National Lboratory -- diff --git a/sources/LpGBT/LpGBT_FELIX/FELIX_LpGBT_Wrapper.vhd b/sources/LpGBT/LpGBT_FELIX/FELIX_LpGBT_Wrapper.vhd index 07fdbe42f2c4f27a6b947a28995d8319f86990a2..b13fc790a7b26a8a99c988bcca94b3a5c69db4bf 100644 --- a/sources/LpGBT/LpGBT_FELIX/FELIX_LpGBT_Wrapper.vhd +++ b/sources/LpGBT/LpGBT_FELIX/FELIX_LpGBT_Wrapper.vhd @@ -1,3 +1,23 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Kai Chen +--! dmatakia +--! Frans Schreuder +--! mtrovato +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! -- --! BNL - Brookhaven National Lboratory -- diff --git a/sources/LpGBT/LpGBT_FELIX/FLX_LpGBT_BE.vhd b/sources/LpGBT/LpGBT_FELIX/FLX_LpGBT_BE.vhd index 9a46d67cf0e765f502202c0b3c833f47f6b9ab3e..eeaf31b31ceca16e309a18c2c8177af5ca1a3c31 100644 --- a/sources/LpGBT/LpGBT_FELIX/FLX_LpGBT_BE.vhd +++ b/sources/LpGBT/LpGBT_FELIX/FLX_LpGBT_BE.vhd @@ -1,3 +1,23 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Kai Chen +--! mtrovato +--! Elena Zhivun +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! -- --! BNL - Brookhaven National Lboratory -- diff --git a/sources/LpGBT/LpGBT_FELIX/FLX_LpGBT_BE_Wrapper.vhd b/sources/LpGBT/LpGBT_FELIX/FLX_LpGBT_BE_Wrapper.vhd index c1a266691ec2a06f387e033bbcf8384f9f64e9d5..7aa2e8cb03578b163d8d2e61e4acc30796a091e3 100644 --- a/sources/LpGBT/LpGBT_FELIX/FLX_LpGBT_BE_Wrapper.vhd +++ b/sources/LpGBT/LpGBT_FELIX/FLX_LpGBT_BE_Wrapper.vhd @@ -1,3 +1,24 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! dmatakia +--! Kai Chen +--! Elena Zhivun +--! mtrovato +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! -- --! BNL - Brookhaven National Lboratory -- diff --git a/sources/LpGBT/LpGBT_FELIX/FLX_LpGBT_FE.vhd b/sources/LpGBT/LpGBT_FELIX/FLX_LpGBT_FE.vhd index 64d6ead111240aa6087d9682f8374122de760323..68905cc9ca5ab8343128c9a2f417422ec4338495 100644 --- a/sources/LpGBT/LpGBT_FELIX/FLX_LpGBT_FE.vhd +++ b/sources/LpGBT/LpGBT_FELIX/FLX_LpGBT_FE.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Kai Chen +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! -- --! BNL - Brookhaven National Lboratory -- diff --git a/sources/LpGBT/LpGBT_FELIX/FLX_LpGBT_FE_Wrapper.vhd b/sources/LpGBT/LpGBT_FELIX/FLX_LpGBT_FE_Wrapper.vhd index 06b489f8998d87885ad3c1a9ac9a2b7982636bee..6b23c35ec3139c455af825f9385c3eb54dede371 100644 --- a/sources/LpGBT/LpGBT_FELIX/FLX_LpGBT_FE_Wrapper.vhd +++ b/sources/LpGBT/LpGBT_FELIX/FLX_LpGBT_FE_Wrapper.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! dmatakia +--! Kai Chen +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! -- --! BNL - Brookhaven National Lboratory -- diff --git a/sources/LpGBT/LpGBT_FELIX/FLX_LpGBT_GTH_BE.vhd b/sources/LpGBT/LpGBT_FELIX/FLX_LpGBT_GTH_BE.vhd index 1434c02929d84c7b806b0defcbd034cbdadd7acb..88ab307e880887e31b2533081aa8bab6c5c80f4d 100644 --- a/sources/LpGBT/LpGBT_FELIX/FLX_LpGBT_GTH_BE.vhd +++ b/sources/LpGBT/LpGBT_FELIX/FLX_LpGBT_GTH_BE.vhd @@ -1,3 +1,23 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Andrea Borga +--! Kai Chen +--! mtrovato +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! -- --! BNL - Brookhaven National Lboratory -- diff --git a/sources/LpGBT/LpGBT_FELIX/FLX_LpGBT_GTH_FE.vhd b/sources/LpGBT/LpGBT_FELIX/FLX_LpGBT_GTH_FE.vhd index b872d2ee2f62661644b0bafdbd418236ff49eb48..4c4bbd389e4777656186abf405f98e8e1728e1cc 100644 --- a/sources/LpGBT/LpGBT_FELIX/FLX_LpGBT_GTH_FE.vhd +++ b/sources/LpGBT/LpGBT_FELIX/FLX_LpGBT_GTH_FE.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Andrea Borga +--! Kai Chen +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! -- --! BNL - Brookhaven National Lboratory -- diff --git a/sources/LpGBT/LpGBT_FELIX/FLX_LpGBT_PRBS.vhd b/sources/LpGBT/LpGBT_FELIX/FLX_LpGBT_PRBS.vhd index 7ece16cfe527391aadd1113584d0741a3e2ad1c6..687546437b4566bf2a48d3aa48e9facc2f6f5759 100644 --- a/sources/LpGBT/LpGBT_FELIX/FLX_LpGBT_PRBS.vhd +++ b/sources/LpGBT/LpGBT_FELIX/FLX_LpGBT_PRBS.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Kai Chen +--! dmatakia +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! -- --! BNL - Brookhaven National Lboratory -- diff --git a/sources/LpGBT/LpGBT_FELIX/PRBS31_32BIT_Chk.vhd b/sources/LpGBT/LpGBT_FELIX/PRBS31_32BIT_Chk.vhd index 97b62b3b065dfff9f325674c6a1a1c1b54db5f37..1b1b6185b2edaa8a69f5931289dc7f347de98f8e 100644 --- a/sources/LpGBT/LpGBT_FELIX/PRBS31_32BIT_Chk.vhd +++ b/sources/LpGBT/LpGBT_FELIX/PRBS31_32BIT_Chk.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Andrea Borga +--! Kai Chen +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! -- --! BNL - Brookhaven National Lboratory -- diff --git a/sources/LpGBT/LpGBT_FELIX/PRBS31_32BIT_Gen.vhd b/sources/LpGBT/LpGBT_FELIX/PRBS31_32BIT_Gen.vhd index 2c3670bc6d3a9d1f877eab676a86ed0743ebfa77..f719f73155f4031894a604e7668396f736311700 100644 --- a/sources/LpGBT/LpGBT_FELIX/PRBS31_32BIT_Gen.vhd +++ b/sources/LpGBT/LpGBT_FELIX/PRBS31_32BIT_Gen.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Kai Chen +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! -- --! BNL - Brookhaven National Lboratory -- diff --git a/sources/LpGBT/LpGBT_FELIX/RefClk_Gen.vhd b/sources/LpGBT/LpGBT_FELIX/RefClk_Gen.vhd index aef364c44b4101bf9b133049cbfb78de22fd1807..d699cd5a704ef6f20b297f6c304b6b5158f6d0e7 100644 --- a/sources/LpGBT/LpGBT_FELIX/RefClk_Gen.vhd +++ b/sources/LpGBT/LpGBT_FELIX/RefClk_Gen.vhd @@ -1,3 +1,23 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Kai Chen +--! Frans Schreuder +--! Elena Zhivun +--! mtrovato +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! -- --! BNL - Brookhaven National Lboratory -- diff --git a/sources/LpGBT/LpGBT_FELIX/Regs_RW.vhd b/sources/LpGBT/LpGBT_FELIX/Regs_RW.vhd index 266a80b560bfdafedec97671db51a891b5bffe43..d2ed7d713bd69ad4f40c76159ead9f9d17b707d9 100644 --- a/sources/LpGBT/LpGBT_FELIX/Regs_RW.vhd +++ b/sources/LpGBT/LpGBT_FELIX/Regs_RW.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Kai Chen +--! mtrovato +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! -- --! BNL - Brookhaven National Lboratory -- diff --git a/sources/LpGBT/LpGBT_FELIX/lpgbtfpga_uplink.vhd b/sources/LpGBT/LpGBT_FELIX/lpgbtfpga_uplink.vhd index d6c8a1b7b9fc7fd58f8e4835207214d0f4a33a99..7dbdafc69e5f86ab3682e52a4abd842db526260d 100644 --- a/sources/LpGBT/LpGBT_FELIX/lpgbtfpga_uplink.vhd +++ b/sources/LpGBT/LpGBT_FELIX/lpgbtfpga_uplink.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! mtrovato +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ------------------------------------------------------- --! @file --! @author Julian Mendez <julian.mendez@cern.ch> (CERN - EP-ESE-BE) diff --git a/sources/PRandomDGen/randomd_gen.vhd b/sources/PRandomDGen/randomd_gen.vhd old mode 100755 new mode 100644 index c38445df20b4288047a2bae0d612cbf13d4955ca..84aa9fe96286a14ce34d48fe742ad6b767c63493 --- a/sources/PRandomDGen/randomd_gen.vhd +++ b/sources/PRandomDGen/randomd_gen.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! RHabraken +--! Frans Schreuder +--! Mesfin Gebyehu +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --************** Pseudo Random data generator********************************* -- Date 21-2-2019 -- 10bit PRDG with LUT to set the distribution diff --git a/sources/RD53A/ENCRD53A.vhd b/sources/RD53A/ENCRD53A.vhd index 4f18d0b15169abfe93adb053f6ad9a6837e20519..ca502899f42c373a5be578faa92f36e4a5446fdd 100644 --- a/sources/RD53A/ENCRD53A.vhd +++ b/sources/RD53A/ENCRD53A.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! mtrovato +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: -- Engineer: Alexander Paramonov, Marco Trovato, Tianxing Zheng diff --git a/sources/RD53A/RD53A_CntIssuedCommand.vhd b/sources/RD53A/RD53A_CntIssuedCommand.vhd index 17f44a926d25557a9f88dae729259d674c0be913..e0ef134bb3be9fc1330620f07b01db20e9b8718b 100644 --- a/sources/RD53A/RD53A_CntIssuedCommand.vhd +++ b/sources/RD53A/RD53A_CntIssuedCommand.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! mtrovato +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library work, IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; diff --git a/sources/RD53A/RD53A_CntIssuedCommand8b.vhd b/sources/RD53A/RD53A_CntIssuedCommand8b.vhd index c5fff48bc95c12b253ad7e0262cbc3ed7a72ffe9..148ad9721d7ab6c86f9cd2ced23458e496859f36 100644 --- a/sources/RD53A/RD53A_CntIssuedCommand8b.vhd +++ b/sources/RD53A/RD53A_CntIssuedCommand8b.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! mtrovato +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library work, IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; diff --git a/sources/RD53A/RD53A_CntIssuedGivenCommand.vhd b/sources/RD53A/RD53A_CntIssuedGivenCommand.vhd index fb5fc8d104b71e570e5ebff165abfb9b6f6c33ef..a9442931919a2537d4eb75aec118350ffb35cd1e 100644 --- a/sources/RD53A/RD53A_CntIssuedGivenCommand.vhd +++ b/sources/RD53A/RD53A_CntIssuedGivenCommand.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! mtrovato +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library work, IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; diff --git a/sources/RD53A/RD53A_CntIssuedTrigger.vhd b/sources/RD53A/RD53A_CntIssuedTrigger.vhd index 67c6285f0fbebfd01031faa6bdc41d21ad8fa4c4..9dd36355cffd14c4843710b3e47ee9bb697fd8cf 100644 --- a/sources/RD53A/RD53A_CntIssuedTrigger.vhd +++ b/sources/RD53A/RD53A_CntIssuedTrigger.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! mtrovato +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library work, IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; diff --git a/sources/RD53A/RD53A_DebuggingModule.vhd b/sources/RD53A/RD53A_DebuggingModule.vhd index 46d5a9897d37fb7f600ccf631d2793444aa9b7d1..d7dc36c51a2a9eaedc41bffd6796f6b905686c98 100644 --- a/sources/RD53A/RD53A_DebuggingModule.vhd +++ b/sources/RD53A/RD53A_DebuggingModule.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! mtrovato +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library work, IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; diff --git a/sources/RD53A/RD53A_MsrGenCalToTrigLatency.vhd b/sources/RD53A/RD53A_MsrGenCalToTrigLatency.vhd index fcf34f708713e71d6787658577fa818b37b8e04c..f455ac0a1a4a1e3c832f65c9d31435b0ba46fbb9 100644 --- a/sources/RD53A/RD53A_MsrGenCalToTrigLatency.vhd +++ b/sources/RD53A/RD53A_MsrGenCalToTrigLatency.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! mtrovato +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library work, IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; diff --git a/sources/RD53A/RD53A_MsrTrigFreq.vhd b/sources/RD53A/RD53A_MsrTrigFreq.vhd index e1e9594f61010d913ea177d95aa37bdf96a3a0fc..4dac54268ea8130e07e54e79ae964318384a0f2b 100644 --- a/sources/RD53A/RD53A_MsrTrigFreq.vhd +++ b/sources/RD53A/RD53A_MsrTrigFreq.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! mtrovato +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library work, IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; diff --git a/sources/RD53A/az_controller.vhd b/sources/RD53A/az_controller.vhd index 206c899c16633445e3c9a964dbe1c0710b1ae4a7..06b4d8eff6a99bbd30f2332e71386de0549ed834 100644 --- a/sources/RD53A/az_controller.vhd +++ b/sources/RD53A/az_controller.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! mtrovato +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: -- Engineer: Marco Trovato diff --git a/sources/RD53A/cmd_top.vhd b/sources/RD53A/cmd_top.vhd index 8dcc8c6f76859efce0a4cf295936b84a93b9a037..72212e4cb51ea110a6b2c0e94fc66aa2329fd619 100644 --- a/sources/RD53A/cmd_top.vhd +++ b/sources/RD53A/cmd_top.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! mtrovato +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: -- Engineer: Alexander Paramonov, Marco Trovato, Tianxing Zheng diff --git a/sources/RD53A/newtriggerunit.vhd b/sources/RD53A/newtriggerunit.vhd index cf09f8866cae5621079f1b65f087276189f71384..9eaf4ebb803f661d437be3a12f3ff16b87b1e731 100644 --- a/sources/RD53A/newtriggerunit.vhd +++ b/sources/RD53A/newtriggerunit.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! mtrovato +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: -- Engineer: Alexander Paramonov, Marco Trovato, Tianxing Zheng diff --git a/sources/RD53A/rd53a_package.vhd b/sources/RD53A/rd53a_package.vhd index 85958cb3f913bba08b366fa0039af676716304d1..b98867c316e3f44cffb1fe7a737670fd4f5b6764 100644 --- a/sources/RD53A/rd53a_package.vhd +++ b/sources/RD53A/rd53a_package.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! mtrovato +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; diff --git a/sources/RD53A/sync_timer.vhd b/sources/RD53A/sync_timer.vhd index 11847744facb5096365c85a6160488b0eb86d177..c674a85cd778adc3d6035196939adf335ce5b739 100644 --- a/sources/RD53A/sync_timer.vhd +++ b/sources/RD53A/sync_timer.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! mtrovato +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: -- Engineer: Alexander Paramonov, Marco Trovato, Tianxing Zheng diff --git a/sources/TTCdataEmulator/TTC_Emulator.vhd b/sources/TTCdataEmulator/TTC_Emulator.vhd index ba037492f7c70d19fd9a3f6c2f6efae0269b2807..7b150a2ab518f847a463223f8d3a109a0892e4c7 100644 --- a/sources/TTCdataEmulator/TTC_Emulator.vhd +++ b/sources/TTCdataEmulator/TTC_Emulator.vhd @@ -1,8 +1,35 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Israel Grayzman +--! Thei Wijnen +--! Alessandra Camplani +--! Frans Schreuder +--! Ohad Shaked +--! Ali Skaf +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -------------------------------------------------------------------------------- --- Design : ttc_emulator_v2 +-- Design : ttc_emulator_v2.1 -- Author : Alessandra Camplani -- Email : alessandra.camplani@cern.ch -- Created : 22.01.2020 +-- Revised by : Ali Skaf +-- Email : ali.skaf@uni-goettingen.de +-- V2.1: provide OCR and long Bchannel support +-- Last edited; 21.10.2021 + -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- @@ -44,7 +71,7 @@ architecture Behavioral of TTC_Emulator is signal input_bcr_period_r : unsigned(31 downto 0); signal input_long_Bch : std_logic_vector(31 downto 0); -- default ... - signal input_broadcast : std_logic_vector(5 downto 0); -- default ... + signal input_broadcast : std_logic_vector(5 downto 0); signal set_default : std_logic; signal cycle_mode : std_logic; @@ -55,6 +82,8 @@ architecture Behavioral of TTC_Emulator is signal single_bcr_long : std_logic := '0'; signal single_bcr : std_logic := '0'; + signal ocr : std_logic := '0'; -- AS: OCR command signal: Single pulse generated at rising edge of BROADCAST(0) + signal en : std_logic := '0'; signal user_reset : std_logic := '0'; @@ -84,8 +113,6 @@ architecture Behavioral of TTC_Emulator is signal Bch_l1_fifo_wr : std_logic; signal short_info : std_logic_vector(5 downto 0); signal short_hamming : std_logic_vector(4 downto 0); - signal long_info_0 : std_logic_vector(14 downto 0); - signal long_info_1 : std_logic_vector(15 downto 0); signal long_hamming : std_logic_vector(6 downto 0); signal fifo_wr : std_logic; @@ -100,16 +127,16 @@ architecture Behavioral of TTC_Emulator is signal short_b_bchan_valid : std_logic; signal fifo_bchan_valid : std_logic; - signal Bch_short_cnt_init : unsigned(4 downto 0) := "10000"; - signal fifo_cnt_init : unsigned(6 downto 0) := "1000000"; + constant Bch_short_cnt_init : unsigned(4 downto 0) := "10000"; + constant fifo_cnt_init : unsigned(6 downto 0) := "1000000"; - signal short_bch_cnt : unsigned(4 downto 0) := "10000"; - signal fifo_bch_cnt : unsigned(6 downto 0) := "1000000"; + signal short_bch_cnt : unsigned(4 downto 0) := Bch_short_cnt_init; --AS: "10000"; + signal fifo_bch_cnt : unsigned(6 downto 0) := fifo_cnt_init ; --AS: "1000000"; signal serialization_process : std_logic := '0'; signal Serial_Bchannel : std_logic := '1'; signal Serial_Bchannel_r : std_logic := '1'; - type state_type is (idle, init, shortB, longB); + type state_type is (idle, shortB, longB);--(idle, init, shortB, longB); signal state : state_type; signal sm_short_cnt : unsigned(3 downto 0); signal sm_long_cnt : unsigned(6 downto 0); @@ -158,8 +185,8 @@ begin input_ecr_period <= unsigned(register_map_control.TTC_EMU_ECR_PERIOD); input_bcr_period <= unsigned(register_map_control.TTC_EMU_BCR_PERIOD); - input_long_Bch <= (register_map_control.TTC_EMU_LONG_CHANNEL_DATA); - input_broadcast <= (register_map_control.TTC_EMU_CONTROL.BROADCAST); + input_long_Bch <= (register_map_control.TTC_EMU_LONG_CHANNEL_DATA); --AS: used for individually addressed command + input_broadcast <= (register_map_control.TTC_EMU_CONTROL.BROADCAST); --AS: set delay bits(4:1) and OCR = bit(0) set_default <= to_sl(register_map_control.TTC_EMU_RESET); @@ -226,6 +253,17 @@ begin sig_out => single_bcr -- output signal ); + ocr_detect: entity work.hilo_detect + generic map ( + lohi => true + ) + port map ( + clk => Clock, -- clock + sig_in => input_broadcast(0), -- input signal + sig_out => ocr -- output signal + ); + + reset_detect: entity work.hilo_detect generic map ( lohi => true @@ -400,25 +438,56 @@ begin -- D= Data, 8 bits -- H= Hamming Code, 7 bits -- +-- AS: input_long_Bch= AAAAAAAAAAAAAAE1SSSSSSSSDDDDDDDD +-- We need to calculate corresponding Hamming code for input_long_Bch = d[31..0] +-- +-- h[0] = d[0]^d[1]^d[2]^d[3]^d[4]^d[5]; +-- h[1] = d[6]^d[7]^d[8]^d[9]^d[10]^d[11]^d[12]^d[13]^d[14]^d[15]^d[16]^d[17]^d[18]^d[19]^d[20]; +-- h[2] = d[6]^d[7]^d[8]^d[9]^d[10]^d[11]^d[12]^d[13]^d[21]^d[22]^d[23]^d[24]^d[25]^d[26]^d[27]; +-- h[3] = d[0]^d[1]^d[2]^d[6]^d[7]^d[8]^d[9]^d[14]^d[15]^d[16]^d[17]^d[21]^d[22]^d[23]^d[24]^d[28]^d[29]^d[30]; +-- h[4] = d[0]^d[3]^d[4]^d[6]^d[7]^d[10]^d[11]^d[14]^d[15]^d[18]^d[19]^d[21]^d[22]^d[25]^d[26]^d[28]^d[29]^d[31]; +-- h[5] = d[1]^d[3]^d[5]^d[6]^d[8]^d[10]^d[12]^d[14]^d[16]^d[18]^d[20]^d[21]^d[23]^d[25]^d[27]^d[28]^d[30]^d[31]; +-- h[6] = hmg[0]^hmg[1]^hmg[2]^hmg[3]^hmg[4]^hmg[5]^d[0]^d[1]^d[2]^d[3]^d[4]^d[5]^d[6]^d[7]^d[8]^d[9]^d[10]^d[11]^d[12]^d[13]^d[14]^d[15]^d[16]^d[17]^d[18]^d[19]^d[20]^d[21]^d[22]^d[23]^d[24]^d[25]^d[26]^d[27]^d[28]^d[29]^d[30]^d[31]; + + long_hamming(0) <= input_long_Bch(0) xor input_long_Bch(1) xor input_long_Bch(2) xor input_long_Bch(3) xor input_long_Bch(4) xor input_long_Bch(5); + + long_hamming(1) <= input_long_Bch(6) xor input_long_Bch(7) xor input_long_Bch(8) xor input_long_Bch(9) xor input_long_Bch(10) xor input_long_Bch(11) xor + input_long_Bch(12) xor input_long_Bch(13) xor input_long_Bch(14) xor input_long_Bch(15) xor input_long_Bch(16) xor + input_long_Bch(17) xor input_long_Bch(18) xor input_long_Bch(19) xor input_long_Bch(20); - -- For the moment all at zero - long_info_0 <= x"000" & "00" & '1'; -- A (14) + E (1) - long_info_1 <= x"00" & "11010011"; -- S (8) + D (8) - long_hamming <= (others => '0'); -- H (7) + long_hamming(2) <= input_long_Bch(6) xor input_long_Bch(7) xor input_long_Bch(8) xor input_long_Bch(9) xor input_long_Bch(10) xor input_long_Bch(11) xor + input_long_Bch(12) xor input_long_Bch(13) xor input_long_Bch(21) xor input_long_Bch(22) xor input_long_Bch(23) xor + input_long_Bch(24) xor input_long_Bch(25) xor input_long_Bch(26) xor input_long_Bch(27); + long_hamming(3) <= input_long_Bch(0) xor input_long_Bch(1) xor input_long_Bch(2) xor input_long_Bch(6) xor input_long_Bch(7) xor input_long_Bch(8) xor input_long_Bch(9) xor + input_long_Bch(11) xor input_long_Bch(14) xor input_long_Bch(15) xor input_long_Bch(16) xor input_long_Bch(17) xor input_long_Bch(21) xor + input_long_Bch(22) xor input_long_Bch(23) xor input_long_Bch(24) xor input_long_Bch(28) xor input_long_Bch(29) xor input_long_Bch(30); + + long_hamming(4) <= input_long_Bch(0) xor input_long_Bch(3) xor input_long_Bch(4) xor input_long_Bch(6) xor input_long_Bch(7) xor input_long_Bch(10) xor + input_long_Bch(11) xor input_long_Bch(14) xor input_long_Bch(15) xor input_long_Bch(18) xor input_long_Bch(19) xor input_long_Bch(21) xor + input_long_Bch(22) xor input_long_Bch(25) xor input_long_Bch(26) xor input_long_Bch(28) xor input_long_Bch(29) xor input_long_Bch(31); + + long_hamming(5) <= input_long_Bch(1) xor input_long_Bch(3) xor input_long_Bch(5) xor input_long_Bch(6) xor input_long_Bch(8) xor input_long_Bch(10) xor + input_long_Bch(12) xor input_long_Bch(14) xor input_long_Bch(16) xor input_long_Bch(18) xor input_long_Bch(20) xor input_long_Bch(21) xor + input_long_Bch(23) xor input_long_Bch(25) xor input_long_Bch(27) xor input_long_Bch(28) xor input_long_Bch(30) xor input_long_Bch(31); + + long_hamming(6) <= input_long_Bch(2) xor input_long_Bch(4) xor input_long_Bch(5) xor input_long_Bch(7) xor input_long_Bch(8) xor input_long_Bch(10) xor + input_long_Bch(11) xor input_long_Bch(13) xor input_long_Bch(14) xor input_long_Bch(17) xor input_long_Bch(19) xor input_long_Bch(20) xor + input_long_Bch(21) xor input_long_Bch(24) xor input_long_Bch(26) xor input_long_Bch(27) xor input_long_Bch(29) xor input_long_Bch(30) xor input_long_Bch(31); + process(Clock) begin if rising_edge(Clock) then if Reset = '1' or user_reset = '1' then elsif l1_accept = '1' then - Bch_long <= '0' & '1' & long_info_0 & '1' & long_info_1 & long_hamming & "1" ; + Bch_long <= '0' & '1' & input_long_Bch & long_hamming & "1" ; Bch_l1_fifo_wr <= '1'; - add_s8_i <= long_info_1(15 downto 8); + add_s8_i <= input_long_Bch(15 downto 8); add_strobe_i <= '1'; - add_e_i <= long_info_0(0); - add_d8_i <= long_info_1(7 downto 0); + add_e_i <= input_long_Bch(16); + add_d8_i <= input_long_Bch(7 downto 0); else Bch_long <= (others => '1'); @@ -602,28 +671,20 @@ begin SIG_OUT => fifo_bchan_valid -- output signal ); +--FS: For 1 clock delay, we simply push our signals through a single flipflop. - short_b_prep_delay: entity work.delay_chain - generic map ( - d_depth => 1 -- number of clock cycles it shell be delayed - ) - port map ( - clk => Clock, -- clock - rst => Reset, -- sync reset - sig_in(0) => prepare_bcr, -- input signal - sig_out(0) => prepare_bcr_r -- delayed output signal - ); - - short_e_prep_delay: entity work.delay_chain - generic map ( - d_depth => 1 -- number of clock cycles it shell be delayed - ) - port map ( - clk => Clock, -- clock - rst => Reset, -- sync reset - sig_in(0) => fifo_read, -- input signal - sig_out(0) => fifo_read_r -- delayed output signal - ); + process(Clock) + begin + if rising_edge(Clock) then + if Reset = '1' then + fifo_read_r <= '0'; + prepare_bcr_r <= '0'; + else + fifo_read_r <= fifo_read; + prepare_bcr_r <= prepare_bcr; + end if; + end if; + end process; -- Depending on what is triggered, with the shortBchannel for the BCR or the fifo output are registered -- The 2 have different lengths @@ -649,16 +710,13 @@ begin begin if rising_edge(Clock) then if Reset = '1' or user_reset = '1'then - Bch_short_cnt_init <= "10000"; - fifo_cnt_init <= "1000000"; + --Bch_short_cnt_init <= "10000"; + --fifo_cnt_init <= "1000000"; short_b <= (others => '1'); short_bch_cnt <= Bch_short_cnt_init-1; fifo_bch_cnt <= fifo_cnt_init-1; else - if cycle_mode = '1' or cycle_mode = '0' then -- this condition can later be removed.. - Bch_short_cnt_init <= "10000"; - fifo_cnt_init <= "1000000"; - + if short_b_bchan_valid = '1' then if short_bch_cnt(short_bch_cnt'left) = '1' then short_bch_cnt <= Bch_short_cnt_init-1; @@ -698,29 +756,26 @@ begin short_bch_cnt <= Bch_short_cnt_init; fifo_bch_cnt <= fifo_cnt_init; end if; - else - Serial_Bchannel <= '1'; - serialization_process <= '0'; - short_b <= (others => '1'); - short_bch_cnt <= Bch_short_cnt_init; - fifo_bch_cnt <= fifo_cnt_init; end if; - end if; - end if; + end if; end process; --------------------------------------------------------------- ----- ECR, BCR decoding --------------------------------------- --------------------------------------------------------------- -- State machine to prerly decoded ECR/BCR from the short Bchannel --- States are (i) IDLE, (ii) INIT and then, depeding on the serialized --- info it can be either (iii) ShortB or (iii) LongB. +-- States are (i) IDLE and then, depeding on the serialized +-- info it can be either (ii) ShortB or (iii) LongB. process(Clock) begin if rising_edge(Clock) then + + Serial_Bchannel_r <= Serial_Bchannel; + if Reset = '1' or user_reset = '1'then sm_short_cnt <= (others => '0'); sm_long_cnt <= (others => '0'); + state <= idle; else Serial_Bchannel_r <= Serial_Bchannel; @@ -728,21 +783,17 @@ begin -- IDLE STATE when idle => - sm_short_cnt <= (others => '0'); - sm_long_cnt <= (others => '0'); - if Serial_Bchannel = '0' and Serial_Bchannel_r = '1' then - state <= init; +-- sm_short_cnt <= (others => '0'); +-- sm_long_cnt <= (others => '0'); + if Serial_Bchannel = '0' and Serial_Bchannel_r = '1' then --AS: falling edge of Serial_Bchannel + state <= ShortB; --init + elsif Serial_Bchannel = '1' and Serial_Bchannel_r = '0' then --AS: rising edge of Serial_Bchannel + state <= longB; else state <= idle; end if; - -- INIT STATE - when init => - if Serial_Bchannel_r = '0' and Serial_Bchannel = '0' then - state <= shortB; - elsif Serial_Bchannel_r = '0' and Serial_Bchannel = '1' then - state <= longB; - end if; + -- INIT STATE -- AS: Removed as no need to have a specific state for this! --SHORT B STATE when shortB => @@ -754,7 +805,7 @@ begin state <= shortB; ecr_dec <= '0'; bcr_dec <= '0'; - + elsif sm_short_cnt = 6 then broad_dec <= broad_dec; broad_done <= '1'; @@ -776,12 +827,12 @@ begin ecr_dec <= '0'; broad_dec <= (others => '0'); broad_done <= '0'; - + else state <= shortB; bcr_dec <= '0'; ecr_dec <= '0'; - broad_dec <= (others => '0'); + broad_dec <= (others => '0'); broad_done <= '0'; end if; @@ -803,29 +854,32 @@ begin -- In the normal decoding, these signals always appear after the Bchannel --- The 15 or 16 clk cycles delay is freely chosen for both (but related to the appearance of the broadcast bits) - bcr_delay: entity work.delay_chain +-- (but related to the appearance of the broadcast bits (4:1)) + bcr_delay: entity work.signal_delay generic map ( - d_depth => 15 -- number of clock cycles it shell be delayed + width => 4 -- number counter stages used to generate delay given in count_in ) port map ( clk => Clock, -- clock - rst => Reset, -- sync reset - sig_in(0) => bcr_dec, -- input signal - sig_out(0) => bcr -- delayed output signal + rst => Reset, -- sync reset* + count_in => unsigned(input_broadcast(4 downto 1)), + sig_in => bcr_dec, -- input signal + sig_out => bcr -- delayed output signal ); - ecr_delay: entity work.delay_chain + ecr_delay: entity work.signal_delay generic map ( - d_depth => 16 -- number of clock cycles it shell be delayed + width => 4 -- number of clock cycles it shell be delayed- number of clock cycles it shell be delayed ) port map ( clk => Clock, -- clock rst => Reset, -- sync reset - sig_in(0) => ecr_dec, -- input signal - sig_out(0) => ecr -- delayed output signal + count_in => unsigned(input_broadcast(4 downto 1)), + sig_in => ecr_dec, -- input signal + sig_out => ecr -- delayed output signal ); + -- Serialization process for both long and short Bchannel process(Clock) begin @@ -860,23 +914,12 @@ begin TTCout(1) <= Serial_Bchannel; TTCout(2) <= bcr or single_bcr; TTCout(3) <= ecr or single_ecr; - TTCout(4) <= broad(5); -- d(0) - TTCout(5) <= broad(4); -- d(1) - TTCout(6) <= broad(3); -- d(2) - TTCout(7) <= broad(2); -- d(3) - TTCout(8) <= broad(1); -- t(0) - TTCout(9) <= broad(0); -- t(1) + TTCout(4) <= ocr; -- AS: use this output instead of broad(0); d(0) + TTCout(5) <= broad(1); -- d(1) + TTCout(6) <= broad(2); -- d(2) + TTCout(7) <= broad(3); -- d(3) + TTCout(8) <= broad(4); -- t(0) + TTCout(9) <= broad(5); -- t(1) - -- To miminc what is in the ttc fmc wrapper - -- TTC_out_unsync(0) <= l1a; - -- TTC_out_unsync(1) <= channelB; - -- TTC_out_unsync(2) <= brc_b; --BCR - -- TTC_out_unsync(3) <= brc_e; --ECR - -- TTC_out_unsync(4) <= brc_d4(0); - -- TTC_out_unsync(5) <= brc_d4(1); - -- TTC_out_unsync(6) <= brc_d4(2); - -- TTC_out_unsync(7) <= brc_d4(3); - -- TTC_out_unsync(8) <= brc_t2(0); - -- TTC_out_unsync(9) <= brc_t2(1); end Behavioral; diff --git a/sources/TTCdataEmulator/delay_chain.vhd b/sources/TTCdataEmulator/delay_chain.vhd index d68ebfedbd163ccae6e8a91b93033fa6113a56ff..af0598dd889b29dd4c8109c87b6c0972cd8b3cc8 100644 --- a/sources/TTCdataEmulator/delay_chain.vhd +++ b/sources/TTCdataEmulator/delay_chain.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Thei Wijnen +--! Alessandra Camplani +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ------------------------------------------------------------------------------- -- design : delay_chain.vhd -- author : steffen staerz diff --git a/sources/TTCdataEmulator/deprecated/TTC_Emulator.vhd b/sources/TTCdataEmulator/deprecated/TTC_Emulator.vhd index 19c4cb617096c4ff0c0583d60f8cd59250f8583a..a846f3423bf205fa72736d43118d189240aebc84 100644 --- a/sources/TTCdataEmulator/deprecated/TTC_Emulator.vhd +++ b/sources/TTCdataEmulator/deprecated/TTC_Emulator.vhd @@ -1,3 +1,23 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Israel Grayzman +--! Alessandra Camplani +--! Frans Schreuder +--! Thei Wijnen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library IEEE; use IEEE.STD_LOGIC_1164.ALL; diff --git a/sources/TTCdataEmulator/deprecated/TTCdataSwitch.vhd b/sources/TTCdataEmulator/deprecated/TTCdataSwitch.vhd index b8c2348c43f5991afff2321b61ebccaadc0f0f30..c842b00494abb87e03bdbfd62510a6f7d8cacdf6 100644 --- a/sources/TTCdataEmulator/deprecated/TTCdataSwitch.vhd +++ b/sources/TTCdataEmulator/deprecated/TTCdataSwitch.vhd @@ -1,3 +1,23 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Andrea Borga +--! Kai Chen +--! Frans Schreuder +--! Thei Wijnen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/TTCdataEmulator/hilo_detect.vhd b/sources/TTCdataEmulator/hilo_detect.vhd index b2846f8b2868282b051a25619ecccc6e8bbc4436..e2ac0d50311bfd50daf0e4a96e75765f6e696127 100644 --- a/sources/TTCdataEmulator/hilo_detect.vhd +++ b/sources/TTCdataEmulator/hilo_detect.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Alessandra Camplani +--! Thei Wijnen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ------------------------------------------------------------------------------- -- Design : hilo_detect.vhd -- Author : Steffen Staerz diff --git a/sources/TTCdataEmulator/pulse_extender.vhd b/sources/TTCdataEmulator/pulse_extender.vhd index b8f4edc1a46f329db39ffe5a5f92fc04ac8675f2..f8522737d934325571f64807e68b9aa9844e9e65 100644 --- a/sources/TTCdataEmulator/pulse_extender.vhd +++ b/sources/TTCdataEmulator/pulse_extender.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Alessandra Camplani +--! Frans Schreuder +--! Thei Wijnen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ------------------------------------------------------------------------------- -- Design : pulse_extender.vhd -- Author : Steffen Staerz diff --git a/sources/TTCdataEmulator/signal_delay.vhd b/sources/TTCdataEmulator/signal_delay.vhd new file mode 100644 index 0000000000000000000000000000000000000000..66d23ec047cfebc37276304063c915716da6d0fc --- /dev/null +++ b/sources/TTCdataEmulator/signal_delay.vhd @@ -0,0 +1,79 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Ali Skaf +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + +--===================================================================================== +-- Company : CERN - University of Goettingen +-- Project : Felix +-- Module : TTC_emulator_v 2.1 +-- Design : signal_delay.vhd +-- Author : Ali Skaf +-- Email : ali.skaf@uni-goettingen.de +-- Created : 6.10.2021 +-- Description : delay an input pulse sig_in for a numner of clks equal to count_in + 1 +--====================================================================================== + +library ieee; +use ieee.std_logic_1164.all; +use IEEE.NUMERIC_STD.ALL; +use IEEE.STD_LOGIC_UNSIGNED.ALL; + +entity signal_delay is +generic ( + width : positive + ); + port ( + clk : in std_logic; + rst : in std_logic; + count_in : in unsigned(width-1 downto 0); + sig_in : in std_logic; + sig_out : out std_logic + ); +end signal_delay; +--======================================================================================== +architecture behavioral of signal_delay is + + signal count_reg : unsigned(width-1 downto 0); + signal enable, sig_r : std_logic; + + begin + process(clk) + begin + if rising_edge(clk) then + if rst = '1' then + count_reg <= (others => '0'); + enable <='0'; + sig_out <= '0'; + else + sig_out <= '0'; + enable <= enable or sig_in; + if enable <= '1' then + count_reg <= count_reg + 1; + if count_reg >= count_in then + enable <='0'; + sig_out <= '1'; + count_reg <= (others => '0'); + end if; + else + sig_out <= '0'; + + end if; + end if; + end if; + end process; + + end behavioral; + --======================================================================================== diff --git a/sources/Xoff_decoder/8b10_dec.vhd b/sources/Xoff_decoder/8b10_dec.vhd index 866102d028ec1f6453bddb46f0efb5e380bbb4dc..6d1140d241e19eb1c506ee3a9ff29d3f43a41e1b 100644 --- a/sources/Xoff_decoder/8b10_dec.vhd +++ b/sources/Xoff_decoder/8b10_dec.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Mesfin Gebyehu +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ------------------------------------------------------------------------------- -- --! Title : 8b/10b Decoder diff --git a/sources/Xoff_decoder/8b10_dec_wrap.vhd b/sources/Xoff_decoder/8b10_dec_wrap.vhd index 0ec3bd0285797a6aaa3f63646d5e716aaf3e72e7..c91513948d6b186d4221fdd236dda5d3eeba2feb 100644 --- a/sources/Xoff_decoder/8b10_dec_wrap.vhd +++ b/sources/Xoff_decoder/8b10_dec_wrap.vhd @@ -1,3 +1,23 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Israel Grayzman +--! Mesfin Gebyehu +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/Xoff_decoder/EPROC_IN.vhd b/sources/Xoff_decoder/EPROC_IN.vhd index d082b6b723a20381c71def7002aa7cac1fdaa696..70a747dc793a29bdd3a5385bb6901e3b15a208b2 100644 --- a/sources/Xoff_decoder/EPROC_IN.vhd +++ b/sources/Xoff_decoder/EPROC_IN.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Mesfin Gebyehu +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: Nikhef -- Engineer: Frans Schreuder diff --git a/sources/Xoff_decoder/EPROC_IN_dec8b10b.vhd b/sources/Xoff_decoder/EPROC_IN_dec8b10b.vhd index d700fcc99ca1792e0916114d48bb2920876052cc..2236c6b27d8b040dbc17ddda987c2d98167028a6 100644 --- a/sources/Xoff_decoder/EPROC_IN_dec8b10b.vhd +++ b/sources/Xoff_decoder/EPROC_IN_dec8b10b.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Mesfin Gebyehu +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: Nikhef -- Engineer: Frans Schreuder diff --git a/sources/Xoff_decoder/InputShifterNb.vhd b/sources/Xoff_decoder/InputShifterNb.vhd index 74d016b914fed958f74ce7f8230d24868bf701e2..d011115c2daeec3bda382b0f7e7beddab2d09699 100644 --- a/sources/Xoff_decoder/InputShifterNb.vhd +++ b/sources/Xoff_decoder/InputShifterNb.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Mesfin Gebyehu +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: Nikhef -- Engineer: Frans Schreuder diff --git a/sources/Xoff_decoder/Xoff_decoder_top.vhd b/sources/Xoff_decoder/Xoff_decoder_top.vhd index 09fc170cad96788bdd6d36405693633245d1c089..aebb490cdf255d9c236bbe317e5668fb9dc96f6c 100644 --- a/sources/Xoff_decoder/Xoff_decoder_top.vhd +++ b/sources/Xoff_decoder/Xoff_decoder_top.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Mesfin Gebyehu +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: Nikhef -- Engineer: Frans Schreuder diff --git a/sources/centralRouter/8b10_dec.vhd b/sources/centralRouter/8b10_dec.vhd index 3cbf9060d34877db447a99b770893baa15a7677e..ceb9fdb6847e66e97658c05a139c08af13fa9238 100644 --- a/sources/centralRouter/8b10_dec.vhd +++ b/sources/centralRouter/8b10_dec.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Ohad Shaked +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -- Chuck Benz, Hollis, NH Copyright (c)2002 -- -- The information and description contained herein is the diff --git a/sources/centralRouter/8b10_dec_wrap.vhd b/sources/centralRouter/8b10_dec_wrap.vhd index d1a654644e1cd42a191376c131c9913444f9840a..886facc44467b937c60af663e5353b701aeb933a 100644 --- a/sources/centralRouter/8b10_dec_wrap.vhd +++ b/sources/centralRouter/8b10_dec_wrap.vhd @@ -1,3 +1,23 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Julia Narevicius +--! Israel Grayzman +--! Ohad Shaked +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/BLOCK_WORD_COUNTER.vhd b/sources/centralRouter/BLOCK_WORD_COUNTER.vhd index 1f2880d229335325d0477a88fcb20c4cabf5dd78..09342e2a2d31125ad478b9ac8010360ed481ca59 100644 --- a/sources/centralRouter/BLOCK_WORD_COUNTER.vhd +++ b/sources/centralRouter/BLOCK_WORD_COUNTER.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Julia Narevicius +--! Israel Grayzman +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/CD_COUNTER.vhd b/sources/centralRouter/CD_COUNTER.vhd index f347e8ad10aae776b6332608ad150f0c50ed5009..c7afb8d7ef7433e2174ef0ef25ee3a1abfd58224 100644 --- a/sources/centralRouter/CD_COUNTER.vhd +++ b/sources/centralRouter/CD_COUNTER.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Julia Narevicius +--! Israel Grayzman +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/CRFM.vhd b/sources/centralRouter/CRFM.vhd index 917f64ad276f8cbec4af237ff6f7f274358b34cb..2a7a148924fd3e4828a3c403d4e9642cb9cdb194 100644 --- a/sources/centralRouter/CRFM.vhd +++ b/sources/centralRouter/CRFM.vhd @@ -1,3 +1,28 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Andrea Borga +--! Enrico Gamberini +--! Filiberto Bonini +--! William Wulff +--! Marius Wensing +--! Thei Wijnen +--! Ricardo Luz +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS, Nikhef. --! Engineer: juna, fschreud diff --git a/sources/centralRouter/CRFM_TOPtest.vhd b/sources/centralRouter/CRFM_TOPtest.vhd index 7875bb53a4344ca09ad638f18e9d4ad445162d1c..89e4454bf2a9613970bb0ad16474e99037b6e108 100644 --- a/sources/centralRouter/CRFM_TOPtest.vhd +++ b/sources/centralRouter/CRFM_TOPtest.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/CRFMmonitorMUX.vhd b/sources/centralRouter/CRFMmonitorMUX.vhd index f6f6225b57eb22a237b1f8e474fb0c1831e82130..150eb6fa8d00fc56a7cb6b64239b29b8b4591ebf 100644 --- a/sources/centralRouter/CRFMmonitorMUX.vhd +++ b/sources/centralRouter/CRFMmonitorMUX.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Thei Wijnen +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/DEMUX32.vhd b/sources/centralRouter/DEMUX32.vhd index 0c65d64fe826c6d87b7ee18a92ad72109118c1fd..9c7f56b53f6e9b4b83a46892e32102cdf8d39687 100644 --- a/sources/centralRouter/DEMUX32.vhd +++ b/sources/centralRouter/DEMUX32.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/DEMUX8.vhd b/sources/centralRouter/DEMUX8.vhd index 87499e6043b58e23560e8d03bcec6d63507f6916..e2a79a815180c0e3faac397a26af851e897f160d 100644 --- a/sources/centralRouter/DEMUX8.vhd +++ b/sources/centralRouter/DEMUX8.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/DOWNSTREAM_TRANSFER_MANAGER.vhd b/sources/centralRouter/DOWNSTREAM_TRANSFER_MANAGER.vhd index 565fc6f80bb3a42c9e4b44d115302e9717a3ccbd..0b992b6f93457c26128de651b14d88af278fe9eb 100644 --- a/sources/centralRouter/DOWNSTREAM_TRANSFER_MANAGER.vhd +++ b/sources/centralRouter/DOWNSTREAM_TRANSFER_MANAGER.vhd @@ -1,3 +1,24 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Israel Grayzman +--! RHabraken +--! Mesfin Gebyehu +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/DownstreamEgroup.vhd b/sources/centralRouter/DownstreamEgroup.vhd index c676c1c5806dbf0a11bd4cf45df9415ed6fee50a..ff294f124339cd58a249a2d2f4d1ce739ec9a1d4 100644 --- a/sources/centralRouter/DownstreamEgroup.vhd +++ b/sources/centralRouter/DownstreamEgroup.vhd @@ -1,3 +1,23 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Israel Grayzman +--! Frans Schreuder +--! Ohad Shaked +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/DownstreamMiniEgroup.vhd b/sources/centralRouter/DownstreamMiniEgroup.vhd index a1e99f86f7594ff047cefde050df2a5cb29d3eb1..38239eb5256c9cc6292183699a81cb7b787347d2 100644 --- a/sources/centralRouter/DownstreamMiniEgroup.vhd +++ b/sources/centralRouter/DownstreamMiniEgroup.vhd @@ -1,3 +1,23 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Israel Grayzman +--! Frans Schreuder +--! Ohad Shaked +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/EGROUP_ID_LUT.vhd b/sources/centralRouter/EGROUP_ID_LUT.vhd index 4b871c6587d9cf071b3e09eded0ed7f40bdc5e6f..c6c198738872ca7fa25616646c6e33b9e0a70903 100644 --- a/sources/centralRouter/EGROUP_ID_LUT.vhd +++ b/sources/centralRouter/EGROUP_ID_LUT.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/EPATH_FIFO_WRAP.vhd b/sources/centralRouter/EPATH_FIFO_WRAP.vhd index 1c775063ce7434c2d7975b425bd67c8d9a3cdc8c..21c6d1a1ea41b6c082301ac7c2e9ee419466d969 100644 --- a/sources/centralRouter/EPATH_FIFO_WRAP.vhd +++ b/sources/centralRouter/EPATH_FIFO_WRAP.vhd @@ -1,3 +1,23 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Israel Grayzman +--! Frans Schreuder +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/EPATH_FIFO_WRAP_BNL711.vhd b/sources/centralRouter/EPATH_FIFO_WRAP_BNL711.vhd index e6bc480d5a6e85111dbfcc785ac002d04e83ce9e..a0e1d292dd20c9fab5a91850059dc920c3d8ac77 100644 --- a/sources/centralRouter/EPATH_FIFO_WRAP_BNL711.vhd +++ b/sources/centralRouter/EPATH_FIFO_WRAP_BNL711.vhd @@ -1,3 +1,23 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Israel Grayzman +--! Frans Schreuder +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/EPROC_FIFO_DRIVER.vhd b/sources/centralRouter/EPROC_FIFO_DRIVER.vhd index 772346911904408ee5a0b11a68cdf2d8974910a3..4eee2589067f16838159daf278d968b19e0eddb7 100644 --- a/sources/centralRouter/EPROC_FIFO_DRIVER.vhd +++ b/sources/centralRouter/EPROC_FIFO_DRIVER.vhd @@ -1,3 +1,25 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Weihao Wu +--! Elena Zhivun +--! Israel Grayzman +--! Frans Schreuder +--! Ohad Shaked +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/EPROC_IN16.vhd b/sources/centralRouter/EPROC_IN16.vhd index 2d3eaf043d4e60dcd929679492fe922ff13363d7..0bd5c0d0b098921896bfb1157916e0c38f0445c5 100644 --- a/sources/centralRouter/EPROC_IN16.vhd +++ b/sources/centralRouter/EPROC_IN16.vhd @@ -1,3 +1,23 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Israel Grayzman +--! Frans Schreuder +--! Ohad Shaked +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/EPROC_IN16_ALIGN_BLOCK.vhd b/sources/centralRouter/EPROC_IN16_ALIGN_BLOCK.vhd index 939bcc65252572686f146578584f402051b53e27..fc03669c334f1e5ebe547b2068d2c03e4331ba52 100644 --- a/sources/centralRouter/EPROC_IN16_ALIGN_BLOCK.vhd +++ b/sources/centralRouter/EPROC_IN16_ALIGN_BLOCK.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Julia Narevicius +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/EPROC_IN16_DEC8b10b.vhd b/sources/centralRouter/EPROC_IN16_DEC8b10b.vhd index ab3d27d77d6e3955f024f3e24b786e428fbbffaf..7c021a4ebbd1f78092193a93568948f8abc52017 100644 --- a/sources/centralRouter/EPROC_IN16_DEC8b10b.vhd +++ b/sources/centralRouter/EPROC_IN16_DEC8b10b.vhd @@ -1,3 +1,23 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Julia Narevicius +--! Israel Grayzman +--! Ohad Shaked +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/EPROC_IN16_direct.vhd b/sources/centralRouter/EPROC_IN16_direct.vhd index 152fe0bf4424990d3ff6f2790cdde6a9104e1ad1..807a411b5eb1546577105debe942b3246b31a223 100644 --- a/sources/centralRouter/EPROC_IN16_direct.vhd +++ b/sources/centralRouter/EPROC_IN16_direct.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Julia Narevicius +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/EPROC_IN2.vhd b/sources/centralRouter/EPROC_IN2.vhd index e8b7169aa99605dbc856eb1fe30c0007b01a81a9..71a114782c5fe9c2b0df890468957587ecc4f4a9 100644 --- a/sources/centralRouter/EPROC_IN2.vhd +++ b/sources/centralRouter/EPROC_IN2.vhd @@ -1,3 +1,23 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Israel Grayzman +--! Frans Schreuder +--! Ohad Shaked +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/EPROC_IN2_ALIGN_BLOCK.vhd b/sources/centralRouter/EPROC_IN2_ALIGN_BLOCK.vhd index c772d02542765df67d5e25bbe0d3f8c597f18975..3272d2311c73fde8725cf6965966b1912411e430 100644 --- a/sources/centralRouter/EPROC_IN2_ALIGN_BLOCK.vhd +++ b/sources/centralRouter/EPROC_IN2_ALIGN_BLOCK.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Julia Narevicius +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/EPROC_IN2_DEC8b10b.vhd b/sources/centralRouter/EPROC_IN2_DEC8b10b.vhd index 8e6a1279b3dbe3fc1060c0e6154aa27f41b1590b..57e1e5bbcfef361b519e836736cffa735b0a7378 100644 --- a/sources/centralRouter/EPROC_IN2_DEC8b10b.vhd +++ b/sources/centralRouter/EPROC_IN2_DEC8b10b.vhd @@ -1,3 +1,25 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Marco Trovato +--! Alexander Paramonov +--! Frans Schreuder +--! Israel Grayzman +--! Ohad Shaked +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/EPROC_IN2_HDLC.vhd b/sources/centralRouter/EPROC_IN2_HDLC.vhd index 0e9ce4ad217c1605c101597466691efe15235584..95d4d6af2b1e714658ef0bdefca9f1401123fa89 100644 --- a/sources/centralRouter/EPROC_IN2_HDLC.vhd +++ b/sources/centralRouter/EPROC_IN2_HDLC.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Israel Grayzman +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: Israel Grayzman (israel.graymzna@weizmann.ac.il) diff --git a/sources/centralRouter/EPROC_IN2_direct.vhd b/sources/centralRouter/EPROC_IN2_direct.vhd index 4656e353118171d2598ff298a88ef95295e8667c..c77ab0b0400fd91a77cddf8cb5203927b1fe6642 100644 --- a/sources/centralRouter/EPROC_IN2_direct.vhd +++ b/sources/centralRouter/EPROC_IN2_direct.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Julia Narevicius +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/EPROC_IN4.vhd b/sources/centralRouter/EPROC_IN4.vhd index ba11184b79e7f06ef04e69c0e43b69e247c7e2ce..30c3b68a174ff9408281d710bdb553e36ce69a27 100644 --- a/sources/centralRouter/EPROC_IN4.vhd +++ b/sources/centralRouter/EPROC_IN4.vhd @@ -1,3 +1,23 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Israel Grayzman +--! Frans Schreuder +--! Ohad Shaked +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/EPROC_IN4_ALIGN_BLOCK.vhd b/sources/centralRouter/EPROC_IN4_ALIGN_BLOCK.vhd index 19e5d0c5ca1f1b42d972992674cfecf5516504a5..d1afe5f2c0089f2e57385cbff1270defe010569b 100644 --- a/sources/centralRouter/EPROC_IN4_ALIGN_BLOCK.vhd +++ b/sources/centralRouter/EPROC_IN4_ALIGN_BLOCK.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Julia Narevicius +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/EPROC_IN4_DEC8b10b.vhd b/sources/centralRouter/EPROC_IN4_DEC8b10b.vhd index ec14b8c442ca426c98adde06861473038e80d57a..79217a28e63bb223b7ece31e77d2253f2dcd74db 100644 --- a/sources/centralRouter/EPROC_IN4_DEC8b10b.vhd +++ b/sources/centralRouter/EPROC_IN4_DEC8b10b.vhd @@ -1,3 +1,23 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Julia Narevicius +--! Israel Grayzman +--! Ohad Shaked +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/EPROC_IN4_direct.vhd b/sources/centralRouter/EPROC_IN4_direct.vhd index 31469e9a5d15bc7133c52484fe82e0ef18ec36e2..8eab0a8759ab60dcca99aaff00cf1d0d8985dc32 100644 --- a/sources/centralRouter/EPROC_IN4_direct.vhd +++ b/sources/centralRouter/EPROC_IN4_direct.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Julia Narevicius +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/EPROC_IN8.vhd b/sources/centralRouter/EPROC_IN8.vhd index e525fdb78a524390ca4df51bdda49bc7a539ac25..787d4a79cba779d0c7707d7964fbde8f4cfac47c 100644 --- a/sources/centralRouter/EPROC_IN8.vhd +++ b/sources/centralRouter/EPROC_IN8.vhd @@ -1,3 +1,23 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Israel Grayzman +--! Frans Schreuder +--! Ohad Shaked +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/EPROC_IN8_ALIGN_BLOCK.vhd b/sources/centralRouter/EPROC_IN8_ALIGN_BLOCK.vhd index 913f4f3d646c0fa5f39741b0e9e56252505214f9..39391f3c18c4c5a213d0424b1b00a45c8cde6e0c 100644 --- a/sources/centralRouter/EPROC_IN8_ALIGN_BLOCK.vhd +++ b/sources/centralRouter/EPROC_IN8_ALIGN_BLOCK.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Julia Narevicius +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/EPROC_IN8_DEC8b10b.vhd b/sources/centralRouter/EPROC_IN8_DEC8b10b.vhd index 7a3d0ca6447d36e1dc094e5e65972cb83ca3741c..bfa1f185ed5da128d62f16a537516693e32b58f6 100644 --- a/sources/centralRouter/EPROC_IN8_DEC8b10b.vhd +++ b/sources/centralRouter/EPROC_IN8_DEC8b10b.vhd @@ -1,3 +1,23 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Israel Grayzman +--! Frans Schreuder +--! Ohad Shaked +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/EPROC_IN8_direct.vhd b/sources/centralRouter/EPROC_IN8_direct.vhd index c3db9abce4fcfa97d98586ac7e9fa8f9b47597bf..02b73ed58a7484030ea8057975cdd28728dc2d2c 100644 --- a/sources/centralRouter/EPROC_IN8_direct.vhd +++ b/sources/centralRouter/EPROC_IN8_direct.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Julia Narevicius +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/EPROC_OUT2.vhd b/sources/centralRouter/EPROC_OUT2.vhd index 317e58a8cce04a4e392e97044987ed83ba590b95..7404077461de3cc6e10bcc180e7154c49edfbefd 100644 --- a/sources/centralRouter/EPROC_OUT2.vhd +++ b/sources/centralRouter/EPROC_OUT2.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Frans Schreuder +--! Israel Grayzman +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/EPROC_OUT2_ENC8b10b.vhd b/sources/centralRouter/EPROC_OUT2_ENC8b10b.vhd index 6f1edefd048e324271d97b712bc47342637e1cfe..8b578b2b7d4bbacea7a7574a8235b02c98a4e673 100644 --- a/sources/centralRouter/EPROC_OUT2_ENC8b10b.vhd +++ b/sources/centralRouter/EPROC_OUT2_ENC8b10b.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Israel Grayzman +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/EPROC_OUT2_HDLC.vhd b/sources/centralRouter/EPROC_OUT2_HDLC.vhd index c9680335b7278cf4f644e1005cff43676fedd815..cd988dbb1c863213b64514428e2afd044f7019a1 100644 --- a/sources/centralRouter/EPROC_OUT2_HDLC.vhd +++ b/sources/centralRouter/EPROC_OUT2_HDLC.vhd @@ -1,3 +1,23 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Israel Grayzman +--! Frans Schreuder +--! Ohad Shaked +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/EPROC_OUT2_direct.vhd b/sources/centralRouter/EPROC_OUT2_direct.vhd index 9e5740ce7a10e382964e160d43cdd4c54422bf2f..6effdd10b70def4982b4273b90d52bcc35c487f7 100644 --- a/sources/centralRouter/EPROC_OUT2_direct.vhd +++ b/sources/centralRouter/EPROC_OUT2_direct.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Israel Grayzman +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/EPROC_OUT4.vhd b/sources/centralRouter/EPROC_OUT4.vhd index de537f58aa3940fee9eda4a9e9e543cebf3dee67..6bf0d01388af41a59c41e968221f22f61e4e658a 100644 --- a/sources/centralRouter/EPROC_OUT4.vhd +++ b/sources/centralRouter/EPROC_OUT4.vhd @@ -1,3 +1,23 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Frans Schreuder +--! Israel Grayzman +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/EPROC_OUT4_ENC8b10b.vhd b/sources/centralRouter/EPROC_OUT4_ENC8b10b.vhd index 30f99b9119ce825a1cae6b88c7fd5ad1a5e325fe..6d76a37763f834d791735188355f6ce0b926cf51 100644 --- a/sources/centralRouter/EPROC_OUT4_ENC8b10b.vhd +++ b/sources/centralRouter/EPROC_OUT4_ENC8b10b.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Israel Grayzman +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/EPROC_OUT4_direct.vhd b/sources/centralRouter/EPROC_OUT4_direct.vhd index b6a6b03cf144f584e643c053bfe983c05d3ea9b8..549b0b6b0b81805a4d8a3c9de2dd7bbb2bce1dc3 100644 --- a/sources/centralRouter/EPROC_OUT4_direct.vhd +++ b/sources/centralRouter/EPROC_OUT4_direct.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Israel Grayzman +--! Frans Schreuder +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/EPROC_OUT8.vhd b/sources/centralRouter/EPROC_OUT8.vhd index 192225147ad17ea652e1d57667d6f364525f30c6..9d3f751a869c69d14d39d793ad89d199a2101cfc 100644 --- a/sources/centralRouter/EPROC_OUT8.vhd +++ b/sources/centralRouter/EPROC_OUT8.vhd @@ -1,3 +1,23 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Frans Schreuder +--! Israel Grayzman +--! Ricardo Luz +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/EPROC_OUT8_ENC8b10b.vhd b/sources/centralRouter/EPROC_OUT8_ENC8b10b.vhd index e65615844ec82772ebc5459fca39152dd40df1a4..ad5d5e05b8202070a0866eaa07f95ad8979e491d 100644 --- a/sources/centralRouter/EPROC_OUT8_ENC8b10b.vhd +++ b/sources/centralRouter/EPROC_OUT8_ENC8b10b.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Israel Grayzman +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/EPROC_OUT8_FEI4.vhd b/sources/centralRouter/EPROC_OUT8_FEI4.vhd index ef1873652b4e70a8bcd1e41674963b9290c9b2d0..80c9f6c84faf301c194db6115ab159125addbb0b 100644 --- a/sources/centralRouter/EPROC_OUT8_FEI4.vhd +++ b/sources/centralRouter/EPROC_OUT8_FEI4.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: University of Wuppertal --! Engineer: Carsten Duelsen <carsten.dulsen@cern.ch> diff --git a/sources/centralRouter/EprocDin.vhd b/sources/centralRouter/EprocDin.vhd index 85ebed5975faa370d1d172b0c3196060d146f458..13a6739a40fd8bd937f97b00c7e60744e932e7d4 100644 --- a/sources/centralRouter/EprocDin.vhd +++ b/sources/centralRouter/EprocDin.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Israel Grayzman +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ------------------------------------------------------------------------------------------------------------------ -- Company : EDAQ WIS. -- Engineer : Israel Grayzman (israel.grayzman@weizmann.ac.il) diff --git a/sources/centralRouter/ExtendedTestPulse.vhd b/sources/centralRouter/ExtendedTestPulse.vhd index 2196712efe9cbdf9a7feccb1468a6d7530121587..07826a45640fe7a963d56db060005ec3c29ffbc8 100644 --- a/sources/centralRouter/ExtendedTestPulse.vhd +++ b/sources/centralRouter/ExtendedTestPulse.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Israel Grayzman +--! Ricardo Luz +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: Israel Grayzman (israel.grayzman@weizmann.ac.il) diff --git a/sources/centralRouter/GBT_DATA_MANAGER.vhd b/sources/centralRouter/GBT_DATA_MANAGER.vhd index 919c6dc08ca00135993ed325a4120ba5f8c993ad..abdcc795af7bb051da807493f2134f5207f1f5fc 100644 --- a/sources/centralRouter/GBT_DATA_MANAGER.vhd +++ b/sources/centralRouter/GBT_DATA_MANAGER.vhd @@ -1,3 +1,27 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! RHabraken +--! Mesfin Gebyehu +--! Israel Grayzman +--! Elena Zhivun +--! Ricardo Luz +--! Ohad Shaked +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/GBTdmDownstream.vhd b/sources/centralRouter/GBTdmDownstream.vhd index 0b76278e0aaa9e1185096a9ec15690969a2e0668..7a21ca73f67980edfb193ad149030ee6170b9c95 100644 --- a/sources/centralRouter/GBTdmDownstream.vhd +++ b/sources/centralRouter/GBTdmDownstream.vhd @@ -1,3 +1,25 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Israel Grayzman +--! RHabraken +--! Mesfin Gebyehu +--! Ohad Shaked +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/GBTdmUpstream.vhd b/sources/centralRouter/GBTdmUpstream.vhd index 6ae3a7c5b54eb70445ec7dff4aba6b14cef3c8b3..20dc5c8c5cc73e2a16b95020407a2e22ef472eae 100644 --- a/sources/centralRouter/GBTdmUpstream.vhd +++ b/sources/centralRouter/GBTdmUpstream.vhd @@ -1,3 +1,24 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Israel Grayzman +--! Frans Schreuder +--! Elena Zhivun +--! Ricardo Luz +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/KcharTest.vhd b/sources/centralRouter/KcharTest.vhd index 780ae4a39236d28315dfb38a896113dd59212385..c68bd3c5d2606b4d8bbfc4789e3ee98a20acfdcd 100644 --- a/sources/centralRouter/KcharTest.vhd +++ b/sources/centralRouter/KcharTest.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Julia Narevicius +--! Israel Grayzman +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/MUX16.vhd b/sources/centralRouter/MUX16.vhd index 50449fe2f6ac2efff77524be17100ac26733d358..462318acae3d3a6fcacb62616cab8357e9f49f24 100644 --- a/sources/centralRouter/MUX16.vhd +++ b/sources/centralRouter/MUX16.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Julia Narevicius +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/MUX16_Nbit.vhd b/sources/centralRouter/MUX16_Nbit.vhd index f70757008b7602758632aa461980cef243bb5758..9f9333005c98e1a967cde956322f71c1a5c0f961 100644 --- a/sources/centralRouter/MUX16_Nbit.vhd +++ b/sources/centralRouter/MUX16_Nbit.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Julia Narevicius +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/MUX2.vhd b/sources/centralRouter/MUX2.vhd index 39e3976519e2f02d991e5a56cbb18dc1e8638ecb..f4d1726a0b3286aea01ec03e3f9910479494cf60 100644 --- a/sources/centralRouter/MUX2.vhd +++ b/sources/centralRouter/MUX2.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Julia Narevicius +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/MUX2_Nbit.vhd b/sources/centralRouter/MUX2_Nbit.vhd index 005cbd6cb9a8a32ffeafe22afb918362186b2554..fa81d4cad15af6051f8c0c6f34729daec576ee24 100644 --- a/sources/centralRouter/MUX2_Nbit.vhd +++ b/sources/centralRouter/MUX2_Nbit.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Julia Narevicius +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/MUX32.vhd b/sources/centralRouter/MUX32.vhd index 3baa7f5cd5542c77c67385be6f4fd58840174b2c..c3c7865d382679b24dc9d1bad5065980ef58890a 100644 --- a/sources/centralRouter/MUX32.vhd +++ b/sources/centralRouter/MUX32.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Julia Narevicius +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/MUX4.vhd b/sources/centralRouter/MUX4.vhd index 2bc565a47eea2aa12b1fbcefce8f2abd6694ff2d..4ce6f05ac82c668e4ca74677a31dcd431204b26a 100644 --- a/sources/centralRouter/MUX4.vhd +++ b/sources/centralRouter/MUX4.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Julia Narevicius +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/MUX4_Nbit.vhd b/sources/centralRouter/MUX4_Nbit.vhd index c367eec8e316563cadcd529251d5d65314f82aa8..c52735918feb2dba870e1d58f31c108a1c074ce7 100644 --- a/sources/centralRouter/MUX4_Nbit.vhd +++ b/sources/centralRouter/MUX4_Nbit.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Julia Narevicius +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/MUX8.vhd b/sources/centralRouter/MUX8.vhd index aa68471165f6f4e47f0d179164aa4c1eeba5525a..217f36bacaeac1fc58961000d7e38600a8411706 100644 --- a/sources/centralRouter/MUX8.vhd +++ b/sources/centralRouter/MUX8.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Julia Narevicius +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/MUX8_16bit_sync.vhd b/sources/centralRouter/MUX8_16bit_sync.vhd index e06b427a8aebe673f304876f2bb911210dc244f4..5610f44c7ec55fef6991ac071f55bbda8e829792 100644 --- a/sources/centralRouter/MUX8_16bit_sync.vhd +++ b/sources/centralRouter/MUX8_16bit_sync.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Julia Narevicius +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/MUX8_Nbit.vhd b/sources/centralRouter/MUX8_Nbit.vhd index f2f214da354ef199abe569839d009783a6911dad..598620f7021f216cd044c67a25f17159f782e1bd 100644 --- a/sources/centralRouter/MUX8_Nbit.vhd +++ b/sources/centralRouter/MUX8_Nbit.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Julia Narevicius +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/MUX8_sync.vhd b/sources/centralRouter/MUX8_sync.vhd index 29b848a5f3175f2787e882e8f415fed073a2467a..bad08f5bc6b73eea31dba867f97fad2258ca194b 100644 --- a/sources/centralRouter/MUX8_sync.vhd +++ b/sources/centralRouter/MUX8_sync.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Julia Narevicius +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/MUXn_d256b.vhd b/sources/centralRouter/MUXn_d256b.vhd index 0d94fd2187e90c3f8354883ad4e820db027bb038..86bb8264cfe7ce5c15bb8cf30cca00b1eaaa6efd 100644 --- a/sources/centralRouter/MUXn_d256b.vhd +++ b/sources/centralRouter/MUXn_d256b.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Julia Narevicius +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/PATH_ID_LUT.vhd b/sources/centralRouter/PATH_ID_LUT.vhd index 46759f23c888a2fa912ef3d9d2763f7d35d10bb0..f953e8531f0abfe331911faac0b9f684a996a9b5 100644 --- a/sources/centralRouter/PATH_ID_LUT.vhd +++ b/sources/centralRouter/PATH_ID_LUT.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/RD53A_DL_Eproc/EPROC_OUT4_RD53A.vhd b/sources/centralRouter/RD53A_DL_Eproc/EPROC_OUT4_RD53A.vhd index 5851c29a47dba9aee21d66243c93b7d4b4a1234f..03c59691feabe7787cc48c9ec151c982a8453905 100644 --- a/sources/centralRouter/RD53A_DL_Eproc/EPROC_OUT4_RD53A.vhd +++ b/sources/centralRouter/RD53A_DL_Eproc/EPROC_OUT4_RD53A.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library ieee; use ieee.std_logic_1164.all; diff --git a/sources/centralRouter/RD53A_DL_Eproc/RD53A_DL_EProc.vhd b/sources/centralRouter/RD53A_DL_Eproc/RD53A_DL_EProc.vhd index e02909206cfa30cc58514571a3c07ba8496441c8..295ea0d09a2849617bf10444e9184612b00bc90c 100644 --- a/sources/centralRouter/RD53A_DL_Eproc/RD53A_DL_EProc.vhd +++ b/sources/centralRouter/RD53A_DL_Eproc/RD53A_DL_EProc.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library ieee; use ieee.std_logic_1164.all; diff --git a/sources/centralRouter/RD53A_DL_Eproc/fifoController.vhd b/sources/centralRouter/RD53A_DL_Eproc/fifoController.vhd index dc580df354bc90c45b0933470f3e6b4b08128ceb..45b2719a24081f4835b9341339f9c09059e24e1e 100644 --- a/sources/centralRouter/RD53A_DL_Eproc/fifoController.vhd +++ b/sources/centralRouter/RD53A_DL_Eproc/fifoController.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library ieee; use ieee.std_logic_1164.all; diff --git a/sources/centralRouter/RD53A_DL_Eproc/merger.vhd b/sources/centralRouter/RD53A_DL_Eproc/merger.vhd index 272b18623aa1a6807060b64ce26545dd8ec72d5d..74faf86a2dc6fc33b0a4a6941da1ad4378f3ac04 100644 --- a/sources/centralRouter/RD53A_DL_Eproc/merger.vhd +++ b/sources/centralRouter/RD53A_DL_Eproc/merger.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library ieee; use ieee.std_logic_1164.all; diff --git a/sources/centralRouter/RD53A_DL_Eproc/pkg_RD53A.vhd b/sources/centralRouter/RD53A_DL_Eproc/pkg_RD53A.vhd index 8b26edd7b4c9399586e551cc1b6ce6642e62ff52..057d01a071f304132c765647d53134fb3f3847ea 100644 --- a/sources/centralRouter/RD53A_DL_Eproc/pkg_RD53A.vhd +++ b/sources/centralRouter/RD53A_DL_Eproc/pkg_RD53A.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library IEEE; use IEEE.STD_LOGIC_1164.all; diff --git a/sources/centralRouter/RD53A_DL_Eproc/serializer.vhd b/sources/centralRouter/RD53A_DL_Eproc/serializer.vhd index dccc0b5df914a614583896602165e680aaf2b2d1..609295f3cfa627493567e5b89b772a0e6f9c831e 100644 --- a/sources/centralRouter/RD53A_DL_Eproc/serializer.vhd +++ b/sources/centralRouter/RD53A_DL_Eproc/serializer.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library ieee; use ieee.std_logic_1164.all; diff --git a/sources/centralRouter/RD53A_DL_Eproc/syncEncoder.vhd b/sources/centralRouter/RD53A_DL_Eproc/syncEncoder.vhd index 69f4784e785359df897bc61cf460008438f6c94d..368d9bb191378b364a97f7d450e79373e903aea0 100644 --- a/sources/centralRouter/RD53A_DL_Eproc/syncEncoder.vhd +++ b/sources/centralRouter/RD53A_DL_Eproc/syncEncoder.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library ieee; use ieee.std_logic_1164.all; diff --git a/sources/centralRouter/RD53A_DL_Eproc/trigEncoder.vhd b/sources/centralRouter/RD53A_DL_Eproc/trigEncoder.vhd index 58f480e547277e6ea4a8b33a1e85d4cc122e2cc3..b59d10cb00a947ada04279c2c3de9ccefe8acbcf 100644 --- a/sources/centralRouter/RD53A_DL_Eproc/trigEncoder.vhd +++ b/sources/centralRouter/RD53A_DL_Eproc/trigEncoder.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library ieee; use ieee.std_logic_1164.all; diff --git a/sources/centralRouter/RD53A_DL_Eproc/ttcEncoder.vhd b/sources/centralRouter/RD53A_DL_Eproc/ttcEncoder.vhd index 32c3019eeb095db7d0c9852e7dd1467b076f8316..37c95fa448fdb31eef608a90ee21be2bf01301d7 100644 --- a/sources/centralRouter/RD53A_DL_Eproc/ttcEncoder.vhd +++ b/sources/centralRouter/RD53A_DL_Eproc/ttcEncoder.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library ieee; use ieee.std_logic_1164.all; diff --git a/sources/centralRouter/ReMux.vhd b/sources/centralRouter/ReMux.vhd index b1d664e05904f7db5929ed37acce137b09c98634..5979a2cc22a1e79b59728fd17e33a03d6d663c30 100644 --- a/sources/centralRouter/ReMux.vhd +++ b/sources/centralRouter/ReMux.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/ReMux24.vhd b/sources/centralRouter/ReMux24.vhd index 472cb834f1ab550d1b946253c5dd2854dfc0d40e..72224d5df5f157a8778ad20520545a08a3a6988d 100644 --- a/sources/centralRouter/ReMux24.vhd +++ b/sources/centralRouter/ReMux24.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Julia Narevicius +--! Israel Grayzman +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/ReMux7.vhd b/sources/centralRouter/ReMux7.vhd index 441bb4de41117136d13e9bc4295ac0ab914d1e98..0d58276eb4ed8d99397cea7d4089e016556746f6 100644 --- a/sources/centralRouter/ReMux7.vhd +++ b/sources/centralRouter/ReMux7.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Julia Narevicius +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/SCDataMANAGER.vhd b/sources/centralRouter/SCDataMANAGER.vhd index bfe907db4efce2524515e322449a1791642807af..ffa7396a7d35994fb4f69a9d8e8f5d6c2200f462 100644 --- a/sources/centralRouter/SCDataMANAGER.vhd +++ b/sources/centralRouter/SCDataMANAGER.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Israel Grayzman +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/SCd32b_manager.vhd b/sources/centralRouter/SCd32b_manager.vhd index c68b0416a19ae62d437e1838232936caf8aa8a6e..178112285707073f0d84cbe5f096dc8341b29f30 100644 --- a/sources/centralRouter/SCd32b_manager.vhd +++ b/sources/centralRouter/SCd32b_manager.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Julia Narevicius +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/SixteenToTwoFiftySixTranslator.vhd b/sources/centralRouter/SixteenToTwoFiftySixTranslator.vhd index 099cf2347284c23979b38c5cbb0152c3cd6cbfd4..dcd275c293e9ffdd23265afc7a0511fc1faa8a15 100644 --- a/sources/centralRouter/SixteenToTwoFiftySixTranslator.vhd +++ b/sources/centralRouter/SixteenToTwoFiftySixTranslator.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ------------------------------------------------------------------------------- -- -- -- NIKHEF - National Institute for Subatomic Physics -- diff --git a/sources/centralRouter/TTCtoHost_channel.vhd b/sources/centralRouter/TTCtoHost_channel.vhd index 710c7cd9cfcbd62ffab98ce4309c27e9c6d17562..be8fb0ecc32b4b52b6157658a8ba1770ec950f8b 100644 --- a/sources/centralRouter/TTCtoHost_channel.vhd +++ b/sources/centralRouter/TTCtoHost_channel.vhd @@ -1,434 +1,457 @@ ----------------------------------------------------------------------------------- ---! Company: EDAQ WIS. ---! Engineer: juna ---! ---! Create Date: 09/11/2014 ---! Module Name: TTCtoHost_channel ---! Project Name: FELIX ----------------------------------------------------------------------------------- ---! Use standard library -library ieee, XPM; -use ieee.std_logic_1164.all; -use ieee.numeric_std.all; -use ieee.std_logic_unsigned.all;-- @suppress "Deprecated package" -use work.all; -use work.pcie_package.all; -use work.centralRouter_package.all; -use work.FELIX_package.all; -use XPM.VCOMPONENTS.all; - ---! to-Host centralRouter logic -entity TTCtoHost_channel is -generic ( - GBTid : integer := 0; - egroupID : integer := 7; - epathID : integer := 3; - generate_IC_EC_TTC_only : boolean := false; - TimeoutCounterBitNum : integer := 12; -- IG: number of timeout counter bits - DATA_WIDTH : integer := 256; - BLOCKSIZE : integer := 1024 - ); -port ( - clk40 : in std_logic; - toHostFifo_wr_clk : in std_logic; - rst_clk40 : in std_logic; - FifoFlush : in std_logic; - ----- - TTC_ToHost_ena : in std_logic; - TTC_ToHost_Data_in : in TTC_ToHost_data_type; - ----- - TTC_ToHost_TO_ena : in std_logic; -- IG: enable TTC's timeout - TTC_ToHost_TO_max : in std_logic_vector(TimeoutCounterBitNum-1 downto 0); -- IG: set the maximum timeout counting - ----- - TTC_ToHost_emu_ena : in std_logic; -- enable the emulator - TTC_ToHost_Fake_ena : in std_logic; -- IG: enable the fake ready signals from the emulator - TTC_ToHost_Fake_Val : in std_logic_vector(TimeoutCounterBitNum downto 0); -- IG: set the value of the fake ready signals counter (vector is one bit bigger then the TTC_ToHost_TO_max) - ----- - FIFOdout : out std_logic_vector (DATA_WIDTH-1 downto 0); - FIFOhasBlock : out std_logic; - FIFOre : in std_logic; - FIFOempty : out std_logic; - FIFOdvalid : out std_logic - ----- - --xoff_in : in std_logic - ); -end TTCtoHost_channel; - -architecture Behavioral of TTCtoHost_channel is - --- [trailer(15:13),type] [trailer(12),truncation] [trailer(11),error] [trailer(10),reserved] [trailer(9:0),sub-chunk length] -constant TTCpacket_trailer : std_logic_vector(15 downto 0) := "011" & "000" & "0000011010"; --Complete subchunk with length 26 bytes ---constant TTCpacket_payload_emu : std_logic_vector(159 downto 0) := x"000102030405060708090A0B0C0D0E0F10111213"; -constant TTCpacket_payload_emu : std_logic_vector(159 downto 0) := x"131211100F0E0D0C0B0A09080706050403020100"; --- -signal block_header : std_logic_vector(31 downto 0); -signal TTCpacket_payload_in,TTCpacket_payload : std_logic_vector(159 downto 0); -signal block_count, seq_count : std_logic_vector(4 downto 0) := (others=>'0'); ----- -signal fifo_pempty,fifo_almost_full : std_logic; -signal data_rdy,fifo_we : std_logic := '0'; -signal fifo_din : std_logic_vector(255 downto 0) := (others=>'0'); -signal fifo_din_pre : std_logic_vector(255 downto 0) := (others=>'0'); --IG: preparing the fifo din value -signal data_TO_ready : std_logic; --IG: set when new timeout data is ready -signal FIFOdout_s : std_logic_vector(DATA_WIDTH-1 downto 0); --- --- Israel Grayzman (IG) adding signals -constant Timeout_trailer : std_logic_vector(15 downto 0) := "101" & "000" & "0000011110"; -- writing the entire line to the fifo with a timeout value -constant ZerosVector : std_logic_vector(239 downto 0) := (others => '0'); -signal FakeTTCrdyCounter : std_logic_vector(TimeoutCounterBitNum downto 0) := (others => '1'); -- counter to create fake ready signals -signal FakeTTCrdy : std_logic := '0'; -- creating fake ready signals as the TTC in emulator mode -signal TimeoutSearch : std_logic := '0'; -- set mean timeout can occur, after the first rdy sugnal -signal TimeoutCounting : std_logic_vector(TimeoutCounterBitNum-1 downto 0) := (others => '0'); -- timeout counter vector -signal InsertTO_Bytes : std_logic := '0'; -- singal bit to simplify the comperator -signal InsertBytesCounter : std_logic_vector(3 downto 0) := (others => '0'); -- count the number of clocks to insert 1 fifo line with timeout tralier -signal BlockEnded : std_logic := '0'; -- set when new block started during timeout bytes insert in order to stop writing bytes -signal FIFOempty_s : std_logic; -signal FIFOdvalid_s, FIFOdvalid_p1_s : std_logic; - ---- L1A counter -- ---- SWROD should check the TTCToHost information for the absence of L1Ids. ---- (each L1A is 1 higher than the preceding L1A) -signal l1a_counter : std_logic_vector(47 downto 0) := (others => '0'); ---signal TTC_ToHost_fifo_full : std_logic := '0'; - -constant FIFO_DEPTH : natural := BLOCKSIZE/16; --2 blocks deep with a write width of 256 bits. BLOCKSIZE in bytes. -constant FIFO_RD_COUNT_WIDTH: natural := f_log2(FIFO_DEPTH/(DATA_WIDTH/256))+1; -constant PROG_EMPTY_THRESH : natural := (BLOCKSIZE/(DATA_WIDTH/8))-1; -signal rd_data_count : std_logic_vector(FIFO_RD_COUNT_WIDTH-1 downto 0); - -begin - -TTCpacket_payload_in <= -TTC_ToHost_Data_in.L0ID & -- 32 bit -TTC_ToHost_Data_in.reserved1 & -- 16 bit -TTC_ToHost_Data_in.trigger_type & -- 16 bit -TTC_ToHost_Data_in.orbit & -- 32 bit -TTC_ToHost_Data_in.XL1ID & -- 8 bit -TTC_ToHost_Data_in.L1ID & -- 24 bit -TTC_ToHost_Data_in.reserved0 & -- 4 bit -TTC_ToHost_Data_in.BCID & -- 12 bit -TTC_ToHost_Data_in.LEN & -- 8 bit -TTC_ToHost_Data_in.FMT; -- 8 bit - ---TTC_ToHost_fifo_full <= TTC_ToHost_Data_in.fifo_full; - - -generate_all: if generate_IC_EC_TTC_only = false generate - ----------------------------------------------------------------------- ---- TTC to-Host data selector: 'emulator' or input data ----------------------------------------------------------------------- --- counting down to generate fake ready signal when the logic enable -FakeRdySignal: process(clk40, rst_clk40) -begin - if (rst_clk40 = '1') then - FakeTTCrdyCounter <= (others => '1'); - FakeTTCrdy <= '0'; - elsif rising_edge(clk40) then - -- fake ready signal is enable - if (TTC_ToHost_Fake_ena = '1') then - -- set initial value for the counter - if (FakeTTCrdyCounter = ZerosVector(TimeoutCounterBitNum downto 0)) then - FakeTTCrdyCounter <= TTC_ToHost_Fake_Val; - -- counting down the number of clock to generate the fake ready - else - FakeTTCrdyCounter <= FakeTTCrdyCounter - 1; - end if; - - -- generate fake ready signals when the counter reach zero - if (FakeTTCrdyCounter = ZerosVector(TimeoutCounterBitNum downto 0)) then - FakeTTCrdy <= '1'; - else - FakeTTCrdy <= '0'; - end if; - else - FakeTTCrdyCounter <= TTC_ToHost_Fake_Val; - FakeTTCrdy <= '0'; - end if; - end if; -end process; - -data_source_sel: process(clk40, rst_clk40) -begin - if rst_clk40 = '1' then - data_rdy <= '0'; - TTCpacket_payload <= (others => '0'); - data_TO_ready <= '0'; - fifo_din_pre <= (others => '0'); - elsif rising_edge(clk40) then - if TTC_ToHost_ena = '1' then - if TTC_ToHost_emu_ena = '1' then - --IG: fake ready signal disable - if (TTC_ToHost_Fake_ena = '0') then - data_rdy <= not fifo_almost_full; - --IG: fake ready signal enable - else - data_rdy <= (FakeTTCrdy and (not fifo_almost_full)); -- IG: add dependency in the fake ready signal - end if; - TTCpacket_payload <= TTCpacket_payload_emu(159 downto 16) & "000" & seq_count & "000" & block_count; - else - data_rdy <= TTC_ToHost_Data_in.data_rdy and (not fifo_almost_full); - TTCpacket_payload <= TTCpacket_payload_in; - end if; - - -- timeout mode - if ((TimeoutSearch = '1') and (InsertBytesCounter = ZerosVector(3 downto 0)) and (BlockEnded = '0')) then - data_TO_ready <= '1'; - fifo_din_pre <= Timeout_trailer & ZerosVector(239 downto 0); -- 240 bits of zeros = 30 bytes (0x1E) - else - data_TO_ready <= '0'; - fifo_din_pre <= (others => '0'); - end if; - else - data_rdy <= '0'; - end if; - end if; -end process; - ----------------------------------------------------------------------- -TimeoutHandler: process(clk40, rst_clk40) -begin - if (rst_clk40 = '1') then - TimeoutSearch <= '0'; - TimeoutCounting <= (others => '1'); - InsertTO_Bytes <= '0'; - InsertBytesCounter <= (others => '1'); - elsif rising_edge(clk40) then - -- timeout mechanism is enable - if (TTC_ToHost_TO_ena = '1') then - -- stop search for timeout after filling in the current block, wait for the next data_rdy signal to trigger the timeout again - if (BlockEnded = '1') then - TimeoutSearch <= '0'; - -- the first time that data_rdy set means a timeout condition can be search from now on - elsif (data_rdy = '1') then - TimeoutSearch <= '1'; - else - TimeoutSearch <= TimeoutSearch; - end if; - else - TimeoutSearch <= '0'; - end if; - -- counting times between data_rdy signals. - -- the timeout counter runs until it is resetting, then it hold the value zero (counting down) until the next data_rdy signal - -- data_rdy signal reload the counter with the define maximum value - if (TimeoutSearch = '1') then - if (data_rdy = '1') then - TimeoutCounting <= TTC_ToHost_TO_max; - InsertTO_Bytes <= '0'; - elsif (TimeoutCounting = ZerosVector(TimeoutCounterBitNum-1 downto 0)) then - TimeoutCounting <= TimeoutCounting; - InsertTO_Bytes <= '1'; - else - TimeoutCounting <= TimeoutCounting - 1; - InsertTO_Bytes <= '0'; - end if; - else - TimeoutCounting <= TTC_ToHost_TO_max - 2; -- compensating 2 clocks due to the time from the data_rdy to the TimeoutSearch set (only for the first time) - InsertTO_Bytes <= '0'; - end if; - -- count the clk40 ticks, 16 clocks means write 1 fifo line with timeout trailer - if (InsertTO_Bytes = '1') then - InsertBytesCounter <= InsertBytesCounter - 1; - else - InsertBytesCounter <= (others => '1'); - end if; - end if; -end process; - ----------------------------------------------------------------------- ---- Counter for L1A (no-reset upon ECR) ----------------------------------------------------------------------- -l1acnt_proc: process(clk40) - variable data_rdy_p1: std_logic; -begin - if rising_edge(clk40) then - if rst_clk40 = '1' then - l1a_counter <= (others => '0'); - data_rdy_p1 := '0'; - elsif data_rdy_p1 = '1' then - l1a_counter <= l1a_counter + 1; - else - l1a_counter <= l1a_counter; - end if; - data_rdy_p1 := TTC_ToHost_Data_in.data_rdy; --Delay data_rdy one clock, because data_rdy is also delayed one clock (but combined with fifo full, so can't be used here). - end if; -end process; - ----------------------------------------------------------------------- ---- writing to channel FIFO ----------------------------------------------------------------------- -FIFO_writing: process(clk40, rst_clk40) - variable truncation: std_logic; -begin - if rst_clk40 = '1' then - fifo_we <= '0'; - fifo_din <= (others => '0'); - truncation := '0'; - elsif rising_edge(clk40) then - -- normal operation - if (data_rdy = '1') then - if (block_count = ZerosVector(4 downto 0)) then -- first 256-bit line of the 32 (one 1KByte block = 32 x 256-bit lines) - fifo_din <= TTCpacket_trailer(15 downto 13) & truncation & TTCpacket_trailer(11 downto 0) & '0' & l1a_counter(46 downto 0) & TTCpacket_payload & block_header; - else - fifo_din <= X"00000000" & TTCpacket_trailer(15 downto 13) & truncation & TTCpacket_trailer(11 downto 0) & '0' & l1a_counter(46 downto 0) & TTCpacket_payload; - end if; - if fifo_almost_full = '0' then - fifo_we <= '1'; - truncation := '0'; - else - fifo_we <= '0'; - truncation := '1'; --we can not write the data this time, mark the next TTC frame as truncated. - end if; - - -- timeout mode - elsif (data_TO_ready = '1') then - if fifo_almost_full = '0' then - fifo_we <= '1'; - else - fifo_we <= '0'; --don't set truncation in this case, because we don't really care about losing timeout chunks. - end if; - fifo_din <= fifo_din_pre; - else - fifo_we <= '0'; - fifo_din <= (others => '0'); - end if; - end if; -end process; --- -block_counter: process(clk40, rst_clk40) -begin - if rst_clk40 = '1' then - block_count <= (others => '0'); - elsif rising_edge(clk40) then - -- counting the block numbers during timeout - if ((data_rdy = '1') or (data_TO_ready = '1')) and fifo_almost_full = '0' then - block_count <= block_count + 1; - else - block_count <= block_count; - end if; - end if; -end process; - --- set when block ended, async assignment set the BlockEnded signal along with the data_rdy AND data_TO_ready signals - BlockEnded <= '1' when (((data_rdy = '1') or (data_TO_ready = '1')) and (block_count = "11111") and fifo_almost_full = '0') else - '0'; --- -sequence_counter: process(clk40, rst_clk40) -begin - if rst_clk40 = '1' then - seq_count <= (others => '0'); - elsif rising_edge(clk40) then - -- counting the sequence numbers during timeout - if (((data_rdy = '1') or (data_TO_ready = '1')) and (block_count = "00010")) then - seq_count <= seq_count + 1; - else - seq_count <= seq_count; - end if; - end if; -end process; --- --- word0: [bheader(31:16),0xABCD] --- word1: [bheader(15:11),seq#] [bheader(10:6),GBTid] [bheader(5:3),EgroupID] [bheader(2:0),EpathID] ---block_header <= seq_count & "00000" & "111" & "011" & x"abcd"; -block_header <= "1010101111001101" & seq_count & (std_logic_vector(to_unsigned(GBTid, 5))) & (std_logic_vector(to_unsigned(egroupID, 3))) & (std_logic_vector(to_unsigned(epathID, 3))); --- - - TTCchFIFO : xpm_fifo_async - generic map ( -- @suppress "Generic map uses default values. Missing optional actuals: USE_ADV_FEATURES, SIM_ASSERT_CHK" - FIFO_MEMORY_TYPE => "auto", --string; "auto", "block", or "distributed"; - ECC_MODE => "no_ecc", --string; "no_ecc" or "en_ecc"; - RELATED_CLOCKS => 0, --positive integer; 0 or 1 - FIFO_WRITE_DEPTH => FIFO_DEPTH,--positive integer - WRITE_DATA_WIDTH => 256, --positive integer - WR_DATA_COUNT_WIDTH => 1, --positive integer - PROG_FULL_THRESH => 61, --positive integer - FULL_RESET_VALUE => 1, --positive integer; 0 or 1; - USE_ADV_FEATURES => "0402", --rd_data_count and prog_full - READ_MODE => "std", --string; "std" or "fwft"; - FIFO_READ_LATENCY => 1, --positive integer; - READ_DATA_WIDTH => DATA_WIDTH, --positive integer - RD_DATA_COUNT_WIDTH => FIFO_RD_COUNT_WIDTH, --positive integer - PROG_EMPTY_THRESH => (BLOCKSIZE/(DATA_WIDTH/8))-1, --positive integer - DOUT_RESET_VALUE => "0", --string - CDC_SYNC_STAGES => 2, --positive integer - WAKEUP_TIME => 0 --positive integer; 0 or 2; - ) - port map ( - sleep => '0', - rst => FifoFlush, - wr_clk => clk40, - wr_en => fifo_we, - din => fifo_din, - full => open, - prog_full => fifo_almost_full, - wr_data_count => open, - overflow => open, - wr_rst_busy => open, - almost_full => open, - wr_ack => open, - rd_clk => toHostFifo_wr_clk, - rd_en => FIFOre, - dout => FIFOdout_s, - empty => FIFOempty_s, - prog_empty => open, - rd_data_count => rd_data_count, - underflow => open, - rd_rst_busy => open, - almost_empty => open, - data_valid => open, - injectsbiterr => '0', - injectdbiterr => '0', - sbiterr => open, - dbiterr => open - ); - ---! We have to calculate prog_empty using rd_data_count rather than internally in the xpm_fifo_async because the macro won't allow that for BLOCKSIZE=4096 (ProtoDUNE). -prog_empty_proc: process(toHostFifo_wr_clk) -begin - if rising_edge(toHostFifo_wr_clk) then - if rd_data_count < PROG_EMPTY_THRESH then - fifo_pempty <= '1'; - else - fifo_pempty <= '0'; - end if; - end if; -end process; - - -FIFOempty <= FIFOempty_s; - -dvalid: process(toHostFifo_wr_clk) -begin - if rising_edge(toHostFifo_wr_clk) then - FIFOdvalid_s <= FIFOre and (not FIFOempty_s); - end if; -end process; --- - -FIFOhasBlock <= not fifo_pempty; - --- -dout_pipe: process(toHostFifo_wr_clk) -begin - if rising_edge(toHostFifo_wr_clk) then - FIFOdout <= FIFOdout_s; - FIFOdvalid_p1_s <= FIFOdvalid_s; - FIFOdvalid <= FIFOdvalid_p1_s; - end if; -end process; - -end generate generate_all; --- - - --- -ICandEC_only: if generate_IC_EC_TTC_only generate --- -FIFOdout <= (others =>'0'); -FIFOhasBlock <= '0'; -FIFOempty <= '1'; --- -end generate ICandEC_only; --- - -end Behavioral; +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Soo Ryu +--! Israel Grayzman +--! Alexander Paramonov +--! Alessandra Camplani +--! Frans Schreuder +--! Thei Wijnen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + +---------------------------------------------------------------------------------- +--! Company: EDAQ WIS. +--! Engineer: juna +--! +--! Create Date: 09/11/2014 +--! Module Name: TTCtoHost_channel +--! Project Name: FELIX +---------------------------------------------------------------------------------- +--! Use standard library +library ieee, XPM; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; +use ieee.std_logic_unsigned.all;-- @suppress "Deprecated package" +use work.all; +use work.pcie_package.all; +use work.centralRouter_package.all; +use work.FELIX_package.all; +use XPM.VCOMPONENTS.all; + +--! to-Host centralRouter logic +entity TTCtoHost_channel is +generic ( + GBTid : integer := 0; + egroupID : integer := 7; + epathID : integer := 3; + generate_IC_EC_TTC_only : boolean := false; + TimeoutCounterBitNum : integer := 12; -- IG: number of timeout counter bits + DATA_WIDTH : integer := 256; + BLOCKSIZE : integer := 1024 + ); +port ( + clk40 : in std_logic; + toHostFifo_wr_clk : in std_logic; + rst_clk40 : in std_logic; + FifoFlush : in std_logic; + ----- + TTC_ToHost_ena : in std_logic; + TTC_ToHost_Data_in : in TTC_ToHost_data_type; + ----- + TTC_ToHost_TO_ena : in std_logic; -- IG: enable TTC's timeout + TTC_ToHost_TO_max : in std_logic_vector(TimeoutCounterBitNum-1 downto 0); -- IG: set the maximum timeout counting + ----- + TTC_ToHost_emu_ena : in std_logic; -- enable the emulator + TTC_ToHost_Fake_ena : in std_logic; -- IG: enable the fake ready signals from the emulator + TTC_ToHost_Fake_Val : in std_logic_vector(TimeoutCounterBitNum downto 0); -- IG: set the value of the fake ready signals counter (vector is one bit bigger then the TTC_ToHost_TO_max) + ----- + FIFOdout : out std_logic_vector (DATA_WIDTH-1 downto 0); + FIFOhasBlock : out std_logic; + FIFOre : in std_logic; + FIFOempty : out std_logic; + FIFOdvalid : out std_logic + ----- + --xoff_in : in std_logic + ); +end TTCtoHost_channel; + +architecture Behavioral of TTCtoHost_channel is + +-- [trailer(15:13),type] [trailer(12),truncation] [trailer(11),error] [trailer(10),reserved] [trailer(9:0),sub-chunk length] +constant TTCpacket_trailer : std_logic_vector(15 downto 0) := "011" & "000" & "0000011010"; --Complete subchunk with length 26 bytes +--constant TTCpacket_payload_emu : std_logic_vector(159 downto 0) := x"000102030405060708090A0B0C0D0E0F10111213"; +constant TTCpacket_payload_emu : std_logic_vector(159 downto 0) := x"131211100F0E0D0C0B0A09080706050403020100"; +-- +signal block_header : std_logic_vector(31 downto 0); +signal TTCpacket_payload_in,TTCpacket_payload : std_logic_vector(159 downto 0); +signal block_count, seq_count : std_logic_vector(4 downto 0) := (others=>'0'); +---- +signal fifo_pempty,fifo_almost_full : std_logic; +signal data_rdy,fifo_we : std_logic := '0'; +signal fifo_din : std_logic_vector(255 downto 0) := (others=>'0'); +signal fifo_din_pre : std_logic_vector(255 downto 0) := (others=>'0'); --IG: preparing the fifo din value +signal data_TO_ready : std_logic; --IG: set when new timeout data is ready +signal FIFOdout_s : std_logic_vector(DATA_WIDTH-1 downto 0); +-- +-- Israel Grayzman (IG) adding signals +constant Timeout_trailer : std_logic_vector(15 downto 0) := "101" & "000" & "0000011110"; -- writing the entire line to the fifo with a timeout value +constant ZerosVector : std_logic_vector(239 downto 0) := (others => '0'); +signal FakeTTCrdyCounter : std_logic_vector(TimeoutCounterBitNum downto 0) := (others => '1'); -- counter to create fake ready signals +signal FakeTTCrdy : std_logic := '0'; -- creating fake ready signals as the TTC in emulator mode +signal TimeoutSearch : std_logic := '0'; -- set mean timeout can occur, after the first rdy sugnal +signal TimeoutCounting : std_logic_vector(TimeoutCounterBitNum-1 downto 0) := (others => '0'); -- timeout counter vector +signal InsertTO_Bytes : std_logic := '0'; -- singal bit to simplify the comperator +signal InsertBytesCounter : std_logic_vector(3 downto 0) := (others => '0'); -- count the number of clocks to insert 1 fifo line with timeout tralier +signal BlockEnded : std_logic := '0'; -- set when new block started during timeout bytes insert in order to stop writing bytes +signal FIFOempty_s : std_logic; +signal FIFOdvalid_s, FIFOdvalid_p1_s : std_logic; + +--- L1A counter -- +--- SWROD should check the TTCToHost information for the absence of L1Ids. +--- (each L1A is 1 higher than the preceding L1A) +signal l1a_counter : std_logic_vector(47 downto 0) := (others => '0'); +--signal TTC_ToHost_fifo_full : std_logic := '0'; + +constant FIFO_DEPTH : natural := BLOCKSIZE/16; --2 blocks deep with a write width of 256 bits. BLOCKSIZE in bytes. +constant FIFO_RD_COUNT_WIDTH: natural := f_log2(FIFO_DEPTH/(DATA_WIDTH/256))+1; +constant PROG_EMPTY_THRESH : natural := (BLOCKSIZE/(DATA_WIDTH/8))-1; +signal rd_data_count : std_logic_vector(FIFO_RD_COUNT_WIDTH-1 downto 0); + +begin + +TTCpacket_payload_in <= +TTC_ToHost_Data_in.L0ID & -- 32 bit +TTC_ToHost_Data_in.reserved1 & -- 16 bit +TTC_ToHost_Data_in.trigger_type & -- 16 bit +TTC_ToHost_Data_in.orbit & -- 32 bit +TTC_ToHost_Data_in.XL1ID & -- 8 bit +TTC_ToHost_Data_in.L1ID & -- 24 bit +TTC_ToHost_Data_in.reserved0 & -- 4 bit +TTC_ToHost_Data_in.BCID & -- 12 bit +TTC_ToHost_Data_in.LEN & -- 8 bit +TTC_ToHost_Data_in.FMT; -- 8 bit + +--TTC_ToHost_fifo_full <= TTC_ToHost_Data_in.fifo_full; + + +generate_all: if generate_IC_EC_TTC_only = false generate + +---------------------------------------------------------------------- +--- TTC to-Host data selector: 'emulator' or input data +---------------------------------------------------------------------- +-- counting down to generate fake ready signal when the logic enable +FakeRdySignal: process(clk40, rst_clk40) +begin + if (rst_clk40 = '1') then + FakeTTCrdyCounter <= (others => '1'); + FakeTTCrdy <= '0'; + elsif rising_edge(clk40) then + -- fake ready signal is enable + if (TTC_ToHost_Fake_ena = '1') then + -- set initial value for the counter + if (FakeTTCrdyCounter = ZerosVector(TimeoutCounterBitNum downto 0)) then + FakeTTCrdyCounter <= TTC_ToHost_Fake_Val; + -- counting down the number of clock to generate the fake ready + else + FakeTTCrdyCounter <= FakeTTCrdyCounter - 1; + end if; + + -- generate fake ready signals when the counter reach zero + if (FakeTTCrdyCounter = ZerosVector(TimeoutCounterBitNum downto 0)) then + FakeTTCrdy <= '1'; + else + FakeTTCrdy <= '0'; + end if; + else + FakeTTCrdyCounter <= TTC_ToHost_Fake_Val; + FakeTTCrdy <= '0'; + end if; + end if; +end process; + +data_source_sel: process(clk40, rst_clk40) +begin + if rst_clk40 = '1' then + data_rdy <= '0'; + TTCpacket_payload <= (others => '0'); + data_TO_ready <= '0'; + fifo_din_pre <= (others => '0'); + elsif rising_edge(clk40) then + if TTC_ToHost_ena = '1' then + if TTC_ToHost_emu_ena = '1' then + --IG: fake ready signal disable + if (TTC_ToHost_Fake_ena = '0') then + data_rdy <= not fifo_almost_full; + --IG: fake ready signal enable + else + data_rdy <= (FakeTTCrdy and (not fifo_almost_full)); -- IG: add dependency in the fake ready signal + end if; + TTCpacket_payload <= TTCpacket_payload_emu(159 downto 16) & "000" & seq_count & "000" & block_count; + else + data_rdy <= TTC_ToHost_Data_in.data_rdy and (not fifo_almost_full); + TTCpacket_payload <= TTCpacket_payload_in; + end if; + + -- timeout mode + if ((TimeoutSearch = '1') and (InsertBytesCounter = ZerosVector(3 downto 0)) and (BlockEnded = '0')) then + data_TO_ready <= '1'; + fifo_din_pre <= Timeout_trailer & ZerosVector(239 downto 0); -- 240 bits of zeros = 30 bytes (0x1E) + else + data_TO_ready <= '0'; + fifo_din_pre <= (others => '0'); + end if; + else + data_rdy <= '0'; + end if; + end if; +end process; + +---------------------------------------------------------------------- +TimeoutHandler: process(clk40, rst_clk40) +begin + if (rst_clk40 = '1') then + TimeoutSearch <= '0'; + TimeoutCounting <= (others => '1'); + InsertTO_Bytes <= '0'; + InsertBytesCounter <= (others => '1'); + elsif rising_edge(clk40) then + -- timeout mechanism is enable + if (TTC_ToHost_TO_ena = '1') then + -- stop search for timeout after filling in the current block, wait for the next data_rdy signal to trigger the timeout again + if (BlockEnded = '1') then + TimeoutSearch <= '0'; + -- the first time that data_rdy set means a timeout condition can be search from now on + elsif (data_rdy = '1') then + TimeoutSearch <= '1'; + else + TimeoutSearch <= TimeoutSearch; + end if; + else + TimeoutSearch <= '0'; + end if; + -- counting times between data_rdy signals. + -- the timeout counter runs until it is resetting, then it hold the value zero (counting down) until the next data_rdy signal + -- data_rdy signal reload the counter with the define maximum value + if (TimeoutSearch = '1') then + if (data_rdy = '1') then + TimeoutCounting <= TTC_ToHost_TO_max; + InsertTO_Bytes <= '0'; + elsif (TimeoutCounting = ZerosVector(TimeoutCounterBitNum-1 downto 0)) then + TimeoutCounting <= TimeoutCounting; + InsertTO_Bytes <= '1'; + else + TimeoutCounting <= TimeoutCounting - 1; + InsertTO_Bytes <= '0'; + end if; + else + TimeoutCounting <= TTC_ToHost_TO_max - 2; -- compensating 2 clocks due to the time from the data_rdy to the TimeoutSearch set (only for the first time) + InsertTO_Bytes <= '0'; + end if; + -- count the clk40 ticks, 16 clocks means write 1 fifo line with timeout trailer + if (InsertTO_Bytes = '1') then + InsertBytesCounter <= InsertBytesCounter - 1; + else + InsertBytesCounter <= (others => '1'); + end if; + end if; +end process; + +---------------------------------------------------------------------- +--- Counter for L1A (no-reset upon ECR) +---------------------------------------------------------------------- +l1acnt_proc: process(clk40) + variable data_rdy_p1: std_logic; +begin + if rising_edge(clk40) then + if rst_clk40 = '1' then + l1a_counter <= (others => '0'); + data_rdy_p1 := '0'; + elsif data_rdy_p1 = '1' then + l1a_counter <= l1a_counter + 1; + else + l1a_counter <= l1a_counter; + end if; + data_rdy_p1 := TTC_ToHost_Data_in.data_rdy; --Delay data_rdy one clock, because data_rdy is also delayed one clock (but combined with fifo full, so can't be used here). + end if; +end process; + +---------------------------------------------------------------------- +--- writing to channel FIFO +---------------------------------------------------------------------- +FIFO_writing: process(clk40, rst_clk40) + variable truncation: std_logic; +begin + if rst_clk40 = '1' then + fifo_we <= '0'; + fifo_din <= (others => '0'); + truncation := '0'; + elsif rising_edge(clk40) then + -- normal operation + if (data_rdy = '1') then + if (block_count = ZerosVector(4 downto 0)) then -- first 256-bit line of the 32 (one 1KByte block = 32 x 256-bit lines) + fifo_din <= TTCpacket_trailer(15 downto 13) & truncation & TTCpacket_trailer(11 downto 0) & '0' & l1a_counter(46 downto 0) & TTCpacket_payload & block_header; + else + fifo_din <= X"00000000" & TTCpacket_trailer(15 downto 13) & truncation & TTCpacket_trailer(11 downto 0) & '0' & l1a_counter(46 downto 0) & TTCpacket_payload; + end if; + if fifo_almost_full = '0' then + fifo_we <= '1'; + truncation := '0'; + else + fifo_we <= '0'; + truncation := '1'; --we can not write the data this time, mark the next TTC frame as truncated. + end if; + + -- timeout mode + elsif (data_TO_ready = '1') then + if fifo_almost_full = '0' then + fifo_we <= '1'; + else + fifo_we <= '0'; --don't set truncation in this case, because we don't really care about losing timeout chunks. + end if; + fifo_din <= fifo_din_pre; + else + fifo_we <= '0'; + fifo_din <= (others => '0'); + end if; + end if; +end process; +-- +block_counter: process(clk40, rst_clk40) +begin + if rst_clk40 = '1' then + block_count <= (others => '0'); + elsif rising_edge(clk40) then + -- counting the block numbers during timeout + if ((data_rdy = '1') or (data_TO_ready = '1')) and fifo_almost_full = '0' then + block_count <= block_count + 1; + else + block_count <= block_count; + end if; + end if; +end process; + +-- set when block ended, async assignment set the BlockEnded signal along with the data_rdy AND data_TO_ready signals + BlockEnded <= '1' when (((data_rdy = '1') or (data_TO_ready = '1')) and (block_count = "11111") and fifo_almost_full = '0') else + '0'; +-- +sequence_counter: process(clk40, rst_clk40) +begin + if rst_clk40 = '1' then + seq_count <= (others => '0'); + elsif rising_edge(clk40) then + -- counting the sequence numbers during timeout + if (((data_rdy = '1') or (data_TO_ready = '1')) and (block_count = "00010")) then + seq_count <= seq_count + 1; + else + seq_count <= seq_count; + end if; + end if; +end process; +-- +-- word0: [bheader(31:16),0xABCD] +-- word1: [bheader(15:11),seq#] [bheader(10:6),GBTid] [bheader(5:3),EgroupID] [bheader(2:0),EpathID] +--block_header <= seq_count & "00000" & "111" & "011" & x"abcd"; +block_header <= "1010101111001101" & seq_count & (std_logic_vector(to_unsigned(GBTid, 5))) & (std_logic_vector(to_unsigned(egroupID, 3))) & (std_logic_vector(to_unsigned(epathID, 3))); +-- + + TTCchFIFO : xpm_fifo_async + generic map ( -- @suppress "Generic map uses default values. Missing optional actuals: USE_ADV_FEATURES, SIM_ASSERT_CHK" + FIFO_MEMORY_TYPE => "auto", --string; "auto", "block", or "distributed"; + ECC_MODE => "no_ecc", --string; "no_ecc" or "en_ecc"; + RELATED_CLOCKS => 0, --positive integer; 0 or 1 + FIFO_WRITE_DEPTH => FIFO_DEPTH,--positive integer + WRITE_DATA_WIDTH => 256, --positive integer + WR_DATA_COUNT_WIDTH => 1, --positive integer + PROG_FULL_THRESH => 61, --positive integer + FULL_RESET_VALUE => 1, --positive integer; 0 or 1; + USE_ADV_FEATURES => "0402", --rd_data_count and prog_full + READ_MODE => "std", --string; "std" or "fwft"; + FIFO_READ_LATENCY => 1, --positive integer; + READ_DATA_WIDTH => DATA_WIDTH, --positive integer + RD_DATA_COUNT_WIDTH => FIFO_RD_COUNT_WIDTH, --positive integer + PROG_EMPTY_THRESH => (BLOCKSIZE/(DATA_WIDTH/8))-1, --positive integer + DOUT_RESET_VALUE => "0", --string + CDC_SYNC_STAGES => 2, --positive integer + WAKEUP_TIME => 0 --positive integer; 0 or 2; + ) + port map ( + sleep => '0', + rst => FifoFlush, + wr_clk => clk40, + wr_en => fifo_we, + din => fifo_din, + full => open, + prog_full => fifo_almost_full, + wr_data_count => open, + overflow => open, + wr_rst_busy => open, + almost_full => open, + wr_ack => open, + rd_clk => toHostFifo_wr_clk, + rd_en => FIFOre, + dout => FIFOdout_s, + empty => FIFOempty_s, + prog_empty => open, + rd_data_count => rd_data_count, + underflow => open, + rd_rst_busy => open, + almost_empty => open, + data_valid => open, + injectsbiterr => '0', + injectdbiterr => '0', + sbiterr => open, + dbiterr => open + ); + +--! We have to calculate prog_empty using rd_data_count rather than internally in the xpm_fifo_async because the macro won't allow that for BLOCKSIZE=4096 (ProtoDUNE). +prog_empty_proc: process(toHostFifo_wr_clk) +begin + if rising_edge(toHostFifo_wr_clk) then + if rd_data_count < PROG_EMPTY_THRESH then + fifo_pempty <= '1'; + else + fifo_pempty <= '0'; + end if; + end if; +end process; + + +FIFOempty <= FIFOempty_s; + +dvalid: process(toHostFifo_wr_clk) +begin + if rising_edge(toHostFifo_wr_clk) then + FIFOdvalid_s <= FIFOre and (not FIFOempty_s); + end if; +end process; +-- + +FIFOhasBlock <= not fifo_pempty; + +-- +dout_pipe: process(toHostFifo_wr_clk) +begin + if rising_edge(toHostFifo_wr_clk) then + FIFOdout <= FIFOdout_s; + FIFOdvalid_p1_s <= FIFOdvalid_s; + FIFOdvalid <= FIFOdvalid_p1_s; + end if; +end process; + +end generate generate_all; +-- + + +-- +ICandEC_only: if generate_IC_EC_TTC_only generate +-- +FIFOdout <= (others =>'0'); +FIFOhasBlock <= '0'; +FIFOempty <= '1'; +-- +end generate ICandEC_only; +-- + +end Behavioral; diff --git a/sources/centralRouter/ToHostPCIeManager.vhd b/sources/centralRouter/ToHostPCIeManager.vhd index 4fe312ba791ab93f579643ea9119cb0e134de7c7..66238239bf55984e08b989c14c8832d3f5e4b24b 100644 --- a/sources/centralRouter/ToHostPCIeManager.vhd +++ b/sources/centralRouter/ToHostPCIeManager.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Julia Narevicius +--! Israel Grayzman +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/UPSTREAM_TRANSFER_MANAGER.vhd b/sources/centralRouter/UPSTREAM_TRANSFER_MANAGER.vhd index 532abfaa1eaafd286204f2a5438fe053eaa2e56a..035866b051f622a2853a291228e858b0139a563a 100644 --- a/sources/centralRouter/UPSTREAM_TRANSFER_MANAGER.vhd +++ b/sources/centralRouter/UPSTREAM_TRANSFER_MANAGER.vhd @@ -1,3 +1,23 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Israel Grayzman +--! Frans Schreuder +--! Elena Zhivun +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/UpstreamEgroup.vhd b/sources/centralRouter/UpstreamEgroup.vhd index 2e826fb8ae1b85ff88b51d40ac27fa8449a80a84..588cc187937522225485844ba8308b6991d12d0f 100644 --- a/sources/centralRouter/UpstreamEgroup.vhd +++ b/sources/centralRouter/UpstreamEgroup.vhd @@ -1,3 +1,24 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Israel Grayzman +--! Frans Schreuder +--! Elena Zhivun +--! Ricardo Luz +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/UpstreamMiniEgroup.vhd b/sources/centralRouter/UpstreamMiniEgroup.vhd index a783dcc171ff67cf2a362f208436e4ee2a07f144..1ca681106f5e462f589f2a023ec20c223c49cfe4 100644 --- a/sources/centralRouter/UpstreamMiniEgroup.vhd +++ b/sources/centralRouter/UpstreamMiniEgroup.vhd @@ -1,3 +1,24 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Israel Grayzman +--! Kai Chen +--! Frans Schreuder +--! Ricardo Luz +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/XoffMapping.vhd b/sources/centralRouter/XoffMapping.vhd index 7913c66797cd1d246a452e2259704463c20614a0..73dfec50a122fde38b0b434a8361c46033aae491 100644 --- a/sources/centralRouter/XoffMapping.vhd +++ b/sources/centralRouter/XoffMapping.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library work, ieee; use ieee.std_logic_1164.all; use work.pcie_package.all; diff --git a/sources/centralRouter/XoffMonitoring.vhd b/sources/centralRouter/XoffMonitoring.vhd index 914d36f7ffcfbdfa9c3af3fc9c79581ab2a88dc6..97a3beb1905ae1c97231814ea1892c92b5d9511e 100644 --- a/sources/centralRouter/XoffMonitoring.vhd +++ b/sources/centralRouter/XoffMonitoring.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Marius Wensing +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: University of Wuppertal --! Engineer: mwensing diff --git a/sources/centralRouter/block32word_counter.vhd b/sources/centralRouter/block32word_counter.vhd index 9f725d6e550a79f2247bda4e43af794e98121b0b..f5a5be2004ac5f4a4bf458e66239a107697ac489 100644 --- a/sources/centralRouter/block32word_counter.vhd +++ b/sources/centralRouter/block32word_counter.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/centralRouter.vhd b/sources/centralRouter/centralRouter.vhd index 2df8e6f72148858a5419da20ccf8c42d74e36406..68a856377b71b36c10311e300a3c73e28b75c612 100644 --- a/sources/centralRouter/centralRouter.vhd +++ b/sources/centralRouter/centralRouter.vhd @@ -1,3 +1,30 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Andrea Borga +--! Julia Narevicius +--! RHabraken +--! Mesfin Gebyehu +--! Israel Grayzman +--! Kai Chen +--! Marius Wensing +--! Elena Zhivun +--! Ricardo Luz +--! Ohad Shaked +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/centralRouter_TOPtest.vhd b/sources/centralRouter/centralRouter_TOPtest.vhd index 06e355742681f52cc7ff9d83083ad93db3b053a6..c38ba901af76b44a402efca0ef69db38aec20ba4 100644 --- a/sources/centralRouter/centralRouter_TOPtest.vhd +++ b/sources/centralRouter/centralRouter_TOPtest.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Julia Narevicius +--! Israel Grayzman +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/clock_and_reset_cr.vhd b/sources/centralRouter/clock_and_reset_cr.vhd index 0de7a305e5a529044d9be1d7b0741857905d1c02..cdbc922f0f19e86f951c59c760158ce8b0ec49da 100644 --- a/sources/centralRouter/clock_and_reset_cr.vhd +++ b/sources/centralRouter/clock_and_reset_cr.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!------------------------------------------------------------------------------ --! @@ -29,21 +46,6 @@ --! --! --! ------------------------------------------------------------------------------ ---! Virtex7 PCIe Gen3 DMA Core ---! ---! \copyright GNU LGPL License ---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br> ---! This library is free software; you can redistribute it and/or ---! modify it under the terms of the GNU Lesser General Public ---! License as published by the Free Software Foundation; either ---! version 3.0 of the License, or (at your option) any later version. ---! This library is distributed in the hope that it will be useful, ---! but WITHOUT ANY WARRANTY; without even the implied warranty of ---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU ---! Lesser General Public License for more details.<br> ---! You should have received a copy of the GNU Lesser General Public ---! License along with this library. ---! -- --! @brief ieee diff --git a/sources/centralRouter/counterNbit.vhd b/sources/centralRouter/counterNbit.vhd index 58a67131d8b69b8f4592340f8ea5571a529d0fbf..aed97452d97c68d538261b44182caa079224d0b7 100644 --- a/sources/centralRouter/counterNbit.vhd +++ b/sources/centralRouter/counterNbit.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: Ed Narevicius Lab. - Weizmann Institute of Science -- Engineer: J.Narevicius, juna@weizmann.ac.il diff --git a/sources/centralRouter/crConfigMap.vhd b/sources/centralRouter/crConfigMap.vhd index a2005ae52cd8fd0f44d6dc5848789e9ae4d21a55..59d0ff29abb9013d284b8a7ad74dfc16924339ff 100644 --- a/sources/centralRouter/crConfigMap.vhd +++ b/sources/centralRouter/crConfigMap.vhd @@ -1,3 +1,25 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Israel Grayzman +--! RHabraken +--! Mesfin Gebyehu +--! Kai Chen +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/crConfigMap_serial.vhd b/sources/centralRouter/crConfigMap_serial.vhd index 6d751a41b5cd3feb3e431acdb93deb4a39a76861..1666e9856d6b7f9724698a63ca7892832acbe65f 100644 --- a/sources/centralRouter/crConfigMap_serial.vhd +++ b/sources/centralRouter/crConfigMap_serial.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/crFHconfigMap.vhd b/sources/centralRouter/crFHconfigMap.vhd index 0029c259fcfe1e40e3fe1f82e6066cf805d62ea9..d6368a261098352b9ca431ce53c198438e4eb246 100644 --- a/sources/centralRouter/crFHconfigMap.vhd +++ b/sources/centralRouter/crFHconfigMap.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/crTHFMconfigMap.vhd b/sources/centralRouter/crTHFMconfigMap.vhd index 61cd2a64e541779bb22f9bddc3deafd483dec315..70f334c59be4f205a9c5bdd5ddcc05bbc02fc14c 100644 --- a/sources/centralRouter/crTHFMconfigMap.vhd +++ b/sources/centralRouter/crTHFMconfigMap.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/dataMUX24_256bit.vhd b/sources/centralRouter/dataMUX24_256bit.vhd index 84b1afef1ee844784eebcd074994ec4bbd7ba15e..387ece5bbb8583aa170fa90517c38ee841a8ec83 100644 --- a/sources/centralRouter/dataMUX24_256bit.vhd +++ b/sources/centralRouter/dataMUX24_256bit.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Julia Narevicius +--! Israel Grayzman +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/egroupSelector.vhd b/sources/centralRouter/egroupSelector.vhd index cffab730ea2c381c29f88bd7d1b8c5cddd556a57..cb55a7ccfcde5540a916e93246e4b038b606bb9c 100644 --- a/sources/centralRouter/egroupSelector.vhd +++ b/sources/centralRouter/egroupSelector.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Julia Narevicius +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/enc8b10_wrap.vhd b/sources/centralRouter/enc8b10_wrap.vhd index 2bec6398df93f3606dd3d542e22f4b57799368ae..cbe6bf8c0584a8dbbddca1815b32a27fa086665f 100644 --- a/sources/centralRouter/enc8b10_wrap.vhd +++ b/sources/centralRouter/enc8b10_wrap.vhd @@ -1,3 +1,23 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Israel Grayzman +--! Ricardo Luz +--! Ohad Shaked +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/enc_8b10.vhd b/sources/centralRouter/enc_8b10.vhd index 91fbcf946be4160ccfb02dc5079d3cb70d360649..8cb02aa0be51b0822524740999236e4cf970fc70 100644 --- a/sources/centralRouter/enc_8b10.vhd +++ b/sources/centralRouter/enc_8b10.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Israel Grayzman +--! Frans Schreuder +--! Ohad Shaked +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -- Chuck Benz, Hollis, NH Copyright (c)2002 -- -- The information and description contained herein is the diff --git a/sources/centralRouter/epathFIFOreadCTRL.vhd b/sources/centralRouter/epathFIFOreadCTRL.vhd index 6990d0b2e465a76613e69036e944e16304b6efdf..723344ac71aa4f3bcf0b4f5a12998094223a7fc9 100644 --- a/sources/centralRouter/epathFIFOreadCTRL.vhd +++ b/sources/centralRouter/epathFIFOreadCTRL.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Julia Narevicius +--! Israel Grayzman +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/eproc_upgrade/DownstreamEgroupNew.vhd b/sources/centralRouter/eproc_upgrade/DownstreamEgroupNew.vhd index 91e7d1177aba08e486a45bc8800b3e125831b3a5..9d4f9aa744958fba7851c7082d51b1d61df03771 100644 --- a/sources/centralRouter/eproc_upgrade/DownstreamEgroupNew.vhd +++ b/sources/centralRouter/eproc_upgrade/DownstreamEgroupNew.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna, fschreud diff --git a/sources/centralRouter/eproc_upgrade/DownstreamMiniEgroupNew.vhd b/sources/centralRouter/eproc_upgrade/DownstreamMiniEgroupNew.vhd index edc212e53da80880986b311d24806d7a4182b085..ba62331ec5ed8237bd08fad0fd456b631f263b30 100644 --- a/sources/centralRouter/eproc_upgrade/DownstreamMiniEgroupNew.vhd +++ b/sources/centralRouter/eproc_upgrade/DownstreamMiniEgroupNew.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/eproc_upgrade/EPATH_FIFO_DRIVER.vhd b/sources/centralRouter/eproc_upgrade/EPATH_FIFO_DRIVER.vhd index 37e1a1e2ba9d2ce3cbffb1f39ce18f311dbc00e2..b282bdc83fea24f34e9066948007e6723966bed7 100644 --- a/sources/centralRouter/eproc_upgrade/EPATH_FIFO_DRIVER.vhd +++ b/sources/centralRouter/eproc_upgrade/EPATH_FIFO_DRIVER.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/eproc_upgrade/EPROC_IN.vhd b/sources/centralRouter/eproc_upgrade/EPROC_IN.vhd index 8b237a5570b1f85bd154eabda97d2ca2d43e1760..ccda1960f86b84cf2eca9008588b47a4cbd43648 100644 --- a/sources/centralRouter/eproc_upgrade/EPROC_IN.vhd +++ b/sources/centralRouter/eproc_upgrade/EPROC_IN.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: Nikhef -- Engineer: Frans Schreuder diff --git a/sources/centralRouter/eproc_upgrade/EPROC_IN_HDLC.vhd b/sources/centralRouter/eproc_upgrade/EPROC_IN_HDLC.vhd index 2de382fe48666d347892a2692cf18a8be8079470..a71b6564a6e5dfeeb75903b4ad41a4b204f5831c 100644 --- a/sources/centralRouter/eproc_upgrade/EPROC_IN_HDLC.vhd +++ b/sources/centralRouter/eproc_upgrade/EPROC_IN_HDLC.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna, modified by f. schreuder diff --git a/sources/centralRouter/eproc_upgrade/EPROC_IN_dec8b10b.vhd b/sources/centralRouter/eproc_upgrade/EPROC_IN_dec8b10b.vhd index 1ed92e71ce5d4f5dfba80011cfe3748842beb3cd..f1ee52143cd12ac26e059d88670cf8e24bc0decb 100644 --- a/sources/centralRouter/eproc_upgrade/EPROC_IN_dec8b10b.vhd +++ b/sources/centralRouter/eproc_upgrade/EPROC_IN_dec8b10b.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: Nikhef -- Engineer: Frans Schreuder diff --git a/sources/centralRouter/eproc_upgrade/GBTdmDownstream.vhd b/sources/centralRouter/eproc_upgrade/GBTdmDownstream.vhd index 6149c5dd91f0e3688aada2c526c173561aab2715..a7e3fdddffc5d949094f10f51431c14f4a7a8c19 100644 --- a/sources/centralRouter/eproc_upgrade/GBTdmDownstream.vhd +++ b/sources/centralRouter/eproc_upgrade/GBTdmDownstream.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/eproc_upgrade/InputShifter16b.vhd b/sources/centralRouter/eproc_upgrade/InputShifter16b.vhd index 63c40fcd60b41fc5a143a117407a88a9c28ff927..9e7477a5c8778d15dce0d9b25baef2508e21f7c8 100644 --- a/sources/centralRouter/eproc_upgrade/InputShifter16b.vhd +++ b/sources/centralRouter/eproc_upgrade/InputShifter16b.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: Nikhef -- Engineer: Frans Schreuder diff --git a/sources/centralRouter/eproc_upgrade/InputShifterNb.vhd b/sources/centralRouter/eproc_upgrade/InputShifterNb.vhd index 4787234fd9eab2a6b9ca9051c5766f1df9042c32..6125b704024360ec6bb42ecf1c7335d418a72e53 100644 --- a/sources/centralRouter/eproc_upgrade/InputShifterNb.vhd +++ b/sources/centralRouter/eproc_upgrade/InputShifterNb.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: Nikhef -- Engineer: Frans Schreuder diff --git a/sources/centralRouter/eproc_upgrade/epathFIFOreadCTRL.vhd b/sources/centralRouter/eproc_upgrade/epathFIFOreadCTRL.vhd index 01d4f2ca984d46cb3663d8447321d0913f6b5522..5c905c7a12c19adbb9180b27b66183c40dbafe01 100644 --- a/sources/centralRouter/eproc_upgrade/epathFIFOreadCTRL.vhd +++ b/sources/centralRouter/eproc_upgrade/epathFIFOreadCTRL.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/eproc_upgrade/mux40to80MHz.vhd b/sources/centralRouter/eproc_upgrade/mux40to80MHz.vhd index 025690561815543e4624a47cb7134bcb8c80fc71..44144f1c63ab53663f27c71cd447591af48e73c7 100644 --- a/sources/centralRouter/eproc_upgrade/mux40to80MHz.vhd +++ b/sources/centralRouter/eproc_upgrade/mux40to80MHz.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: Nikhef -- Engineer: Frans Schreuder diff --git a/sources/centralRouter/eproc_upgrade/synt_DownstreamEgroups.vhd b/sources/centralRouter/eproc_upgrade/synt_DownstreamEgroups.vhd index 18e6be2c18644d2439f5447966c6d6d572dfca73..dd48e404a32100aad7666cf7bac4ae2409cc5489 100644 --- a/sources/centralRouter/eproc_upgrade/synt_DownstreamEgroups.vhd +++ b/sources/centralRouter/eproc_upgrade/synt_DownstreamEgroups.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: -- Engineer: diff --git a/sources/centralRouter/eproc_upgrade/tb_EGROUP.vhd b/sources/centralRouter/eproc_upgrade/tb_EGROUP.vhd index 79cb8e4270c9932718877dd6f8c544fd15f335d5..863801445bee8dd14b8ef760ecac74523e4f3f62 100644 --- a/sources/centralRouter/eproc_upgrade/tb_EGROUP.vhd +++ b/sources/centralRouter/eproc_upgrade/tb_EGROUP.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: -- Engineer: diff --git a/sources/centralRouter/eproc_upgrade/tb_EPROC.vhd b/sources/centralRouter/eproc_upgrade/tb_EPROC.vhd index 7957254ec7c631c384fb4bb283e60ed321db5df1..f1412e074629becafb4985286c974600cd91b33f 100644 --- a/sources/centralRouter/eproc_upgrade/tb_EPROC.vhd +++ b/sources/centralRouter/eproc_upgrade/tb_EPROC.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: -- Engineer: diff --git a/sources/centralRouter/fifo16KB_256to32_bit.vhd b/sources/centralRouter/fifo16KB_256to32_bit.vhd index 2ec876fecfa0ea411f349d492c3f4f8160190862..8fee372dca1ee589f317dba45b690a8279d07948 100644 --- a/sources/centralRouter/fifo16KB_256to32_bit.vhd +++ b/sources/centralRouter/fifo16KB_256to32_bit.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/fifo_16to256_bit.vhd b/sources/centralRouter/fifo_16to256_bit.vhd index efbb0f299411ca82951334d07a1de1d5389a14d2..0af9186eaa1df5b383d5513eceb289324597c232 100644 --- a/sources/centralRouter/fifo_16to256_bit.vhd +++ b/sources/centralRouter/fifo_16to256_bit.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Frans Schreuder +--! Israel Grayzman +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/fifo_256to16_bit.vhd b/sources/centralRouter/fifo_256to16_bit.vhd index 3d721ed32e06a7b789b2971385d72a328631063d..bb701ca1570d15eb65580b8c2638c3159819eae7 100644 --- a/sources/centralRouter/fifo_256to16_bit.vhd +++ b/sources/centralRouter/fifo_256to16_bit.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/israelgr/BLOCK_WORD_COUNTER.vhd b/sources/centralRouter/israelgr/BLOCK_WORD_COUNTER.vhd index e63c6f4ecf2afd470a75f34d8e15c22e75334710..66ff7250c8ae1869b3fbd8a804b89ec7c1d35a29 100644 --- a/sources/centralRouter/israelgr/BLOCK_WORD_COUNTER.vhd +++ b/sources/centralRouter/israelgr/BLOCK_WORD_COUNTER.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Julia Narevicius +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/israelgr/DownstreamEgroup.vhd b/sources/centralRouter/israelgr/DownstreamEgroup.vhd index aa4147c2ef972aab5e5d25ecadef10458373058b..f5a0979cf68d6eeb780a5793693319b5cccf90ce 100644 --- a/sources/centralRouter/israelgr/DownstreamEgroup.vhd +++ b/sources/centralRouter/israelgr/DownstreamEgroup.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/israelgr/DownstreamMiniEgroup.vhd b/sources/centralRouter/israelgr/DownstreamMiniEgroup.vhd index dbe30a1a581ff50db3a52f415addc80a3067fc0f..7d7d83d08a7afaa798a72d4f8959993bfba14ae0 100644 --- a/sources/centralRouter/israelgr/DownstreamMiniEgroup.vhd +++ b/sources/centralRouter/israelgr/DownstreamMiniEgroup.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Julia Narevicius +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/israelgr/EPROC_FIFO_DRIVER.vhd b/sources/centralRouter/israelgr/EPROC_FIFO_DRIVER.vhd index 23658c678e0583c32c4e1f2924fd629a32f8258e..2545f1ecbff1fe550a233b29f16694fb3cbbca2e 100644 --- a/sources/centralRouter/israelgr/EPROC_FIFO_DRIVER.vhd +++ b/sources/centralRouter/israelgr/EPROC_FIFO_DRIVER.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Julia Narevicius +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/israelgr/EprocDin.vhd b/sources/centralRouter/israelgr/EprocDin.vhd index 135bfa63538d52c8c4953bf9efa5e8c2b45a27a7..f70f076f743b1913845b5f55bc41ee64712750aa 100644 --- a/sources/centralRouter/israelgr/EprocDin.vhd +++ b/sources/centralRouter/israelgr/EprocDin.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ------------------------------------------------------------------------------------------------------------------ -- Company : EDAQ WIS. -- Engineer : Israel Grayzman (israel.grayzman@weizmann.ac.il) diff --git a/sources/centralRouter/israelgr/EprocHDLC.vhd b/sources/centralRouter/israelgr/EprocHDLC.vhd index 97e12802035c7dba66d42a8230ce05d81118d473..0e9790aac3fd86f5edb80e19ddd5481639e0ea72 100644 --- a/sources/centralRouter/israelgr/EprocHDLC.vhd +++ b/sources/centralRouter/israelgr/EprocHDLC.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ------------------------------------------------------------------------------------------------------------------ -- Company : EDAQ WIS. -- Engineer : Israel Grayzman (israel.grayzman@weizmann.ac.il) diff --git a/sources/centralRouter/israelgr/GBTdmDownstream.vhd b/sources/centralRouter/israelgr/GBTdmDownstream.vhd index 3c0138c109c50cca7597671cdead9139f89c8c32..36c5da79ae59004b1597fc052c768427a93af039 100644 --- a/sources/centralRouter/israelgr/GBTdmDownstream.vhd +++ b/sources/centralRouter/israelgr/GBTdmDownstream.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Julia Narevicius +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/israelgr/epathFIFOreadCTRL.vhd b/sources/centralRouter/israelgr/epathFIFOreadCTRL.vhd index 01d4f2ca984d46cb3663d8447321d0913f6b5522..db9f77e0d5c175aa6285101ed991f0c42487ee58 100644 --- a/sources/centralRouter/israelgr/epathFIFOreadCTRL.vhd +++ b/sources/centralRouter/israelgr/epathFIFOreadCTRL.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Julia Narevicius +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/monitorMUX.vhd b/sources/centralRouter/monitorMUX.vhd index 1ec7b64e8906c9b88ca306bcd65fe461aa2adfbe..6a123193ff4c4aaea19ed5f6fdcb8f5d1539e914 100644 --- a/sources/centralRouter/monitorMUX.vhd +++ b/sources/centralRouter/monitorMUX.vhd @@ -1,3 +1,23 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! RHabraken +--! Mesfin Gebyehu +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/pulse_fall_pw01.vhd b/sources/centralRouter/pulse_fall_pw01.vhd index cef6b931f65e4bb4b24092520360acfd6775623c..057f1e69769ecaa505bada7bfce729f0cb78f4e8 100644 --- a/sources/centralRouter/pulse_fall_pw01.vhd +++ b/sources/centralRouter/pulse_fall_pw01.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: Weizmann Institute of Science --! Engineer: juna diff --git a/sources/centralRouter/pulse_pdxx_pwxx.vhd b/sources/centralRouter/pulse_pdxx_pwxx.vhd index a096198e6678ca9f9ced651e252d27308f57c4a4..4a920e527df352353a2dd7e39b053878f2d79fb1 100644 --- a/sources/centralRouter/pulse_pdxx_pwxx.vhd +++ b/sources/centralRouter/pulse_pdxx_pwxx.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Julia Narevicius +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: Weizmann Institute of Science --! Engineer: juna diff --git a/sources/centralRouter/re56demux.vhd b/sources/centralRouter/re56demux.vhd index c5f2d39d801bbae6c2ca59353b11c185a7ca819e..6e20e1b4b73f5a481c376ffab2de6a6c8d0117b2 100644 --- a/sources/centralRouter/re56demux.vhd +++ b/sources/centralRouter/re56demux.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/re64demux.vhd b/sources/centralRouter/re64demux.vhd index 19ff997108ed1b2760d7f97415a3677854a98d2d..ea041927de6822aa07ac4a0ecb4c619388e3ed76 100644 --- a/sources/centralRouter/re64demux.vhd +++ b/sources/centralRouter/re64demux.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Julia Narevicius +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/reg16to32bit.vhd b/sources/centralRouter/reg16to32bit.vhd index 690761961c446668d104a7969ba75b3ba9fe3dae..1b9e8a9e4ee024b500a5d38d6e150724c034650a 100644 --- a/sources/centralRouter/reg16to32bit.vhd +++ b/sources/centralRouter/reg16to32bit.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Julia Narevicius +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/reg8to16bit.vhd b/sources/centralRouter/reg8to16bit.vhd index d28e92fae7af5c3f8b3c9e5ba0ea833e6a1585a1..da296cc11cd4aa5b659b3ea2d57649a591a75824 100644 --- a/sources/centralRouter/reg8to16bit.vhd +++ b/sources/centralRouter/reg8to16bit.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Julia Narevicius +--! Israel Grayzman +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/selector24bit.vhd b/sources/centralRouter/selector24bit.vhd index 5830a0cdff36f36d129ad096ffe4bf2c9d7d7b43..41609ca12a4b7f7d1c1023f2e01259443e8517e1 100644 --- a/sources/centralRouter/selector24bit.vhd +++ b/sources/centralRouter/selector24bit.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/thFMch_fifo_driver.vhd b/sources/centralRouter/thFMch_fifo_driver.vhd index 4050152681c59e6963c85035c8f30c6c988e274e..445eca6e061ba9483e9ec3ec064aee51c4797e28 100644 --- a/sources/centralRouter/thFMch_fifo_driver.vhd +++ b/sources/centralRouter/thFMch_fifo_driver.vhd @@ -1,3 +1,25 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Enrico Gamberini +--! William Wulff +--! Thei Wijnen +--! Rene +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/upstreamDataSelector.vhd b/sources/centralRouter/upstreamDataSelector.vhd index e138656ae5187e4f26ea8cec7167e5ad96f75864..3a8d1a35d6ccaeeec4d9336d1ae912c89a34e6f1 100644 --- a/sources/centralRouter/upstreamDataSelector.vhd +++ b/sources/centralRouter/upstreamDataSelector.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/upstreamEpathFifoWrap.vhd b/sources/centralRouter/upstreamEpathFifoWrap.vhd index 658fc0eabd03b32a6bbb2e326efd72f15b5d1fdd..10a056b5eca79368ea1d459b7dc4764d700008ca 100644 --- a/sources/centralRouter/upstreamEpathFifoWrap.vhd +++ b/sources/centralRouter/upstreamEpathFifoWrap.vhd @@ -1,3 +1,23 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! RHabraken +--! Israel Grayzman +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/centralRouter/upstreamEpathFifoWrap_bnl711.vhd b/sources/centralRouter/upstreamEpathFifoWrap_bnl711.vhd index c955c0da6883c34676ab331aa2f18a9a757f7757..1dece82419aa972c08a2034afddbba36a24de4ef 100644 --- a/sources/centralRouter/upstreamEpathFifoWrap_bnl711.vhd +++ b/sources/centralRouter/upstreamEpathFifoWrap_bnl711.vhd @@ -1,3 +1,23 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! RHabraken +--! Frans Schreuder +--! Israel Grayzman +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/decoding/AlignmentPulseGen.vhd b/sources/decoding/AlignmentPulseGen.vhd index c6422868025a7294f762ddbf6e4c8d7b2db2c02e..29bae513dcbfeab8eb2602a1acf9068ef58b9563 100644 --- a/sources/decoding/AlignmentPulseGen.vhd +++ b/sources/decoding/AlignmentPulseGen.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: -- Engineer: diff --git a/sources/decoding/ByteToAxiStream.vhd b/sources/decoding/ByteToAxiStream.vhd index a4f6f15449b992e149e155d382c324a4eef835ac..649370885f46066796c08cbb6db9d112b3e8b03a 100644 --- a/sources/decoding/ByteToAxiStream.vhd +++ b/sources/decoding/ByteToAxiStream.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! mtrovato +--! Carsten Dülsen +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: -- Engineer: diff --git a/sources/decoding/ByteToAxiStream32b.vhd b/sources/decoding/ByteToAxiStream32b.vhd index 63a68399ec7d9385b71445548fcfda3b76b43a03..3fd94e239f9891ee60dc09c527c78d918ee3723a 100644 --- a/sources/decoding/ByteToAxiStream32b.vhd +++ b/sources/decoding/ByteToAxiStream32b.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! mtrovato +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: -- Engineer: diff --git a/sources/decoding/DecEgroup_8b10b.vhd b/sources/decoding/DecEgroup_8b10b.vhd index 1fa78ffc99e23281e51efdd44412415eca7d711a..333abec2bc663bfb42dff8bd123c5ecf4cd18de2 100644 --- a/sources/decoding/DecEgroup_8b10b.vhd +++ b/sources/decoding/DecEgroup_8b10b.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Carsten Dülsen +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; diff --git a/sources/decoding/Decoder8b10b.vhd b/sources/decoding/Decoder8b10b.vhd index 706ff32f71915304f19fab16fc2f36a8d04f9c89..3b2cd3d1e66e97d4b328ae94f79fa94249432b4f 100644 --- a/sources/decoding/Decoder8b10b.vhd +++ b/sources/decoding/Decoder8b10b.vhd @@ -1,3 +1,23 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Israel Grayzman +--! Carsten Dülsen +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/decoding/DecoderHDLC.vhd b/sources/decoding/DecoderHDLC.vhd index a107dcafc09fdae1c5e3808d131e04571bb49bae..6ce9336f55d9a9786b663f22ce217ea5e4f96768 100644 --- a/sources/decoding/DecoderHDLC.vhd +++ b/sources/decoding/DecoderHDLC.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Marius Wensing +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ------------------------------------------------------- --! @file --! @author Julian Mendez <julian.mendez@cern.ch> (CERN - EP-ESE-BE) diff --git a/sources/decoding/DecodingEgroupGBT.vhd b/sources/decoding/DecodingEgroupGBT.vhd index 4c4b029cfa5a714fbb01a01d74382e198f284333..5d35253e5830c7ea11a2dd14f303831e34f93c04 100644 --- a/sources/decoding/DecodingEgroupGBT.vhd +++ b/sources/decoding/DecodingEgroupGBT.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Marius Wensing +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + diff --git a/sources/decoding/DecodingEpathGBT.vhd b/sources/decoding/DecodingEpathGBT.vhd index 1ac5313eaf8d48e704ecc7c2df95b1551bea452c..706ca77f5b6e021765c3033256c382ee4f9cbffd 100644 --- a/sources/decoding/DecodingEpathGBT.vhd +++ b/sources/decoding/DecodingEpathGBT.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Marius Wensing +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: -- Engineer: diff --git a/sources/decoding/DecodingGearBox.vhd b/sources/decoding/DecodingGearBox.vhd index 2eba4fcf270b8cee4398d58bcec04a76f77a9cef..a7ceeca8d47e7226f54e900b4b639e840b5b318d 100644 --- a/sources/decoding/DecodingGearBox.vhd +++ b/sources/decoding/DecodingGearBox.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -- Use standard library diff --git a/sources/decoding/DecodingPixelLinkLPGBT.vhd b/sources/decoding/DecodingPixelLinkLPGBT.vhd index 5260e830abb1d374760c3d96d9fa87d5db73b889..b38e0d34e1ae141f591ecc029ea91dfc0ffccf15 100644 --- a/sources/decoding/DecodingPixelLinkLPGBT.vhd +++ b/sources/decoding/DecodingPixelLinkLPGBT.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! mtrovato +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library IEEE; use IEEE.STD_LOGIC_1164.ALL; use work.axi_stream_package.all; diff --git a/sources/decoding/FullToAxis.vhd b/sources/decoding/FullToAxis.vhd index d48b34bd27b145c6fc444416d6a86f4684e07599..fa7fd502da5de243f618d29c353f6f0732cf4f9e 100644 --- a/sources/decoding/FullToAxis.vhd +++ b/sources/decoding/FullToAxis.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.numeric_std.all; diff --git a/sources/decoding/dec_8b10b.vhd b/sources/decoding/dec_8b10b.vhd index e969ffc7ac1451f4e21e7898e820731485f7487c..43bf91fcc72f87f57d92c73e1a6b8ed95b3d2c51 100644 --- a/sources/decoding/dec_8b10b.vhd +++ b/sources/decoding/dec_8b10b.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -- Chuck Benz, Hollis, NH Copyright (c)2002 -- -- The information and description contained herein is the diff --git a/sources/decoding/decoding.vhd b/sources/decoding/decoding.vhd index 95775521f139464ef2a9a3b71832c722a9d4d952..f7d63289d4e691113aff6a7d5cb327baf03c4910 100644 --- a/sources/decoding/decoding.vhd +++ b/sources/decoding/decoding.vhd @@ -1,3 +1,24 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Filiberto Bonini +--! Marius Wensing +--! mtrovato +--! Elena Zhivun +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: -- Engineer: diff --git a/sources/encoding/AxiStreamToByte.vhd b/sources/encoding/AxiStreamToByte.vhd index c62147e9564ccc5c379b270955cc201d811bc0d3..b669f4b56eaa695d011b30620f32e0a215ad5218 100644 --- a/sources/encoding/AxiStreamToByte.vhd +++ b/sources/encoding/AxiStreamToByte.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Nico Giangiacomi +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: University of Bologna -- Engineer: Nico Giangiacomi (nico.giangiacomi@cern.ch) diff --git a/sources/encoding/Encoder8b10b.vhd b/sources/encoding/Encoder8b10b.vhd index 2daea01715ce030b641f89d947d91b8fa8b0097a..f3a1df0a625c6ebae760e4d2525a37a316ebf8d1 100644 --- a/sources/encoding/Encoder8b10b.vhd +++ b/sources/encoding/Encoder8b10b.vhd @@ -1,3 +1,24 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Israel Grayzman +--! Fabrizio Alfonsi +--! Nico Giangiacomi +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: University and INFN Bologna --! Engineer: Nico Giangiacomi diff --git a/sources/encoding/Encoder8b10b_tb.vhd b/sources/encoding/Encoder8b10b_tb.vhd index 20fce2fed4961a53258c42adcb8bceee9eb8ca4a..acc6211df3054b5f8fd528acb614b95fbf6f6f2c 100644 --- a/sources/encoding/Encoder8b10b_tb.vhd +++ b/sources/encoding/Encoder8b10b_tb.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: University and INFN Bologna --! Engineer: Nico Giangiacomi diff --git a/sources/encoding/EncoderFEI4.vhd b/sources/encoding/EncoderFEI4.vhd index 855e6cc993f67d95600d44282ba0853f413bc9b6..0d196dd37653d631fae749a264b3716bf112e94a 100644 --- a/sources/encoding/EncoderFEI4.vhd +++ b/sources/encoding/EncoderFEI4.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Marius Wensing +--! Frans Schreuder +--! Nico Giangiacomi +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: University of Wuppertal --! Engineer: Carsten Duelsen <carsten.dulsen@cern.ch> diff --git a/sources/encoding/EncoderHDLC.vhd b/sources/encoding/EncoderHDLC.vhd index b04128d91f3e3ca09c7cfb658e506976b364eea7..ee52ae051ecfe7caf50b95618eff71837149b8e9 100644 --- a/sources/encoding/EncoderHDLC.vhd +++ b/sources/encoding/EncoderHDLC.vhd @@ -1,3 +1,26 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Israel Grayzman +--! Fabrizio Alfonsi +--! falfonsi +--! Nico Giangiacomi +--! Frans Schreuder +--! Marius Wensing +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library IEEE; use IEEE.STD_LOGIC_1164.ALL; diff --git a/sources/encoding/EncoderTTC.vhd b/sources/encoding/EncoderTTC.vhd index b64373f47499556f7a2331f488e239390c4a203e..e74744ccfd7299f5f6bae1f4c5762fafde106f33 100644 --- a/sources/encoding/EncoderTTC.vhd +++ b/sources/encoding/EncoderTTC.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Kazuki Todome +--! Nico Giangiacomi +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: University and INFN Bologna --! Engineer: Nico Giangiacomi diff --git a/sources/encoding/EncodingEgroupGBT.vhd b/sources/encoding/EncodingEgroupGBT.vhd index 08604783728b2d3508531a18ef1acf939e2d64c9..15d539f24bcd023418d8f54dd9b90bdf00e9f907 100644 --- a/sources/encoding/EncodingEgroupGBT.vhd +++ b/sources/encoding/EncodingEgroupGBT.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Marius Wensing +--! Frans Schreuder +--! Nico Giangiacomi +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: University of Bologna -- Engineer: Nico Giangiacomi diff --git a/sources/encoding/EncodingEgroupLPGBT.vhd b/sources/encoding/EncodingEgroupLPGBT.vhd index 7bc5c939208481b5fc83321d425413a46acbdc59..a655b11a5203f6995b6e10b4cca2e4607062d22b 100644 --- a/sources/encoding/EncodingEgroupLPGBT.vhd +++ b/sources/encoding/EncodingEgroupLPGBT.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Nico Giangiacomi +--! mtrovato +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.numeric_std.all; diff --git a/sources/encoding/EncodingEpathGBT.vhd b/sources/encoding/EncodingEpathGBT.vhd index 952c9782a33b7086a2bbea57e73cf0f0bc4d9bba..ea3c7433a7a3d52cdf74a0f08f150d65299c7fee 100644 --- a/sources/encoding/EncodingEpathGBT.vhd +++ b/sources/encoding/EncodingEpathGBT.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Marius Wensing +--! Frans Schreuder +--! Nico Giangiacomi +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: University of Bologna -- Engineer: Nico Giangiacomi diff --git a/sources/encoding/EncodingEpathGBT_tb.vhd b/sources/encoding/EncodingEpathGBT_tb.vhd index 6f98963a437af81516bfc61ddd1d26f0c177d3e2..b2f803667dc57cfd3070c63b8ffef188271e3b28 100644 --- a/sources/encoding/EncodingEpathGBT_tb.vhd +++ b/sources/encoding/EncodingEpathGBT_tb.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Nico Giangiacomi +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -------------------------------------------------------------------------------- -- Company: -- Engineer: diff --git a/sources/encoding/EncodingEpathLPGBT.vhd b/sources/encoding/EncodingEpathLPGBT.vhd index 37214d9b2d96dca24c6dc7f91f355a84d2191b44..9e7f747599c6a37c092815209fab8bfaab130963 100644 --- a/sources/encoding/EncodingEpathLPGBT.vhd +++ b/sources/encoding/EncodingEpathLPGBT.vhd @@ -1,3 +1,23 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Marius Wensing +--! Frans Schreuder +--! Nico Giangiacomi +--! mtrovato +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library IEEE; use IEEE.STD_LOGIC_1164.ALL; use work.axi_stream_package.all; diff --git a/sources/encoding/EncodingGearBox.vhd b/sources/encoding/EncodingGearBox.vhd index 9baba3a41cac4877cb7c65b2f84d1e49c9a26144..9a57dc837d12656a52b5796d8ada31c77f70d11d 100644 --- a/sources/encoding/EncodingGearBox.vhd +++ b/sources/encoding/EncodingGearBox.vhd @@ -1,3 +1,23 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Nico Giangiacomi +--! Kazuki Todome +--! mtrovato +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: University of Bologna -- Engineer: Nico Giangiacomi diff --git a/sources/encoding/ExtendedTestPulse.vhd b/sources/encoding/ExtendedTestPulse.vhd index e515521475d739229e05b775f1bb97bb5d8e62a3..a9b3317a59f273f4d94e2d9ea5055a308939e478 100644 --- a/sources/encoding/ExtendedTestPulse.vhd +++ b/sources/encoding/ExtendedTestPulse.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Israel Grayzman +--! Nico Giangiacomi +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: Israel Grayzman (israel.grayzman@weizmann.ac.il) diff --git a/sources/encoding/FIFO_bit_stuffing.vhd b/sources/encoding/FIFO_bit_stuffing.vhd index 801a01f574dc599c1d88972038c773bb7da012b8..ed2df1bb004d92a83082b62dbd80ee63a6f3a8af 100644 --- a/sources/encoding/FIFO_bit_stuffing.vhd +++ b/sources/encoding/FIFO_bit_stuffing.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! falfonsi +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ------------------------------------------------------- --! @file --! @author Julian Mendez <julian.mendez@cern.ch> (CERN - EP-ESE-BE) diff --git a/sources/encoding/enc_8b10b.vhd b/sources/encoding/enc_8b10b.vhd index 91fbcf946be4160ccfb02dc5079d3cb70d360649..b212229a8c6122b8c2cf8671d7f447b320e21ed8 100644 --- a/sources/encoding/enc_8b10b.vhd +++ b/sources/encoding/enc_8b10b.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Israel Grayzman +--! Nico Giangiacomi +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -- Chuck Benz, Hollis, NH Copyright (c)2002 -- -- The information and description contained herein is the diff --git a/sources/encoding/encoding.vhd b/sources/encoding/encoding.vhd index b0a67516be0ac5e894649279a8910b53df569280..b4218dea575d617368d9cae315c57c9bf131ba38 100644 --- a/sources/encoding/encoding.vhd +++ b/sources/encoding/encoding.vhd @@ -1,3 +1,24 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Marius Wensing +--! Nico Giangiacomi +--! Elena Zhivun +--! mtrovato +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: -- Engineer: diff --git a/sources/encoding/fifo_v1.vhd b/sources/encoding/fifo_v1.vhd index 430ca796a2e580c4330c0e5b404445ae9d32050f..485d9f76f46650d453ed4b146c866373840dd9ef 100644 --- a/sources/encoding/fifo_v1.vhd +++ b/sources/encoding/fifo_v1.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! falfonsi +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -- This file is part of VHDL-FIFO. -- -- VHDL-FIFO is free software: you can redistribute it and/or modify diff --git a/sources/feligHG710/PRandomDGen/randomd_gen.vhd b/sources/feligHG710/PRandomDGen/randomd_gen.vhd old mode 100755 new mode 100644 index a16d6945d54b3cd88afb282f91cf4c5536c514d5..b8637b01e3be8adb0f5effb47c4aa0d49145cb86 --- a/sources/feligHG710/PRandomDGen/randomd_gen.vhd +++ b/sources/feligHG710/PRandomDGen/randomd_gen.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! mtrovato +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --************** Psedo Random data generator********************** -- date 21-2-2019 -- 10bit PRDG with LUT to set the disterubution diff --git a/sources/feligHG710/checkers/gbtword_checker.vhd b/sources/feligHG710/checkers/gbtword_checker.vhd index bceb9a8e36ef9c9ec3bd3b0b1060a1e2af8abbe7..ae3942da66c2939b9fb1956b2b5b1d1933317898 100644 --- a/sources/feligHG710/checkers/gbtword_checker.vhd +++ b/sources/feligHG710/checkers/gbtword_checker.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! mtrovato +--! Shelfali Saxena +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: -- Engineer: diff --git a/sources/feligHG710/data_generator/elink_data_emulator.vhd b/sources/feligHG710/data_generator/elink_data_emulator.vhd index d7a45285bbfe41f198e71d36c04c8303903438c7..87b967e86fda0d57e98d2c2658c09c6f3ad55e88 100644 --- a/sources/feligHG710/data_generator/elink_data_emulator.vhd +++ b/sources/feligHG710/data_generator/elink_data_emulator.vhd @@ -1,3 +1,23 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Shelfali Saxena +--! mtrovato +--! Ricardo Luz +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --============================================================================== -- -- Argonne National Laboratory @@ -61,9 +81,9 @@ port ( --MT 2 (Fran 2) FMEMU_RANDOM_RAM_ADDR : in std_logic_vector(9 downto 0); -- Controls the address of the ramblock for the random number generator FMEMU_RANDOM_RAM : in bitfield_fmemu_random_ram_t_type; - FMEMU_RANDOM_CONTROL : in bitfield_fmemu_random_control_w_type; + FMEMU_RANDOM_CONTROL : in bitfield_fmemu_random_control_w_type--; --SS (SWAP LSB MSB) - fhCR_REVERSE_10B : in std_logic + --fhCR_REVERSE_10B : in std_logic ); end entity elink_data_emulator; @@ -337,10 +357,10 @@ begin -- efifoDout_8b10b <= enc10bit(0) & enc10bit(1) & enc10bit(2) & enc10bit(3) & enc10bit(4) & enc10bit(5) & enc10bit(6) & enc10bit(7) & enc10bit(8) & enc10bit(9); -- Swap MSB LSB added SS - efifoDout_8b10b <= enc10bit(0) & enc10bit(1) & enc10bit(2) & enc10bit(3) & enc10bit(4) & enc10bit(5) & enc10bit(6) & enc10bit(7) & enc10bit(8) & enc10bit(9) - when (fhCR_REVERSE_10B = '0') -- LSB First when '0' controlled by register CR_REVERSE_10B.FROMHOST --SS - else -- MSB First when '1' - enc10bit(9) & enc10bit(8) & enc10bit(7) & enc10bit(6) & enc10bit(5) & enc10bit(4) & enc10bit(3) & enc10bit(2) & enc10bit(1) & enc10bit(0); + efifoDout_8b10b <= enc10bit(0) & enc10bit(1) & enc10bit(2) & enc10bit(3) & enc10bit(4) & enc10bit(5) & enc10bit(6) & enc10bit(7) & enc10bit(8) & enc10bit(9); + --when (fhCR_REVERSE_10B = '0') -- LSB First when '0' controlled by register CR_REVERSE_10B.FROMHOST --SS + --else -- MSB First when '1' + --enc10bit(9) & enc10bit(8) & enc10bit(7) & enc10bit(6) & enc10bit(5) & enc10bit(4) & enc10bit(3) & enc10bit(2) & enc10bit(1) & enc10bit(0); --MT checker 2 --SOP/EOP=K28.1/K28.6=3c/dc (centralrouter_package) are encoded as in https://en.wikipedia.org/wiki/8b/10b_encoding @@ -350,6 +370,12 @@ begin data_chk2 <= efifoDout_8b10b; valid_chk2 <= enc10bitRdy; --isEOP_chk2 <= '0'; + --! FS: From centralRouter_package.vhd: let's use thes instead of X"0F9" etc. X"0F9" represents a 12-bit number, + --! FS: officcially the comparisons should always be false. Vivado seems to swallow it though + --! FS: constant EOCp : std_logic_vector (9 downto 0) := "0011110110"; -- -K.28.6 + --! FS: constant EOCn : std_logic_vector (9 downto 0) := "1100001001"; -- +K.28.6 + --! FS: constant SOCp : std_logic_vector (9 downto 0) := "0011111001"; -- -K.28.1 + --! FS: constant SOCn : std_logic_vector (9 downto 0) := "1100000110"; -- +K.28.1 checker2: process (clk240, rst_chk2) begin if rst_chk2 = '1' then @@ -363,11 +389,11 @@ begin count_chk2 <= (others => '0'); err_chk2 <= '0'; isEOP_chk2 <= '0'; - if data_chk2 = X"306" or data_chk2 = X"0F9" then --SOP + if data_chk2 = SOCn or data_chk2 = SOCp then --SOP state_chk2 <= st_start; end if; when st_start => - if data_chk2 = X"0F6" or data_chk2 = X"309" then --EOP + if data_chk2 = EOCp or data_chk2 = EOCn then --EOP state_chk2 <= st_idl; count_chk2 <= (others => '0'); isEOP_chk2 <= '1'; @@ -377,7 +403,7 @@ begin isEOP_chk2 <= '0'; end if; when st_count => - if data_chk2 = X"0F6" or data_chk2 = X"309" then --SOP + if data_chk2 = EOCp or data_chk2 = EOCn then --EOP state_chk2 <= st_idl; count_chk2 <= (others => '0'); isEOP_chk2 <= '1'; diff --git a/sources/feligHG710/data_generator/elink_packet_generator.vhd b/sources/feligHG710/data_generator/elink_packet_generator.vhd index 948255efd58d5c4bafdfb48547068a7707c68f4f..747df12b7c3441a04dc6bbde2308c43477e27af6 100644 --- a/sources/feligHG710/data_generator/elink_packet_generator.vhd +++ b/sources/feligHG710/data_generator/elink_packet_generator.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! mtrovato +--! Ricardo Luz +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --============================================================================== -- -- Argonne National Laboratory diff --git a/sources/feligHG710/data_generator/elink_printer.vhd b/sources/feligHG710/data_generator/elink_printer.vhd index 6cc75038bd548ba527a5b8b5897bb9687c5a45d6..51b463de0f3eb7f6c3e966822089efd70e12b43c 100644 --- a/sources/feligHG710/data_generator/elink_printer.vhd +++ b/sources/feligHG710/data_generator/elink_printer.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Shelfali Saxena +--! mtrovato +--! Ricardo Luz +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --============================================================================== -- -- Argonne National Laboratory diff --git a/sources/feligHG710/data_generator/elink_printer_bit_feeder.vhd b/sources/feligHG710/data_generator/elink_printer_bit_feeder.vhd index 55cc230270ca995f3d12cba20c6f0d490f80bb3e..6d28a2e0f59b5838512c92fb088e2292b60274b1 100644 --- a/sources/feligHG710/data_generator/elink_printer_bit_feeder.vhd +++ b/sources/feligHG710/data_generator/elink_printer_bit_feeder.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! mtrovato +--! Ricardo Luz +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --============================================================================== -- -- Argonne National Laboratory diff --git a/sources/feligHG710/data_generator/elink_printer_bit_feeder_v2.vhd b/sources/feligHG710/data_generator/elink_printer_bit_feeder_v2.vhd index 9adbad4585b417567e27381d54df25d39751d2da..57ef399368f72853739d60ddcd907a3fb6b12228 100644 --- a/sources/feligHG710/data_generator/elink_printer_bit_feeder_v2.vhd +++ b/sources/feligHG710/data_generator/elink_printer_bit_feeder_v2.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Ricardo Luz +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -- v2 by Ricardo Luz. -- Based on elink_printer.vhd initially written by Michael Oberlingand and later modified by Marco Trovato. -- Complies with 32-b width and MSB first for all widths. @@ -53,6 +71,7 @@ architecture Behavioral of elink_printer_bit_feeder_v2 is signal reg_160_8b10b : std_logic_vector(159 downto 0) := (others => '0'); signal reg_160_direc : std_logic_vector(159 downto 0) := (others => '0'); + signal word_in_d : std_logic_vector(9 downto 0) := (others => '0'); signal word_test : std_logic_vector(9 downto 0) := (others => '0'); signal word_test_h : std_logic_vector(9 downto 0) := (others => '0'); signal word_test_l : std_logic_vector(9 downto 0) := (others => '0'); @@ -61,6 +80,7 @@ architecture Behavioral of elink_printer_bit_feeder_v2 is signal wr_to_reg : std_logic := '0'; signal wr_to_reg_2b : std_logic := '0'; signal wr_to_reg_final : std_logic := '0'; + signal wr_to_reg_final_d : std_logic := '0'; signal count : integer range 0 to 15; signal count_max : integer range 0 to 15; signal count_to_five : std_logic_vector(2 downto 0) := (others => '0'); @@ -135,26 +155,13 @@ begin '1' when shift_op = "001" and input_width = '1' else read_enable_buf when input_width = '0' else '0'; - word_test <= word_test_l when output_width = "010" and input_width = '1' else - word_test_l when output_width = "100" and input_width = '1' else - word_test_h; - + -- 2*5 = 10 1 word -- 4*5 = 20 2 words -- 8*5 = 40 4 words -- 16*5 = 80 8 words -- 32*5 = 160 16 words - - word_test_proc : process (flag) - begin - if flag'event and flag ='1' then - word_test_h <= word_in; - end if; - if flag'event and flag ='0' then - word_test_l <= word_in; - end if; - end process word_test_proc; - + count_max <= 0 when output_width = "000" else -- 2b 1 when output_width = "001" else -- 4b 3 when output_width = "010" else -- 8b @@ -173,7 +180,20 @@ begin begin if clk'event and clk ='1' then flag_d <= flag; - if wr_to_reg_final = '1' then + if MSBfirst = '0' then + word_in_d <= word_in; + else + for i in 0 to 9 loop + word_in_d(9-i) <= word_in(i); + end loop; + end if; + wr_to_reg_final_d <= wr_to_reg_final; + if flag = '0' and flag_d = '1' and (output_width = "010" or output_width = "100") and input_width = '1' then + word_test <= word_in_d; + elsif flag = '1' and flag_d = '0' and (output_width = "000" or output_width = "001" or output_width = "011") and input_width = '1' then + word_test <= word_in_d; + end if; + if wr_to_reg_final_d = '1' then reg_160_8b10b((count+1)*10 - 1 downto count*10) <= word_test; reg_160_direc((count+1)*8 - 1 downto count*8 ) <= word_test(7 downto 0); if count = count_max then diff --git a/sources/feligHG710/data_generator/elink_printer_printhead.vhd b/sources/feligHG710/data_generator/elink_printer_printhead.vhd index 64ab063483330648dac26dcd8c6e0b7bf1b1c45b..a6e8464e499268b236dde821e62bb5f53da55cc8 100644 --- a/sources/feligHG710/data_generator/elink_printer_printhead.vhd +++ b/sources/feligHG710/data_generator/elink_printer_printhead.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! mtrovato +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --============================================================================== -- -- Argonne National Laboratory diff --git a/sources/feligHG710/data_generator/elink_printer_v2.vhd b/sources/feligHG710/data_generator/elink_printer_v2.vhd index d2aadcd21210c0307c7e9eda24871913b177b9a3..b33db4dfe696f659b55310bd1c9874bf70089279 100644 --- a/sources/feligHG710/data_generator/elink_printer_v2.vhd +++ b/sources/feligHG710/data_generator/elink_printer_v2.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Ricardo Luz +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -- v2 by Ricardo Luz. -- Based on elink_printer.vhd initially written by Michael Oberlingand and later modified by Marco Trovato. -- Complies with 32-b width and MSB first for all widths. diff --git a/sources/feligHG710/data_generator/prbs_16bit.vhd b/sources/feligHG710/data_generator/prbs_16bit.vhd index d6597a1a8aec38f977fbdee30b5482fe68ff73c5..79b4cd8b72fcbb35ff43bb293aa1ffea4fcb19d7 100644 --- a/sources/feligHG710/data_generator/prbs_16bit.vhd +++ b/sources/feligHG710/data_generator/prbs_16bit.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! mtrovato +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --============================================================================== -- -- Argonne National Laboratory diff --git a/sources/feligHG710/emulator/Emulator.vhd b/sources/feligHG710/emulator/Emulator.vhd index 3256dfb7ca2f02c06bbc58f40ac96fc9bd73fcc1..0efe6d1f56c6b55364dc4324e184f68b450d0fd4 100644 --- a/sources/feligHG710/emulator/Emulator.vhd +++ b/sources/feligHG710/emulator/Emulator.vhd @@ -1,3 +1,23 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Shelfali Saxena +--! mtrovato +--! Ricardo Luz +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --============================================================================== -- -- Argonne National Laboratory @@ -585,9 +605,9 @@ begin --MT 2 (Fran 2) FMEMU_RANDOM_RAM_ADDR => FMEMU_RANDOM_RAM_ADDR , FMEMU_RANDOM_RAM => FMEMU_RANDOM_RAM , - FMEMU_RANDOM_CONTROL => FMEMU_RANDOM_CONTROL , + FMEMU_RANDOM_CONTROL => FMEMU_RANDOM_CONTROL --, --SS (SWAP LSB MSB) - fhCR_REVERSE_10B => fhCR_REVERSE_10B + --fhCR_REVERSE_10B => fhCR_REVERSE_10B ); dmap : for j in 0 to 7 generate elink_data_in(i*8+j) <= emu_data_out(i); diff --git a/sources/feligHG710/emulator/EmulatorWrapper.vhd b/sources/feligHG710/emulator/EmulatorWrapper.vhd index 139a219eebfac6e2bca28328d250d8f73a164405..f63109307e4bc519032e1f01532a6cedc0e111b4 100644 --- a/sources/feligHG710/emulator/EmulatorWrapper.vhd +++ b/sources/feligHG710/emulator/EmulatorWrapper.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! mtrovato +--! Shelfali Saxena +--! Ricardo Luz +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --============================================================================== -- -- Argonne National Laboratory diff --git a/sources/feligHG710/emulator/FELIG_gbt_wrapper.vhd b/sources/feligHG710/emulator/FELIG_gbt_wrapper.vhd index 765b19322475421a1205e768cc81974e75f391ec..d55b0e940651d423392e46abc0f18221e1681790 100644 --- a/sources/feligHG710/emulator/FELIG_gbt_wrapper.vhd +++ b/sources/feligHG710/emulator/FELIG_gbt_wrapper.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! mtrovato +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --============================================================================== -- -- Argonne National Laboratory diff --git a/sources/feligHG710/emulator/bert_frame_check.vhd b/sources/feligHG710/emulator/bert_frame_check.vhd index 7d7183932255574fd8560f908cd0de2979a4bacf..9e416b47b960e9ad647daad9460fd9cdb131781e 100644 --- a/sources/feligHG710/emulator/bert_frame_check.vhd +++ b/sources/feligHG710/emulator/bert_frame_check.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! mtrovato +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --============================================================================== -- -- Argonne National Laboratory diff --git a/sources/feligHG710/emulator/freq_counter.vhd b/sources/feligHG710/emulator/freq_counter.vhd index 0b1222d912ca3b25b202f1b9c3fd3b60b07e6a0f..5d0e6ab6dbcadd94f01e53dd513e4188056b14bb 100644 --- a/sources/feligHG710/emulator/freq_counter.vhd +++ b/sources/feligHG710/emulator/freq_counter.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! mtrovato +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --============================================================================== -- -- Argonne National Laboratory diff --git a/sources/feligHG710/emulator/gt_core_gt_frame_check.vhd b/sources/feligHG710/emulator/gt_core_gt_frame_check.vhd index fadc4e05f6f8eb8e1a150b17825e55caaca55f0f..3e761de4bb80321304ab9d1bdf740dbed687610a 100644 --- a/sources/feligHG710/emulator/gt_core_gt_frame_check.vhd +++ b/sources/feligHG710/emulator/gt_core_gt_frame_check.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! mtrovato +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --============================================================================== -- -- Argonne National Laboratory diff --git a/sources/feligHG710/emulator/gt_core_gt_frame_gen.vhd b/sources/feligHG710/emulator/gt_core_gt_frame_gen.vhd index 0c87910cab7542fe9a7f6250047e3bc8d3fe1415..f3de41a58a20791619eb84c3894038fd3291c8ac 100644 --- a/sources/feligHG710/emulator/gt_core_gt_frame_gen.vhd +++ b/sources/feligHG710/emulator/gt_core_gt_frame_gen.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! mtrovato +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --============================================================================== -- -- Argonne National Laboratory diff --git a/sources/feligHG710/emulator/mux_128_sync.vhd b/sources/feligHG710/emulator/mux_128_sync.vhd index 89cbaee29fea16d7c78c2f3cc5e2c84f14050513..27ac6ed677ba1055d82651304677024077815dd4 100644 --- a/sources/feligHG710/emulator/mux_128_sync.vhd +++ b/sources/feligHG710/emulator/mux_128_sync.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! mtrovato +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --============================================================================== -- -- Argonne National Laboratory diff --git a/sources/feligHG710/emulator/mux_16.vhd b/sources/feligHG710/emulator/mux_16.vhd index 0ecca878a41d573b27a1228a11d69a6d6e98f4b9..43284c21d17a7f0bb96a520c0c2cddb79a67b1c6 100644 --- a/sources/feligHG710/emulator/mux_16.vhd +++ b/sources/feligHG710/emulator/mux_16.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! mtrovato +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --============================================================================== -- -- Argonne National Laboratory diff --git a/sources/feligHG710/emulator/mux_8.vhd b/sources/feligHG710/emulator/mux_8.vhd index 66940e5a7aad5d6197552ac4d7a80917179031a9..90bf86079ea3f9b5f41bd8293241fc862588a496 100644 --- a/sources/feligHG710/emulator/mux_8.vhd +++ b/sources/feligHG710/emulator/mux_8.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! mtrovato +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --============================================================================== -- -- Argonne National Laboratory diff --git a/sources/feligHG710/emulator/prbs_frame_gen.vhd b/sources/feligHG710/emulator/prbs_frame_gen.vhd index 8ed2bbf69d16c472f057018341258695f1a151b7..5dd551b6a249245176ccdf90a0b0424a5c6e3232 100644 --- a/sources/feligHG710/emulator/prbs_frame_gen.vhd +++ b/sources/feligHG710/emulator/prbs_frame_gen.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! mtrovato +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --============================================================================== -- -- Argonne National Laboratory diff --git a/sources/feligHG710/emulator/prbs_word_gen.vhd b/sources/feligHG710/emulator/prbs_word_gen.vhd index 1cfd1a74a72caf100258327d48322d313050b0a8..9ab2f05f6e003620104e403854e2fadcef2b962d 100644 --- a/sources/feligHG710/emulator/prbs_word_gen.vhd +++ b/sources/feligHG710/emulator/prbs_word_gen.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! mtrovato +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --============================================================================== -- -- Argonne National Laboratory diff --git a/sources/feligHG710/felix_modified/centralRouter/upstreamEpathFifoWrap.vhd b/sources/feligHG710/felix_modified/centralRouter/upstreamEpathFifoWrap.vhd index a852162de01d3111a05c9dc3fc751b39cadb06f8..a32eae6fc8f70bc7c4b6c751899dc058102742e8 100644 --- a/sources/feligHG710/felix_modified/centralRouter/upstreamEpathFifoWrap.vhd +++ b/sources/feligHG710/felix_modified/centralRouter/upstreamEpathFifoWrap.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! mtrovato +--! Shelfali Saxena +--! Ricardo Luz +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --============================================================================== -- -- Argonne National Laboratory diff --git a/sources/feligHG710/felix_modified/spi/LMK03200_spi.vhd b/sources/feligHG710/felix_modified/spi/LMK03200_spi.vhd index 1d408a881c158fdbeae872762bc46608f39d7f64..22ab0a8468579ecad02f2af14a2da03437194ea0 100644 --- a/sources/feligHG710/felix_modified/spi/LMK03200_spi.vhd +++ b/sources/feligHG710/felix_modified/spi/LMK03200_spi.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Shelfali Saxena +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -- for spi_LMK03200 -- -- diff --git a/sources/feligHG710/felix_modified/spi/LMK03200_wrapper.vhd b/sources/feligHG710/felix_modified/spi/LMK03200_wrapper.vhd index 6108027d494893b3e1509472aa66a8cc0383b41a..30ab03582b18614148784a4e4d0865552f18be08 100644 --- a/sources/feligHG710/felix_modified/spi/LMK03200_wrapper.vhd +++ b/sources/feligHG710/felix_modified/spi/LMK03200_wrapper.vhd @@ -1,3 +1,24 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Kai Chen +--! Thei Wijnen +--! Shelfali Saxena +--! mtrovato +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ------------------------------------------ ---- Designed by Kai ------------- ---- For LTDB test --------------- diff --git a/sources/feligHG710/felix_modified/ttc/ttc_decoder/ttc_decode_wrapper.vhd b/sources/feligHG710/felix_modified/ttc/ttc_decoder/ttc_decode_wrapper.vhd index c506af61d3ff9472521d3e875fa3392e5f14f5c1..e2779f25daa20879aab49f5a8cfad40cad0f803d 100644 --- a/sources/feligHG710/felix_modified/ttc/ttc_decoder/ttc_decode_wrapper.vhd +++ b/sources/feligHG710/felix_modified/ttc/ttc_decoder/ttc_decode_wrapper.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! mtrovato +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --============================================================================== -- -- Argonne National Laboratory diff --git a/sources/feligHG710/felix_modified/ttc/ttc_decoder/ttc_decoder_core.vhd b/sources/feligHG710/felix_modified/ttc/ttc_decoder/ttc_decoder_core.vhd index 3c4925bd7ac6ae0b366894ea483b2aa03b39fe1a..8796d8318827712aea048c9e53ce7f61e024f94f 100644 --- a/sources/feligHG710/felix_modified/ttc/ttc_decoder/ttc_decoder_core.vhd +++ b/sources/feligHG710/felix_modified/ttc/ttc_decoder/ttc_decoder_core.vhd @@ -1,3 +1,23 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Soo Ryu +--! Frans Schreuder +--! Alexander Paramonov +--! mtrovato +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --============================================================================== -- -- Argonne National Laboratory diff --git a/sources/feligHG710/packages/function_lib.vhd b/sources/feligHG710/packages/function_lib.vhd index e8a7b0445dadf52de0566710df6bff78bfe2911e..e99c992f1231b1227a120c1895dd076d206a366e 100644 --- a/sources/feligHG710/packages/function_lib.vhd +++ b/sources/feligHG710/packages/function_lib.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! mtrovato +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --============================================================================== -- -- Argonne National Laboratory diff --git a/sources/feligHG710/packages/ip_lib.vhd b/sources/feligHG710/packages/ip_lib.vhd index 10b9f87a5bb0d45064dd5d46941293b625761305..7b2be6c8d9d4f8070e487080f8a20a7a948f5fa2 100644 --- a/sources/feligHG710/packages/ip_lib.vhd +++ b/sources/feligHG710/packages/ip_lib.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! mtrovato +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --============================================================================== -- -- Argonne National Laboratory diff --git a/sources/feligHG710/packages/sim_lib.vhd b/sources/feligHG710/packages/sim_lib.vhd old mode 100755 new mode 100644 index 9e5cafd5bb9eeb46828054be13123a5d7c30c0b9..092d13c7de228fffd64f0f8202d3c8967582898f --- a/sources/feligHG710/packages/sim_lib.vhd +++ b/sources/feligHG710/packages/sim_lib.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! mtrovato +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --============================================================================== -- -- Argonne National Laboratory diff --git a/sources/feligHG710/packages/type_lib.vhd b/sources/feligHG710/packages/type_lib.vhd index 1362d5ee270dff9995e996cc16b1bf1234ad1d4c..340946cb6643e04bbbe36c55adc0756ce2b3b115 100644 --- a/sources/feligHG710/packages/type_lib.vhd +++ b/sources/feligHG710/packages/type_lib.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! mtrovato +--! Ricardo Luz +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --============================================================================== -- -- Argonne National Laboratory diff --git a/sources/feligHG710/templates/LaneRegisterRemapper.vhd b/sources/feligHG710/templates/LaneRegisterRemapper.vhd index 38b553d295a5616da6307164e75b3292a3c6890f..7b5c156d318d247cc41485253029149590c720d3 100644 --- a/sources/feligHG710/templates/LaneRegisterRemapper.vhd +++ b/sources/feligHG710/templates/LaneRegisterRemapper.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! mtrovato +--! Ricardo Luz +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --============================================================================== -- -- Argonne National Laboratory diff --git a/sources/felixUserSupport/FM_UserExample.vhd b/sources/felixUserSupport/FM_UserExample.vhd index 43facb1803e2844801401a96be74846551d57155..cc89760a7040b08427531ecd3607c5057fa63695 100644 --- a/sources/felixUserSupport/FM_UserExample.vhd +++ b/sources/felixUserSupport/FM_UserExample.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! RHabraken +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + @@ -341,21 +359,6 @@ begin --! --! --! ------------------------------------------------------------------------------ - --! Virtex7 PCIe Gen3 DMA Core - --! - --! \copyright GNU LGPL License - --! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br> - --! This library is free software; you can redistribute it and/or - --! modify it under the terms of the GNU Lesser General Public - --! License as published by the Free Software Foundation; either - --! version 3.0 of the License, or (at your option) any later version. - --! This library is distributed in the hope that it will be useful, - --! but WITHOUT ANY WARRANTY; without even the implied warranty of - --! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU - --! Lesser General Public License for more details.<br> - --! You should have received a copy of the GNU Lesser General Public - --! License along with this library. - --! -- --! @brief ieee clk1: FM_example_clocking @@ -401,21 +404,6 @@ begin --! --! --! ------------------------------------------------------------------------------ - --! Virtex7 PCIe Gen3 DMA Core - --! - --! \copyright GNU LGPL License - --! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br> - --! This library is free software; you can redistribute it and/or - --! modify it under the terms of the GNU Lesser General Public - --! License as published by the Free Software Foundation; either - --! version 3.0 of the License, or (at your option) any later version. - --! This library is distributed in the hope that it will be useful, - --! but WITHOUT ANY WARRANTY; without even the implied warranty of - --! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU - --! Lesser General Public License for more details.<br> - --! You should have received a copy of the GNU Lesser General Public - --! License along with this library. - --! -- --! @brief ieee ram0: FM_example_emuram @@ -457,21 +445,6 @@ begin --! --! --! ------------------------------------------------------------------------------ - --! Virtex7 PCIe Gen3 DMA Core - --! - --! \copyright GNU LGPL License - --! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br> - --! This library is free software; you can redistribute it and/or - --! modify it under the terms of the GNU Lesser General Public - --! License as published by the Free Software Foundation; either - --! version 3.0 of the License, or (at your option) any later version. - --! This library is distributed in the hope that it will be useful, - --! but WITHOUT ANY WARRANTY; without even the implied warranty of - --! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU - --! Lesser General Public License for more details.<br> - --! You should have received a copy of the GNU Lesser General Public - --! License along with this library. - --! -- --! @brief ieee ctl0: FM_example_FIFOctrl @@ -516,21 +489,6 @@ begin --! --! --! ------------------------------------------------------------------------------ - --! Virtex7 PCIe Gen3 DMA Core - --! - --! \copyright GNU LGPL License - --! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br> - --! This library is free software; you can redistribute it and/or - --! modify it under the terms of the GNU Lesser General Public - --! License as published by the Free Software Foundation; either - --! version 3.0 of the License, or (at your option) any later version. - --! This library is distributed in the hope that it will be useful, - --! but WITHOUT ANY WARRANTY; without even the implied warranty of - --! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU - --! Lesser General Public License for more details.<br> - --! You should have received a copy of the GNU Lesser General Public - --! License along with this library. - --! -- --! @brief ieee init0: si5324_init diff --git a/sources/felixUserSupport/FM_example_FIFOctrl.vhd b/sources/felixUserSupport/FM_example_FIFOctrl.vhd index 1107c8a68d5fdde79ea3d19b51918a53474941d3..a2e41beee90dce325a257b8acdc685876ec4bf20 100644 --- a/sources/felixUserSupport/FM_example_FIFOctrl.vhd +++ b/sources/felixUserSupport/FM_example_FIFOctrl.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! RHabraken +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + diff --git a/sources/felixUserSupport/FM_example_clocking.vhd b/sources/felixUserSupport/FM_example_clocking.vhd index dfaffe00d6ddee0b434ec297378c04c73493b51b..389930e03ede61a49cd44206c4fe842ef1cf4ec0 100644 --- a/sources/felixUserSupport/FM_example_clocking.vhd +++ b/sources/felixUserSupport/FM_example_clocking.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! RHabraken +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!------------------------------------------------------------------------------ --! @@ -29,21 +47,6 @@ --! --! --! ------------------------------------------------------------------------------ ---! Virtex7 PCIe Gen3 DMA Core ---! ---! \copyright GNU LGPL License ---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br> ---! This library is free software; you can redistribute it and/or ---! modify it under the terms of the GNU Lesser General Public ---! License as published by the Free Software Foundation; either ---! version 3.0 of the License, or (at your option) any later version. ---! This library is distributed in the hope that it will be useful, ---! but WITHOUT ANY WARRANTY; without even the implied warranty of ---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU ---! Lesser General Public License for more details.<br> ---! You should have received a copy of the GNU Lesser General Public ---! License along with this library. ---! -- --! @brief ieee diff --git a/sources/felixUserSupport/FM_example_emuram.vhd b/sources/felixUserSupport/FM_example_emuram.vhd index 4ad61525075755167925af78359d1f6db442869b..c609e64f1eaf0a0db15cb9b4481f64f2e4d1aea1 100644 --- a/sources/felixUserSupport/FM_example_emuram.vhd +++ b/sources/felixUserSupport/FM_example_emuram.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! RHabraken +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + diff --git a/sources/felixUserSupport/FullModeUserInterface/gth_qpll_wrapper_proca.vhd b/sources/felixUserSupport/FullModeUserInterface/gth_qpll_wrapper_proca.vhd index f2c88bc7354b09ab24c71b8764945f6841e114e0..d8f64b03d904244eb2c6ee85ab5cb9467aaebe19 100644 --- a/sources/felixUserSupport/FullModeUserInterface/gth_qpll_wrapper_proca.vhd +++ b/sources/felixUserSupport/FullModeUserInterface/gth_qpll_wrapper_proca.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Weihao Wu +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: BNL -- Engineer: Weihao Wu diff --git a/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale.v b/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale.v index c991f1ca660e9f00e04cd0c89f02e225c2132d6b..55c38ca5c7288a54e0e8da3c849f2607073bbdf5 100644 --- a/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale.v +++ b/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Weihao Wu +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + // (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. // // This file contains confidential and proprietary information diff --git a/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale_gthe3_channel_wrapper.v b/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale_gthe3_channel_wrapper.v index 949af0d00199faef38da46c174124df844e5791c..ebdb95840c5a4500043367be5cd230ebfaf67b9b 100644 --- a/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale_gthe3_channel_wrapper.v +++ b/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale_gthe3_channel_wrapper.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Weihao Wu +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + //------------------------------------------------------------------------------ // (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved. // diff --git a/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale_gthe3_common_wrapper.v b/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale_gthe3_common_wrapper.v index ee075e2b492d867c319b1c76923b23ab7d3cedce..587c3bb7725d67ea3f469e27959def2aef40722b 100644 --- a/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale_gthe3_common_wrapper.v +++ b/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale_gthe3_common_wrapper.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Weihao Wu +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + //------------------------------------------------------------------------------ // (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved. // diff --git a/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale_gtwizard_gthe3.v b/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale_gtwizard_gthe3.v index 326478da24d146a21294035670fa5c1b864e722a..e79cb15d158c79fab53cc7bae74827d33f62bcf0 100644 --- a/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale_gtwizard_gthe3.v +++ b/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale_gtwizard_gthe3.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Weihao Wu +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + //------------------------------------------------------------------------------ // (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved. // diff --git a/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale_gtwizard_top.v b/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale_gtwizard_top.v index 7405665ba8a666549bfe8b26dda55412f423bdfa..f5f8341f5e7bd8f0eff2fe194d46cdf48a552307 100644 --- a/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale_gtwizard_top.v +++ b/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale_gtwizard_top.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Weihao Wu +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + //------------------------------------------------------------------------------ // (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved. // diff --git a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_bit_synchronizer.v b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_bit_synchronizer.v old mode 100755 new mode 100644 index 6392758f8156a08e44e431f777e17b60419cc382..3dc778866d429689febf1c68bcccf11b47d86ef8 --- a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_bit_synchronizer.v +++ b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_bit_synchronizer.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Weihao Wu +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + //------------------------------------------------------------------------------ // (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved. // diff --git a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gthe3_channel.v b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gthe3_channel.v old mode 100755 new mode 100644 index 44fb4999696faa1cf7de0b0857052bd0191c39d9..3eec1d340b377776aacbd5b18bf148272c41b409 --- a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gthe3_channel.v +++ b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gthe3_channel.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Weihao Wu +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + //------------------------------------------------------------------------------ // (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved. // diff --git a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gthe3_common.v b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gthe3_common.v old mode 100755 new mode 100644 index 40cba399e75097368a9865885003a64d448b3f73..fcc0ddd495a2b65dedd0d67062ec637cee57e48f --- a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gthe3_common.v +++ b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gthe3_common.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Weihao Wu +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + //------------------------------------------------------------------------------ // (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved. // diff --git a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gthe3_cpll_cal.v b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gthe3_cpll_cal.v old mode 100755 new mode 100644 index 9fa8de7c0fa3863f208e31e5f95be479eab63bc9..43dd5b566eda87769194877dd89b7a98fa9033a7 --- a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gthe3_cpll_cal.v +++ b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gthe3_cpll_cal.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Weihao Wu +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + //------------------------------------------------------------------------------ // (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved. // diff --git a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gthe3_cpll_cal_freq_counter.v b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gthe3_cpll_cal_freq_counter.v old mode 100755 new mode 100644 index d0668ce9ca08ab61e9774df894993f9ca2bd90f1..f115b3ca775ea39805d1fe595727f5bdc4a4c5b5 --- a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gthe3_cpll_cal_freq_counter.v +++ b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gthe3_cpll_cal_freq_counter.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Weihao Wu +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + //------------------------------------------------------------------------------ // (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved. // diff --git a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_buffbypass_rx.v b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_buffbypass_rx.v old mode 100755 new mode 100644 index cc67475a70b295f8b1e7f098d49916203d485f0e..dfd948450637aac07668789bba7c68ecbc0884f2 --- a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_buffbypass_rx.v +++ b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_buffbypass_rx.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Weihao Wu +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + //------------------------------------------------------------------------------ // (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved. // diff --git a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_buffbypass_tx.v b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_buffbypass_tx.v old mode 100755 new mode 100644 index 16ec70f48f7c8a218aecfd31ffd6baf252812158..d0eaa3e4033846fb96e50a0d1592d525a0c50fa3 --- a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_buffbypass_tx.v +++ b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_buffbypass_tx.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Weihao Wu +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + //------------------------------------------------------------------------------ // (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved. // diff --git a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_reset.v b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_reset.v old mode 100755 new mode 100644 index ec1866d2a80b6ef560dbe13d11a51cdac0f93f06..ca76693060f7a6eade6bc7fb5e0caf9f4d009a38 --- a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_reset.v +++ b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_reset.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Weihao Wu +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + //------------------------------------------------------------------------------ // (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved. // diff --git a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_userclk_rx.v b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_userclk_rx.v old mode 100755 new mode 100644 index 068886ba234f5db7ecd0f7eb38246f3d38773d23..5580d262655ea3801e3f76a13fa4d713dcf9d251 --- a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_userclk_rx.v +++ b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_userclk_rx.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Weihao Wu +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + //------------------------------------------------------------------------------ // (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved. // diff --git a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_userclk_tx.v b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_userclk_tx.v old mode 100755 new mode 100644 index b06ff7218b58391bcce74f700ac62c40936d8110..33e53c324317e61d9c8cbe78a784d8e695825fb3 --- a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_userclk_tx.v +++ b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_userclk_tx.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Weihao Wu +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + //------------------------------------------------------------------------------ // (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved. // diff --git a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_userdata_rx.v b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_userdata_rx.v old mode 100755 new mode 100644 index 2c4138c1728be2d15bde7f34b0acd916d40c9e3b..5bfbf68fd8f59be7a404b539b0c5c0dabad86189 --- a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_userdata_rx.v +++ b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_userdata_rx.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Weihao Wu +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + //------------------------------------------------------------------------------ // (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved. // diff --git a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_userdata_tx.v b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_userdata_tx.v old mode 100755 new mode 100644 index af02ec7b82ef9d381cdba9b83dddfb39994d2ea5..1f8806d2e91a282b2da923eee6dd0c8fc43fa6a0 --- a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_userdata_tx.v +++ b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_userdata_tx.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Weihao Wu +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + //------------------------------------------------------------------------------ // (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved. // diff --git a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_reset_inv_synchronizer.v b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_reset_inv_synchronizer.v old mode 100755 new mode 100644 index 97ea938b5b71bc2bbe80532a37c00dc5d8f24452..4fd14c09ae0925f26c46eeb794adf4aac7a54820 --- a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_reset_inv_synchronizer.v +++ b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_reset_inv_synchronizer.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Weihao Wu +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + //------------------------------------------------------------------------------ // (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved. // diff --git a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_reset_synchronizer.v b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_reset_synchronizer.v old mode 100755 new mode 100644 index 8726b95cdd04cbd68611afefdc7f5de906d9d77e..c4e7d8ffe955c3166bb1744eefddfbf2f4188182 --- a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_reset_synchronizer.v +++ b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_reset_synchronizer.v @@ -1,3 +1,20 @@ +//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +//! Authors: +//! Weihao Wu +//! +//! Licensed under the Apache License, Version 2.0 (the "License"); +//! you may not use this file except in compliance with the License. +//! You may obtain a copy of the License at +//! +//! http://www.apache.org/licenses/LICENSE-2.0 +//! +//! Unless required by applicable law or agreed to in writing, software +//! distributed under the License is distributed on an "AS IS" BASIS, +//! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +//! See the License for the specific language governing permissions and +//! limitations under the License. + //------------------------------------------------------------------------------ // (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved. // diff --git a/sources/felixUserSupport/elinkInterface/Elink2FIFO.vhd b/sources/felixUserSupport/elinkInterface/Elink2FIFO.vhd index 967e95319204e49ddd0e844438595d707adab7d9..7fa2a2475633f46ebc0d4e0208cd6874fc764259 100644 --- a/sources/felixUserSupport/elinkInterface/Elink2FIFO.vhd +++ b/sources/felixUserSupport/elinkInterface/Elink2FIFO.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Israel Grayzman +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/felixUserSupport/elinkInterface/FIFO2Elink.vhd b/sources/felixUserSupport/elinkInterface/FIFO2Elink.vhd index cdb1505a1a8117bf59bc027d48771e6a332b9d94..f39c2fa5272f18842d9ff65936095542d9228007 100644 --- a/sources/felixUserSupport/elinkInterface/FIFO2Elink.vhd +++ b/sources/felixUserSupport/elinkInterface/FIFO2Elink.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Israel Grayzman +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/felixUserSupport/elinkInterface/TB_elinkInterface_top.vhd b/sources/felixUserSupport/elinkInterface/TB_elinkInterface_top.vhd index 9ba24367827ba6612c132dbd6f0ab709265e2669..eb5b110170f1ed8b6cc513c00be801b72fea402c 100644 --- a/sources/felixUserSupport/elinkInterface/TB_elinkInterface_top.vhd +++ b/sources/felixUserSupport/elinkInterface/TB_elinkInterface_top.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Israel Grayzman +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/felixUserSupport/elinkInterface/elinkInterface_package.vhd b/sources/felixUserSupport/elinkInterface/elinkInterface_package.vhd index 2a445eee0eeb04ada914b27f1646a7625736e649..40a4c493cec52b0a886fab76f6c1852d37856e48 100644 --- a/sources/felixUserSupport/elinkInterface/elinkInterface_package.vhd +++ b/sources/felixUserSupport/elinkInterface/elinkInterface_package.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/felixUserSupport/elinkInterface/elinkInterface_top.vhd b/sources/felixUserSupport/elinkInterface/elinkInterface_top.vhd index b0e604d72c6591295e306cca21267b8aeeef92fd..647dcf957c30c38da4ca55fe5934e0bdd6575f89 100644 --- a/sources/felixUserSupport/elinkInterface/elinkInterface_top.vhd +++ b/sources/felixUserSupport/elinkInterface/elinkInterface_top.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Israel Grayzman +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna diff --git a/sources/felixUserSupport/fullmodetransceiver_gth_gth/FM_transceiver_BNL711_GBTin_FMout.vhd b/sources/felixUserSupport/fullmodetransceiver_gth_gth/FM_transceiver_BNL711_GBTin_FMout.vhd index 377dfa430386d16e13c691ee6c77691cce63404f..cbbd7f81225d60c4632ca66a82cb379504c77a86 100644 --- a/sources/felixUserSupport/fullmodetransceiver_gth_gth/FM_transceiver_BNL711_GBTin_FMout.vhd +++ b/sources/felixUserSupport/fullmodetransceiver_gth_gth/FM_transceiver_BNL711_GBTin_FMout.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! RHabraken +--! Mesfin Gebyehu +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library ieee; diff --git a/sources/felixUserSupport/fullmodetransceiver_gth_gth/FM_transceiver_BNL711_GBTin_FMout_inOne.vhd b/sources/felixUserSupport/fullmodetransceiver_gth_gth/FM_transceiver_BNL711_GBTin_FMout_inOne.vhd index 738683c50299edbc622d1e815f168209022d4d82..54e38b2b99b563e7660011d0a9b5adf3e61107d7 100644 --- a/sources/felixUserSupport/fullmodetransceiver_gth_gth/FM_transceiver_BNL711_GBTin_FMout_inOne.vhd +++ b/sources/felixUserSupport/fullmodetransceiver_gth_gth/FM_transceiver_BNL711_GBTin_FMout_inOne.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Mesfin Gebyehu +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library ieee; diff --git a/sources/felixUserSupport/fullmodetransceiver_gth_gth/FM_transceiver_BNL712_GBTin_FMout.vhd b/sources/felixUserSupport/fullmodetransceiver_gth_gth/FM_transceiver_BNL712_GBTin_FMout.vhd old mode 100755 new mode 100644 index ee11924b2101a2567de2a0cc24b0fc01d881065a..60588853bf12b31e195eeea7e324872014d838f3 --- a/sources/felixUserSupport/fullmodetransceiver_gth_gth/FM_transceiver_BNL712_GBTin_FMout.vhd +++ b/sources/felixUserSupport/fullmodetransceiver_gth_gth/FM_transceiver_BNL712_GBTin_FMout.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! RHabraken +--! Mesfin Gebyehu +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library ieee; diff --git a/sources/felixUserSupport/fullmodetransceiver_gth_gth/fullmodetransceiver.vhd b/sources/felixUserSupport/fullmodetransceiver_gth_gth/fullmodetransceiver.vhd index e0ad045f9925e92cdf58f1f18c1b1cb02d788cc2..eb9c55cd57b7fd79dbdfd98dc1886a843c2aff52 100644 --- a/sources/felixUserSupport/fullmodetransceiver_gth_gth/fullmodetransceiver.vhd +++ b/sources/felixUserSupport/fullmodetransceiver_gth_gth/fullmodetransceiver.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Rene Habraken +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library ieee; diff --git a/sources/felixUserSupport/fullmodetransceiver_gth_gth/fullmodetransceiver_reset_fsm.vhd b/sources/felixUserSupport/fullmodetransceiver_gth_gth/fullmodetransceiver_reset_fsm.vhd index bbe6c40b3cda073610f37fad8863ecc00eebd675..ef2ead6f188e1d7ab9ceaf047e795b34348a2f16 100644 --- a/sources/felixUserSupport/fullmodetransceiver_gth_gth/fullmodetransceiver_reset_fsm.vhd +++ b/sources/felixUserSupport/fullmodetransceiver_gth_gth/fullmodetransceiver_reset_fsm.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; diff --git a/sources/felixUserSupport/packages/FMTransceiverPackage.vhd b/sources/felixUserSupport/packages/FMTransceiverPackage.vhd index ad0fef9e9941e72a14f73520f3599f9ebc1c6c80..c02f89adeff154fb9043887551b982d8a2869c27 100644 --- a/sources/felixUserSupport/packages/FMTransceiverPackage.vhd +++ b/sources/felixUserSupport/packages/FMTransceiverPackage.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Mesfin Gebyehu +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ------------------------------------------- -- Frans Schreuder (Nikhef) -- June 2017 diff --git a/sources/flash/flash_ipcore_bnl.vhd b/sources/flash/flash_ipcore_bnl.vhd index 8bddbfcf15ca36b8b743b8221ca26d22891a1bf9..31ac018a2e10a41b54b034151aab15e916e24ea9 100644 --- a/sources/flash/flash_ipcore_bnl.vhd +++ b/sources/flash/flash_ipcore_bnl.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Kai Chen +--! Andrea Borga +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! -- --! BNL - Brookhaven National Lboratory -- diff --git a/sources/flash/flash_wrapper.vhd b/sources/flash/flash_wrapper.vhd index b7dd5c4fba454734922f6a9b9ffdb4b1040d7d8f..6959fd2e69acb5c8c5ae8e9aa913bb3c22eeebe1 100644 --- a/sources/flash/flash_wrapper.vhd +++ b/sources/flash/flash_wrapper.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Kai Chen +--! Andrea Borga +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! -- --! BNL - Brookhaven National Lboratory -- diff --git a/sources/flash/flash_wrapper_stub.vhd b/sources/flash/flash_wrapper_stub.vhd index 4b25e57718ee42afec2d3d87912e81a3ab7fc218..33adf03e234782f75e4a82aed4b1f4a50d58752d 100644 --- a/sources/flash/flash_wrapper_stub.vhd +++ b/sources/flash/flash_wrapper_stub.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: -- Engineer: diff --git a/sources/housekeeping/GenericConstantsToRegs.vhd b/sources/housekeeping/GenericConstantsToRegs.vhd index b97ef3000cc7604c54c0fa513dc187b7920cd756..481fec71656c4c954f28de8ce6f94410eb2d023e 100644 --- a/sources/housekeeping/GenericConstantsToRegs.vhd +++ b/sources/housekeeping/GenericConstantsToRegs.vhd @@ -1,3 +1,27 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Andrea Borga +--! Israel Grayzman +--! Enrico Gamberini +--! RHabraken +--! Mesfin Gebyehu +--! Rene +--! Thei Wijnen +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + diff --git a/sources/housekeeping/clock_and_reset.vhd b/sources/housekeeping/clock_and_reset.vhd index 6ee140c7930945225047a6158a17fede423f9eef..4011d6b56daad55de8da3037590a372a6ad640f6 100644 --- a/sources/housekeeping/clock_and_reset.vhd +++ b/sources/housekeeping/clock_and_reset.vhd @@ -1,3 +1,28 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Andrea Borga +--! Israel Grayzman +--! Mesfin Gebyehu +--! RHabraken +--! Thei Wijnen +--! Frans Schreuder +--! Filiberto Bonini +--! Shelfali Saxena +--! mtrovato +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!------------------------------------------------------------------------------ --! @@ -29,21 +54,6 @@ --! --! --! ------------------------------------------------------------------------------ ---! Virtex7 PCIe Gen3 DMA Core ---! ---! \copyright GNU LGPL License ---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br> ---! This library is free software; you can redistribute it and/or ---! modify it under the terms of the GNU Lesser General Public ---! License as published by the Free Software Foundation; either ---! version 3.0 of the License, or (at your option) any later version. ---! This library is distributed in the hope that it will be useful, ---! but WITHOUT ANY WARRANTY; without even the implied warranty of ---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU ---! Lesser General Public License for more details.<br> ---! You should have received a copy of the GNU Lesser General Public ---! License along with this library. ---! -- --! @brief ieee diff --git a/sources/housekeeping/debug_port_module.vhd b/sources/housekeeping/debug_port_module.vhd index e0d44d472afbf5e175f5f2a8a5d4862e98e745ad..a9d77e33a75872e9aea130d59473135a42cda2d4 100644 --- a/sources/housekeeping/debug_port_module.vhd +++ b/sources/housekeeping/debug_port_module.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Andrea Borga +--! Frans Schreuder +--! RHabraken +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + diff --git a/sources/housekeeping/gc_multichannel_frequency_meter.vhd b/sources/housekeeping/gc_multichannel_frequency_meter.vhd index 757622dab55c3dd63b4292159384eca36fbe95f2..8027227e5a43611da57447bf639a9fc5e254590b 100644 --- a/sources/housekeeping/gc_multichannel_frequency_meter.vhd +++ b/sources/housekeeping/gc_multichannel_frequency_meter.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -------------------------------------------------------------------------------- -- CERN BE-CO-HT -- General Cores Library diff --git a/sources/housekeeping/gc_pulse_synchronizer.vhd b/sources/housekeeping/gc_pulse_synchronizer.vhd index 1df5f212424f33c27d3f6374d052f98a2c98197d..0af73af349effa670ba15b1e9483a83e444f649c 100644 --- a/sources/housekeeping/gc_pulse_synchronizer.vhd +++ b/sources/housekeeping/gc_pulse_synchronizer.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -------------------------------------------------------------------------------- -- CERN BE-CO-HT -- General Cores Library diff --git a/sources/housekeeping/gc_pulse_synchronizer2.vhd b/sources/housekeeping/gc_pulse_synchronizer2.vhd index ae5c7365043ae76c0e0f4980cbc80f039d88d775..b9f8badc0f7661cddeea233cc2db824f5b21a06e 100644 --- a/sources/housekeeping/gc_pulse_synchronizer2.vhd +++ b/sources/housekeeping/gc_pulse_synchronizer2.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -------------------------------------------------------------------------------- -- CERN BE-CO-HT -- General Cores Library diff --git a/sources/housekeeping/gc_sync_ffs.vhd b/sources/housekeeping/gc_sync_ffs.vhd index 3b6fafbfd8fb57ce8b36d37ad83994bf29c4c6f1..fe461cf1dba013a300c8a696805b3a70bfea24ad 100644 --- a/sources/housekeeping/gc_sync_ffs.vhd +++ b/sources/housekeeping/gc_sync_ffs.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -------------------------------------------------------------------------------- -- CERN BE-CO-HT -- General Cores Library diff --git a/sources/housekeeping/housekeeping_control.vhd b/sources/housekeeping/housekeeping_control.vhd index cb530db7c1ed27a3f4c78979460f82d4ff74ca7a..a4a06b3f5b061b82985b598e1381def214fb4078 100644 --- a/sources/housekeeping/housekeeping_control.vhd +++ b/sources/housekeeping/housekeeping_control.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! RHabraken +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + diff --git a/sources/housekeeping/housekeeping_module.vhd b/sources/housekeeping/housekeeping_module.vhd index d4fac1cab8f425f271cc0ad51d0943bb08cbaec7..d9f592d530af4565885b2812b5359057fd1aa7b9 100644 --- a/sources/housekeeping/housekeeping_module.vhd +++ b/sources/housekeeping/housekeeping_module.vhd @@ -1,3 +1,26 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Andrea Borga +--! Israel Grayzman +--! Kai Chen +--! Enrico Gamberini +--! RHabraken +--! Rene +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library ieee, UNISIM; diff --git a/sources/housekeeping/housekeeping_monitor.vhd b/sources/housekeeping/housekeeping_monitor.vhd index 31942dabdda2f74da2109d53ef21a96ec9dad473..181968eb4a1fb2a10e2e73ea6861848a6feb0de4 100644 --- a/sources/housekeeping/housekeeping_monitor.vhd +++ b/sources/housekeeping/housekeeping_monitor.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! RHabraken +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + diff --git a/sources/housekeeping/i2c_interface.vhd b/sources/housekeeping/i2c_interface.vhd index 1ba8b53cfd1a0b9090b3add56156f6ad54d1762c..624473f0ae8fb756505ac4eb1d2502764eee7380 100644 --- a/sources/housekeeping/i2c_interface.vhd +++ b/sources/housekeeping/i2c_interface.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Andrea Borga +--! Frans Schreuder +--! RHabraken +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + diff --git a/sources/housekeeping/si5324_init.vhd b/sources/housekeeping/si5324_init.vhd index 3865feeae512f5230c724fdee45d749cec7e1b65..90261d5d086c0eb2d4d0d73d429102f14a1336ad 100644 --- a/sources/housekeeping/si5324_init.vhd +++ b/sources/housekeeping/si5324_init.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Andrea Borga +--! Frans Schreuder +--! RHabraken +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + diff --git a/sources/housekeeping/spi_interface.vhd b/sources/housekeeping/spi_interface.vhd index 98edd32a82a277f6f7be19f0a52148b3479abd7d..407314dbba5dd795f0a9e192fcd33b45d4d6bc8d 100644 --- a/sources/housekeeping/spi_interface.vhd +++ b/sources/housekeeping/spi_interface.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Andrea Borga +--! Frans Schreuder +--! RHabraken +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + diff --git a/sources/i2c_master/I2C_Master_PEX.vhd b/sources/i2c_master/I2C_Master_PEX.vhd index 62e83befbfe6958056597da6af3422a8d0060219..ad15a70ba87ce0987ea488c157088d420f204f17 100644 --- a/sources/i2c_master/I2C_Master_PEX.vhd +++ b/sources/i2c_master/I2C_Master_PEX.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Kai Chen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -- based on a version from opencore LIBRARY ieee; diff --git a/sources/i2c_master/clkcfg.vhd b/sources/i2c_master/clkcfg.vhd index 31b8aef92c001e084234d0cd45b9c789b04c4e98..7ab29ca1a22ab3e7aea1aac9f3f7b3643af0f390 100644 --- a/sources/i2c_master/clkcfg.vhd +++ b/sources/i2c_master/clkcfg.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: -- Engineer: Kai Chen diff --git a/sources/i2c_master/i2c.vhd b/sources/i2c_master/i2c.vhd index 9c5078942a05073529f1c6db21408c85c71d0298..a93e6d06c78c3c66ebfa0d2303eca41612efcce2 100644 --- a/sources/i2c_master/i2c.vhd +++ b/sources/i2c_master/i2c.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Israel Grayzman +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -- -- Simple I2C controller -- diff --git a/sources/i2c_master/i2c_clk_gen.vhd b/sources/i2c_master/i2c_clk_gen.vhd index 074c7521a97552057b699886e7ba1bbc88292220..6586afd610e97d20a0908c3768b68d817b296890 100644 --- a/sources/i2c_master/i2c_clk_gen.vhd +++ b/sources/i2c_master/i2c_clk_gen.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: -- Engineer: Kai diff --git a/sources/i2c_master/i2c_master.vhd b/sources/i2c_master/i2c_master.vhd index 615c8199ed17aedf81afdbd3f1c56d3fd6fc7cd9..70e63c3668d4c6c0f19aa748c3f707e8f8e8190e 100644 --- a/sources/i2c_master/i2c_master.vhd +++ b/sources/i2c_master/i2c_master.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -- for I2C interface, and I2C switch. Pay attentain to line 92: dev_address of the I2C BUS SWITCH (is not a standard I2C protocol) should be verifed -- befor use. diff --git a/sources/ip_cores/kintexUltrascale/Distr_LUT.xci b/sources/ip_cores/kintexUltrascale/Distr_LUT.xci index 7efe54e3411815cb564986336bd5a4777570a043..17f54872c947fb4743fb51a2cfa7723dd7277bbe 100644 --- a/sources/ip_cores/kintexUltrascale/Distr_LUT.xci +++ b/sources/ip_cores/kintexUltrascale/Distr_LUT.xci @@ -113,7 +113,7 @@ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_EN_SAFETY_CKT">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_EN_SHUTDOWN_PIN">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_EN_SLEEP_PIN">0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_EST_POWER_SUMMARY">Estimated Power for IP : 3.107037 mW</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_EST_POWER_SUMMARY">Estimated Power for IP : 2.810143 mW</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_FAMILY">kintexu</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXI_ID">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_ENA">0</spirit:configurableElementValue> @@ -148,7 +148,7 @@ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RSTRAM_B">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RST_PRIORITY_A">CE</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RST_PRIORITY_B">CE</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_SIM_COLLISION_CHECK">NONE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_SIM_COLLISION_CHECK">ALL</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_BRAM_BLOCK">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_BYTE_WEA">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_BYTE_WEB">0</spirit:configurableElementValue> @@ -160,7 +160,7 @@ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WEB_WIDTH">1</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WRITE_DEPTH_A">1024</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WRITE_DEPTH_B">1024</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WRITE_MODE_A">READ_FIRST</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WRITE_MODE_A">NO_CHANGE</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WRITE_MODE_B">WRITE_FIRST</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WRITE_WIDTH_A">16</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WRITE_WIDTH_B">16</spirit:configurableElementValue> @@ -173,8 +173,8 @@ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Assume_Synchronous_Clk">false</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Byte_Size">9</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CTRL_ECC_ALGO">NONE</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Coe_File">gausjv.coe</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Collision_Warnings">NONE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Coe_File">gaus_m30_s9.coe</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Collision_Warnings">ALL</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Component_Name">Distr_LUT</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Disable_Collision_Warnings">false</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Disable_Out_of_Range_Warnings">false</spirit:configurableElementValue> @@ -193,7 +193,7 @@ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Load_Init_File">true</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MEM_FILE">no_mem_loaded</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Memory_Type">Simple_Dual_Port_RAM</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Operating_Mode_A">READ_FIRST</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Operating_Mode_A">NO_CHANGE</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Operating_Mode_B">WRITE_FIRST</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Output_Reset_Value_A">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Output_Reset_Value_B">0</spirit:configurableElementValue> @@ -297,7 +297,6 @@ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.RUSER_WIDTH" xilinx:valueSource="constant"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.WUSER_WIDTH" xilinx:valueSource="constant"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Coe_File" xilinx:valueSource="user"/> - <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Collision_Warnings" xilinx:valueSource="user"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Enable_A" xilinx:valueSource="user"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Enable_B" xilinx:valueSource="user"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Load_Init_File" xilinx:valueSource="user"/> @@ -305,7 +304,6 @@ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Operating_Mode_A" xilinx:valueSource="user"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Port_B_Clock" xilinx:valueSource="user"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Port_B_Enable_Rate" xilinx:valueSource="user"/> - <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Port_B_Write_Rate" xilinx:valueSource="user"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Register_PortA_Output_of_Memory_Primitives" xilinx:valueSource="user"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Register_PortB_Output_of_Memory_Primitives" xilinx:valueSource="user"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Write_Depth_A" xilinx:valueSource="user"/> diff --git a/sources/ip_cores/kintexUltrascale/TTCtoHostData.xci b/sources/ip_cores/kintexUltrascale/TTCtoHostData.xci index 2882e85f128cd2b567fdd6370fb32e51b39e223c..33db9e252882cf5889208c6deac096e400a48aa8 100644 --- a/sources/ip_cores/kintexUltrascale/TTCtoHostData.xci +++ b/sources/ip_cores/kintexUltrascale/TTCtoHostData.xci @@ -13,10 +13,14 @@ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CORE_CLK.ASSOCIATED_RESET"/> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CORE_CLK.CLK_DOMAIN"/> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CORE_CLK.FREQ_HZ">100000000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CORE_CLK.FREQ_TOLERANCE_HZ">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CORE_CLK.INSERT_VIP">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CORE_CLK.PHASE">0.000</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.MASTER_ACLK.ASSOCIATED_RESET"/> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.MASTER_ACLK.CLK_DOMAIN"/> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.MASTER_ACLK.FREQ_HZ">100000000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.MASTER_ACLK.FREQ_TOLERANCE_HZ">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.MASTER_ACLK.INSERT_VIP">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.MASTER_ACLK.PHASE">0.000</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.ADDR_WIDTH">1</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.ARUSER_WIDTH">0</spirit:configurableElementValue> @@ -35,6 +39,7 @@ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_RRESP">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_WSTRB">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.ID_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.INSERT_VIP">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.MAX_BURST_LENGTH">1</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.NUM_READ_OUTSTANDING">1</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.NUM_READ_THREADS">1</spirit:configurableElementValue> @@ -54,6 +59,7 @@ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS.HAS_TLAST">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS.HAS_TREADY">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS.HAS_TSTRB">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS.INSERT_VIP">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS.LAYERED_METADATA">undef</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS.PHASE">0.000</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS.TDATA_NUM_BYTES">0</spirit:configurableElementValue> @@ -64,10 +70,15 @@ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.READ_CLK.ASSOCIATED_RESET"/> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.READ_CLK.CLK_DOMAIN"/> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.READ_CLK.FREQ_HZ">100000000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.READ_CLK.FREQ_TOLERANCE_HZ">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.READ_CLK.INSERT_VIP">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.READ_CLK.PHASE">0.000</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.SLAVE_ACLK.CLK_DOMAIN"/> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.SLAVE_ACLK.FREQ_HZ">100000000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.SLAVE_ACLK.FREQ_TOLERANCE_HZ">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.SLAVE_ACLK.INSERT_VIP">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.SLAVE_ACLK.PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.SLAVE_ARESETN.INSERT_VIP">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.ADDR_WIDTH">1</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.ARUSER_WIDTH">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.AWUSER_WIDTH">0</spirit:configurableElementValue> @@ -85,6 +96,7 @@ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_RRESP">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_WSTRB">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.ID_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.INSERT_VIP">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.MAX_BURST_LENGTH">1</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.NUM_READ_OUTSTANDING">1</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.NUM_READ_THREADS">1</spirit:configurableElementValue> @@ -104,6 +116,7 @@ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS.HAS_TLAST">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS.HAS_TREADY">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS.HAS_TSTRB">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS.INSERT_VIP">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS.LAYERED_METADATA">undef</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS.PHASE">0.000</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS.TDATA_NUM_BYTES">0</spirit:configurableElementValue> @@ -114,6 +127,8 @@ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.WRITE_CLK.ASSOCIATED_RESET"/> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.WRITE_CLK.CLK_DOMAIN"/> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.WRITE_CLK.FREQ_HZ">100000000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.WRITE_CLK.FREQ_TOLERANCE_HZ">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.WRITE_CLK.INSERT_VIP">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.WRITE_CLK.PHASE">0.000</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ADD_NGC_CONSTRAINT">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_APPLICATION_TYPE_AXIS">0</spirit:configurableElementValue> @@ -226,8 +241,8 @@ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OPTIMIZATION_MODE">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OVERFLOW_LOW">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_POWER_SAVING_MODE">0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRELOAD_LATENCY">2</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRELOAD_REGS">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRELOAD_LATENCY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRELOAD_REGS">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIM_FIFO_TYPE">512x72</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIM_FIFO_TYPE_AXIS">1kx18</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIM_FIFO_TYPE_RACH">512x36</spirit:configurableElementValue> @@ -291,7 +306,7 @@ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_ECC_WACH">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_ECC_WDCH">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_ECC_WRCH">0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_EMBEDDED_REG">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_EMBEDDED_REG">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_FIFO16_FLAGS">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_FWFT_DATA_COUNT">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_PIPELINE_REG">0</spirit:configurableElementValue> @@ -460,7 +475,7 @@ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Underflow_Sense">Active_High</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Underflow_Sense_AXI">Active_High</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Use_Dout_Reset">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Use_Embedded_Registers">true</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Use_Embedded_Registers">false</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Use_Embedded_Registers_axis">false</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Use_Extra_Logic">false</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Valid_Flag">false</spirit:configurableElementValue> @@ -486,32 +501,58 @@ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.wdch_type">FIFO</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.wrch_type">FIFO</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.ARCHITECTURE">kintexu</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.BOARD"/> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.BASE_BOARD_PART"/> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.BOARD_CONNECTIONS"/> <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.DEVICE">xcku115</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PACKAGE">flvf1924</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PREFHDL">VHDL</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SILICON_REVISION"/> <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SIMULATOR_LANGUAGE">MIXED</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SPEEDGRADE">-2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.STATIC_POWER"/> <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.TEMPERATURE_GRADE">E</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_CUSTOMIZATION">TRUE</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_GENERATION">TRUE</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCONTEXT">IP_Flow</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPREVISION">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPREVISION">5</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.MANAGED">TRUE</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.OUTPUTDIR">.</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SELECTEDSIMMODEL"/> <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SHAREDDIR">.</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SWVERSION">2017.4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SWVERSION">2020.1</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SYNTHESISFLOW">OUT_OF_CONTEXT</spirit:configurableElementValue> </spirit:configurableElementValues> <spirit:vendorExtensions> <xilinx:componentInstanceExtensions> <xilinx:configElementInfos> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS.HAS_TREADY" xilinx:valueSource="user"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS.TDATA_NUM_BYTES" xilinx:valueSource="user"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS.HAS_TREADY" xilinx:valueSource="user"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS.TDATA_NUM_BYTES" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.ADDR_WIDTH" xilinx:valueSource="auto"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.DATA_WIDTH" xilinx:valueSource="auto"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_BRESP" xilinx:valueSource="auto"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_BURST" xilinx:valueSource="auto"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_CACHE" xilinx:valueSource="auto"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_LOCK" xilinx:valueSource="auto"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_PROT" xilinx:valueSource="auto"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_QOS" xilinx:valueSource="auto"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_REGION" xilinx:valueSource="auto"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_RRESP" xilinx:valueSource="auto"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_WSTRB" xilinx:valueSource="auto"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.PROTOCOL" xilinx:valueSource="auto"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS.HAS_TREADY" xilinx:valueSource="auto"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS.TDATA_NUM_BYTES" xilinx:valueSource="auto"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.ADDR_WIDTH" xilinx:valueSource="auto"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.DATA_WIDTH" xilinx:valueSource="auto"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_BRESP" xilinx:valueSource="auto"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_BURST" xilinx:valueSource="auto"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_CACHE" xilinx:valueSource="auto"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_LOCK" xilinx:valueSource="auto"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_PROT" xilinx:valueSource="auto"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_QOS" xilinx:valueSource="auto"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_REGION" xilinx:valueSource="auto"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_RRESP" xilinx:valueSource="auto"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_WSTRB" xilinx:valueSource="auto"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.PROTOCOL" xilinx:valueSource="auto"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS.HAS_TREADY" xilinx:valueSource="auto"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS.TDATA_NUM_BYTES" xilinx:valueSource="auto"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Data_Count" xilinx:valueSource="user"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Data_Count_Width" xilinx:valueSource="user"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Fifo_Implementation" xilinx:valueSource="user"/> diff --git a/sources/opencores/application.vhd b/sources/opencores/application.vhd index 304c86b1f15e5929e507b46f0431f8b9bf68d98e..8f3fc58cac4fa4569f840e76bbb30c3141f5b92f 100644 --- a/sources/opencores/application.vhd +++ b/sources/opencores/application.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! RHabraken +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!------------------------------------------------------------------------------ --! @@ -35,22 +53,7 @@ --! --! --! ------------------------------------------------------------------------------ ---! Virtex7 PCIe Gen3 DMA Core ---! ---! \copyright GNU LGPL License ---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br> ---! This library is free software; you can redistribute it and/or ---! modify it under the terms of the GNU Lesser General Public ---! License as published by the Free Software Foundation; either ---! version 3.0 of the License, or (at your option) any later version. ---! This library is distributed in the hope that it will be useful, ---! but WITHOUT ANY WARRANTY; without even the implied warranty of ---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU ---! Lesser General Public License for more details.<br> ---! You should have received a copy of the GNU Lesser General Public ---! License along with this library. ---! --- + --! @brief ieee diff --git a/sources/opencores/wupper_oc_top.vhd b/sources/opencores/wupper_oc_top.vhd index 06e1a786b0e8d307584910aff10c0116db494f09..717d087a9284fcd8c9e08bccf6f2df64195b5d06 100644 --- a/sources/opencores/wupper_oc_top.vhd +++ b/sources/opencores/wupper_oc_top.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! RHabraken +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!------------------------------------------------------------------------------ --! @@ -31,21 +49,6 @@ --! --! --! ------------------------------------------------------------------------------ ---! Virtex7 PCIe Gen3 DMA Core ---! ---! \copyright GNU LGPL License ---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br> ---! This library is free software; you can redistribute it and/or ---! modify it under the terms of the GNU Lesser General Public ---! License as published by the Free Software Foundation; either ---! version 3.0 of the License, or (at your option) any later version. ---! This library is distributed in the hope that it will be useful, ---! but WITHOUT ANY WARRANTY; without even the implied warranty of ---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU ---! Lesser General Public License for more details.<br> ---! You should have received a copy of the GNU Lesser General Public ---! License along with this library. ---! -- --! @brief ieee diff --git a/sources/packages/FELIX_gbt_package.vhd b/sources/packages/FELIX_gbt_package.vhd index 7bb9bd1a3563b790a7760c0c7abcad61df3b8945..9a9cac8e73f932bfdd22c94fd7cfb46588d62d55 100644 --- a/sources/packages/FELIX_gbt_package.vhd +++ b/sources/packages/FELIX_gbt_package.vhd @@ -1,3 +1,23 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Andrea Borga +--! Kai Chen +--! Mesfin Gebyehu +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ------------------------------------------- -- Kai Chen @ BNL -- Dec. 2014 diff --git a/sources/packages/FELIX_package.vhd b/sources/packages/FELIX_package.vhd index dec35921f86ffb3eb8f345b39e7f0979b9aa6141..e068faab6e74cd071ba40404bb8500d3f351bbea 100644 --- a/sources/packages/FELIX_package.vhd +++ b/sources/packages/FELIX_package.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Alessandra Camplani +--! Frans Schreuder +--! Thei Wijnen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; diff --git a/sources/packages/IG_centralRouter_package.vhd b/sources/packages/IG_centralRouter_package.vhd index fd0453a6f1296bf30cb2d20bacffc0bbb9f6cf13..61f6e50856daefa76da7995d5478a180c19bae11 100644 --- a/sources/packages/IG_centralRouter_package.vhd +++ b/sources/packages/IG_centralRouter_package.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Julia Narevicius +--! Israel Grayzman +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! -- --! Weizmann Institute of Science -- diff --git a/sources/packages/axi_stream_package.vhd b/sources/packages/axi_stream_package.vhd index 7cecd61c8dc66276b61cc037e70255ff2c70a9a5..f3b8fbe03778b86e6acfde81156036acc6f9e7cc 100644 --- a/sources/packages/axi_stream_package.vhd +++ b/sources/packages/axi_stream_package.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! -- --! Atlas - FELIX -- diff --git a/sources/packages/centralRouterTOPpackage.vhd b/sources/packages/centralRouterTOPpackage.vhd index 744af8860da34ac2f44bff6e81517a7974f5cca0..f893c6abaa7b59f72b9f93513ee2b038cac59cec 100644 --- a/sources/packages/centralRouterTOPpackage.vhd +++ b/sources/packages/centralRouterTOPpackage.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Julia Narevicius +--! Israel Grayzman +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ----------------------------------------------------------------------------- -- used for simulation only ----------------------------------------------------------------------------- diff --git a/sources/packages/centralRouter_package.vhd b/sources/packages/centralRouter_package.vhd index 12adb10ed2addbd2cf19c3471f2dd1ab76e95896..61dddc093db431e7d8f6b92f0bc8bdb0fc5133f0 100644 --- a/sources/packages/centralRouter_package.vhd +++ b/sources/packages/centralRouter_package.vhd @@ -1,3 +1,28 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Julia Narevicius +--! Andrea Borga +--! Frans Schreuder +--! RHabraken +--! Mesfin Gebyehu +--! Israel Grayzman +--! Alessandra Camplani +--! Ricardo Luz +--! Thei Wijnen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! -- --! Weizmann Institute of Science -- diff --git a/sources/packages/txt_util.vhd b/sources/packages/txt_util.vhd index 4bade5880d49723f86a119c929ce3e3a29f37c77..a726209d1cfb0ec71128f2a108ce8b7653b7fba0 100644 --- a/sources/packages/txt_util.vhd +++ b/sources/packages/txt_util.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -- ------------------------------------------------------------------- -- Design: -- diff --git a/sources/pcie/WupperFifos.vhd b/sources/pcie/WupperFifos.vhd index 79f2b6afe8e12078e987d4884897919d71b64a86..529ea4a40df068b69501e0a015fe03970c843afc 100644 --- a/sources/pcie/WupperFifos.vhd +++ b/sources/pcie/WupperFifos.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + diff --git a/sources/pcie/data_width_package_256.vhd b/sources/pcie/data_width_package_256.vhd index 7ec6a0ec9f31b33a7d84ca1c8b0d46a33a4cb35d..b122ec298e8be363ee40f4112d1a8013ac5682e8 100644 --- a/sources/pcie/data_width_package_256.vhd +++ b/sources/pcie/data_width_package_256.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library ieee; use ieee.numeric_std.all; use ieee.std_logic_1164.all; diff --git a/sources/pcie/data_width_package_512.vhd b/sources/pcie/data_width_package_512.vhd index e143263a8ea78c37d39fdfc2646ef0cc2e745088..be3a28cae6cca36efbbcde51c1e027e63a4f30e9 100644 --- a/sources/pcie/data_width_package_512.vhd +++ b/sources/pcie/data_width_package_512.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library ieee; use ieee.numeric_std.all; use ieee.std_logic_unsigned.all; diff --git a/sources/pcie/dma_read_write.vhd b/sources/pcie/dma_read_write.vhd index f57e56c723898cd8dc674796caf1d4b2a34e16b6..558c5d24f9d5e4690f817c87ca651b995444da42 100644 --- a/sources/pcie/dma_read_write.vhd +++ b/sources/pcie/dma_read_write.vhd @@ -1,3 +1,23 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Israel Grayzman +--! RHabraken +--! Thei Wijnen +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!------------------------------------------------------------------------------ --! diff --git a/sources/pcie/intr_ctrl.vhd b/sources/pcie/intr_ctrl.vhd index e72b91d098752a776f40e408007e183371a37d42..c5b0a049633e689c1163c8dd319a79e37221da82 100644 --- a/sources/pcie/intr_ctrl.vhd +++ b/sources/pcie/intr_ctrl.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! RHabraken +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!------------------------------------------------------------------------------ --! @@ -34,21 +52,6 @@ --! --! --! ------------------------------------------------------------------------------ ---! Virtex7 PCIe Gen3 DMA Core ---! ---! \copyright GNU LGPL License ---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br> ---! This library is free software; you can redistribute it and/or ---! modify it under the terms of the GNU Lesser General Public ---! License as published by the Free Software Foundation; either ---! version 3.0 of the License, or (at your option) any later version. ---! This library is distributed in the hope that it will be useful, ---! but WITHOUT ANY WARRANTY; without even the implied warranty of ---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU ---! Lesser General Public License for more details.<br> ---! You should have received a copy of the GNU Lesser General Public ---! License along with this library. ---! -- --! @brief ieee @@ -109,6 +112,7 @@ architecture rtl of intr_ctrl is signal axi_busy : std_logic; signal s_interrupt_pending : std_logic := '0'; signal s_test_interrupt_call: std_logic_vector(NUMBER_OF_INTERRUPTS-1 downto 0); + attribute ASYNC_REG : string; begin @@ -153,7 +157,9 @@ begin -- interrupt controller intr: process (regmap_clk, reset) variable v_cfg_interrupt_msix_int : std_logic := '0'; - variable v_interrupt_timeout : integer range 0 to 15; + variable v_interrupt_timeout : integer range 0 to 1023; + variable clear_interrupt_pending_v: std_logic; + attribute ASYNC_REG of clear_interrupt_pending_v : variable is "TRUE"; begin if(reset = '1') then @@ -171,10 +177,12 @@ begin s_cfg_interrupt_msix_address <= s_cfg_interrupt_msix_address; s_cfg_interrupt_msix_data <= s_cfg_interrupt_msix_data; s_interrupt_pending <= s_interrupt_pending; - if(s_interrupt_pending = '1' and (clear_interrupt_pending_s = '1' or v_interrupt_timeout = 0)) then + if(s_interrupt_pending = '1' and (clear_interrupt_pending_v = '1' or v_interrupt_timeout = 0)) then s_interrupt_pending <= '0'; end if; + clear_interrupt_pending_v := clear_interrupt_pending_s; --pipeline / ASYNC_REG + if (cfg_interrupt_msix_enable = "0001") then for i in 0 to NUMBER_OF_INTERRUPTS - 1 loop if(s_interrupt_call(i)='1' or s_test_interrupt_call(i) = '1') and (interrupt_table_en(i) = '1') then @@ -187,7 +195,7 @@ begin (s_cfg_interrupt_msix_int = '0') and (s_interrupt_pending = '0')) then s_interrupt_pending <= '1'; - v_interrupt_timeout := 15; + v_interrupt_timeout := 1023; s_interrupt_latch(i) <= '0'; v_cfg_interrupt_msix_int := '1'; --fire interrupt after one pipeline s_cfg_interrupt_msix_address <= interrupt_vector_s(i).int_vec_add; @@ -211,14 +219,18 @@ begin regSync250: process(clk) variable cfg_interrupt_msix_int_v : std_logic; + attribute ASYNC_REG of cfg_interrupt_msix_int_v : variable is "TRUE"; + variable interrupt_pending_v : std_logic; + attribute ASYNC_REG of interrupt_pending_v : variable is "TRUE"; variable axi_busy_p1 : std_logic; variable request_int: std_logic; begin if(rising_edge(clk)) then clear_interrupt_pending_s <= clear_interrupt_pending_s; - if(s_interrupt_pending = '0') then + if(interrupt_pending_v = '0') then clear_interrupt_pending_s <= '0'; end if; + interrupt_pending_v := s_interrupt_pending; --handshake ASYNC_REG if(request_int = '1' and (axi_busy = '0' and axi_busy_p1 = '0')) then --two axi idle clockcycles, don't send in between two DMA TLP's request_int := '0'; clear_interrupt_pending_s <= '1'; @@ -230,7 +242,7 @@ begin if(cfg_interrupt_msix_int_v = '0' and s_cfg_interrupt_msix_int = '1') then --detect rising edge request_int := '1'; end if; - cfg_interrupt_msix_int_v := s_cfg_interrupt_msix_int; -- pipeline + cfg_interrupt_msix_int_v := s_cfg_interrupt_msix_int; -- pipeline / ASYNC_REG axi_busy_p1 := axi_busy; end if; diff --git a/sources/pcie/pcie_clocking.vhd b/sources/pcie/pcie_clocking.vhd index 2576621fe9574527b94b1df78dd68bc495fddc99..97bba41b2512031d035e2dfd491bbcd07dd0be36 100644 --- a/sources/pcie/pcie_clocking.vhd +++ b/sources/pcie/pcie_clocking.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!------------------------------------------------------------------------------ --! --! NIKHEF - National Institute for Subatomic Physics @@ -28,21 +45,6 @@ --! --! --! ------------------------------------------------------------------------------ ---! Virtex7 PCIe Gen3 DMA Core ---! ---! \copyright GNU LGPL License ---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br> ---! This library is free software; you can redistribute it and/or ---! modify it under the terms of the GNU Lesser General Public ---! License as published by the Free Software Foundation; either ---! version 3.0 of the License, or (at your option) any later version. ---! This library is distributed in the hope that it will be useful, ---! but WITHOUT ANY WARRANTY; without even the implied warranty of ---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU ---! Lesser General Public License for more details.<br> ---! You should have received a copy of the GNU Lesser General Public ---! License along with this library. ---! --! @brief ieee diff --git a/sources/pcie/pcie_ep_wrap.vhd b/sources/pcie/pcie_ep_wrap.vhd index 72d4fc32e6bd8c0b59dd56c2d0de986caa2bae12..865d389a88ffd5fb9ca88097a3a1ea38131ee965 100644 --- a/sources/pcie/pcie_ep_wrap.vhd +++ b/sources/pcie/pcie_ep_wrap.vhd @@ -1,3 +1,25 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Israel Grayzman +--! RHabraken +--! Mesfin Gebyehu +--! Rene +--! Thei Wijnen +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!------------------------------------------------------------------------------ --! --! NIKHEF - National Institute for Subatomic Physics @@ -34,21 +56,6 @@ --! --! --! ------------------------------------------------------------------------------ ---! Virtex7 PCIe Gen3 DMA Core ---! ---! \copyright GNU LGPL License ---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br> ---! This library is free software; you can redistribute it and/or ---! modify it under the terms of the GNU Lesser General Public ---! License as published by the Free Software Foundation; either ---! version 3.0 of the License, or (at your option) any later version. ---! This library is distributed in the hope that it will be useful, ---! but WITHOUT ANY WARRANTY; without even the implied warranty of ---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU ---! Lesser General Public License for more details.<br> ---! You should have received a copy of the GNU Lesser General Public ---! License along with this library. ---! -- --! @brief ieee diff --git a/sources/pcie/pcie_init.vhd b/sources/pcie/pcie_init.vhd index fadec6705ddc1f75575d9e5a8f08f3012d334642..c2ef12cd1933dd85eb842a4567202402d18fa11a 100644 --- a/sources/pcie/pcie_init.vhd +++ b/sources/pcie/pcie_init.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! RHabraken +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!------------------------------------------------------------------------------ --! @@ -29,21 +47,6 @@ --! --! --! ------------------------------------------------------------------------------ ---! Virtex7 PCIe Gen3 DMA Core ---! ---! \copyright GNU LGPL License ---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br> ---! This library is free software; you can redistribute it and/or ---! modify it under the terms of the GNU Lesser General Public ---! License as published by the Free Software Foundation; either ---! version 3.0 of the License, or (at your option) any later version. ---! This library is distributed in the hope that it will be useful, ---! but WITHOUT ANY WARRANTY; without even the implied warranty of ---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU ---! Lesser General Public License for more details.<br> ---! You should have received a copy of the GNU Lesser General Public ---! License along with this library. ---! -- --! @brief ieee diff --git a/sources/pcie/pcie_slow_clock.vhd b/sources/pcie/pcie_slow_clock.vhd index db442f07d6c4ad0aa662e6dd67648adac73cca5c..12c04b79459a69c04fb1ae579e9b0f79687a2990 100644 --- a/sources/pcie/pcie_slow_clock.vhd +++ b/sources/pcie/pcie_slow_clock.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! RHabraken +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!------------------------------------------------------------------------------ --! @@ -27,21 +45,6 @@ --! --! --! ------------------------------------------------------------------------------ ---! Virtex7 PCIe Gen3 DMA Core ---! ---! \copyright GNU LGPL License ---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br> ---! This library is free software; you can redistribute it and/or ---! modify it under the terms of the GNU Lesser General Public ---! License as published by the Free Software Foundation; either ---! version 3.0 of the License, or (at your option) any later version. ---! This library is distributed in the hope that it will be useful, ---! but WITHOUT ANY WARRANTY; without even the implied warranty of ---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU ---! Lesser General Public License for more details.<br> ---! You should have received a copy of the GNU Lesser General Public ---! License along with this library. ---! -- --! @brief ieee diff --git a/sources/pcie/pcie_versal_0_support.vhd b/sources/pcie/pcie_versal_0_support.vhd index 9597f444ab21a805b41d646ab9a0aabb3e192a17..bee2669432e518248effd63727e0cba08c583ada 100644 --- a/sources/pcie/pcie_versal_0_support.vhd +++ b/sources/pcie/pcie_versal_0_support.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --Copyright 1986-2019 Xilinx, Inc. All Rights Reserved. ---------------------------------------------------------------------------------- --Tool Version: Vivado v.2019.2.1 (lin64) Build 2729669 Thu Dec 5 04:48:12 MST 2019 diff --git a/sources/pcie/wupper_core.vhd b/sources/pcie/wupper_core.vhd index d0c0819da4a77ca64077f861dea10043edfbd0f1..1657b54b1b6a60d7b49b25bbb7fcd8408bd3eca1 100644 --- a/sources/pcie/wupper_core.vhd +++ b/sources/pcie/wupper_core.vhd @@ -1,3 +1,24 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Enrico Gamberini +--! RHabraken +--! William Wulff +--! Thei Wijnen +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!------------------------------------------------------------------------------ --! @@ -29,21 +50,6 @@ --! --! --! ------------------------------------------------------------------------------ ---! Virtex7 PCIe Gen3 DMA Core ---! ---! \copyright GNU LGPL License ---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br> ---! This library is free software; you can redistribute it and/or ---! modify it under the terms of the GNU Lesser General Public ---! License as published by the Free Software Foundation; either ---! version 3.0 of the License, or (at your option) any later version. ---! This library is distributed in the hope that it will be useful, ---! but WITHOUT ANY WARRANTY; without even the implied warranty of ---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU ---! Lesser General Public License for more details.<br> ---! You should have received a copy of the GNU Lesser General Public ---! License along with this library. ---! -- --! @brief ieee diff --git a/sources/shared/card_type_specific_ios.vhd b/sources/shared/card_type_specific_ios.vhd index dd083ba6230588f694af59f83928f86dbc7d41b6..02f9bac1ae522ce53745f966daf43624df131f2e 100644 --- a/sources/shared/card_type_specific_ios.vhd +++ b/sources/shared/card_type_specific_ios.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Andrea Borga +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!------------------------------------------------------------------------------ --! --! NIKHEF - National Institute for Subatomic Physics @@ -28,21 +46,6 @@ --! --! --! ------------------------------------------------------------------------------ ---! Atlas FELIX ---! ---! \copyright GNU LGPL License ---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br> ---! This library is free software; you can redistribute it and/or ---! modify it under the terms of the GNU Lesser General Public ---! License as published by the Free Software Foundation; either ---! version 3.0 of the License, or (at your option) any later version. ---! This library is distributed in the hope that it will be useful, ---! but WITHOUT ANY WARRANTY; without even the implied warranty of ---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU ---! Lesser General Public License for more details.<br> ---! You should have received a copy of the GNU Lesser General Public ---! License along with this library. ---! --! @brief ieee diff --git a/sources/shared/dna.vhd b/sources/shared/dna.vhd index b665563cc1e491a430b21e46168dc6598d9e6a52..28166b106cefe88016c49fd791b89ac612b1331c 100644 --- a/sources/shared/dna.vhd +++ b/sources/shared/dna.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; diff --git a/sources/shared/pex_init.vhd b/sources/shared/pex_init.vhd index 588d2fb8e6b060f515a0b86191e8ae8cff929767..8e53965063d9ad0359ce18f6de450606e95b7edf 100644 --- a/sources/shared/pex_init.vhd +++ b/sources/shared/pex_init.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Kai Chen +--! Frans Schreuder +--! LOCKEY +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --!----------------------------------------------------------------------------- --! -- --! BNL - Brookhaven National Lboratory -- diff --git a/sources/shared/standard_mux16.vhd b/sources/shared/standard_mux16.vhd index 1cbe433ead3169b82ddd57d167020176aaefb675..620dae89637cfa0bb66bb1db59b0e4a223aba9ec 100644 --- a/sources/shared/standard_mux16.vhd +++ b/sources/shared/standard_mux16.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -- ------------------------------------------------------------------------------------------- -- Copyright © 2011-2012, Xilinx, Inc. diff --git a/sources/shared/xadc_drp.vhd b/sources/shared/xadc_drp.vhd index fe2822af8629cd0b594b748b7190e03452c8f200..a477986216c3630c831f002a34e302803b9f842f 100644 --- a/sources/shared/xadc_drp.vhd +++ b/sources/shared/xadc_drp.vhd @@ -1,3 +1,23 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! RHabraken +--! Thei Wijnen +--! Rene +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; diff --git a/sources/spi/LMK03200_spi.vhd b/sources/spi/LMK03200_spi.vhd index c3e6cfdf62fe99c8a4143cdde9868563044d13c8..71078608e46d79615ef9861656d3858376a197f8 100644 --- a/sources/spi/LMK03200_spi.vhd +++ b/sources/spi/LMK03200_spi.vhd @@ -1,3 +1,21 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Shelfali Saxena +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -- for spi_LMK03200 -- -- diff --git a/sources/spi/LMK03200_wrapper.vhd b/sources/spi/LMK03200_wrapper.vhd index 82d4b613f959567c07e59e631ea5f6690a6d6e0a..0f713c7e6d290d21cc9b9a69ee853581309562f9 100644 --- a/sources/spi/LMK03200_wrapper.vhd +++ b/sources/spi/LMK03200_wrapper.vhd @@ -1,3 +1,24 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Kai Chen +--! Thei Wijnen +--! Shelfali Saxena +--! mtrovato +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ------------------------------------------ ---- Designed by Kai ------------- ---- For LTDB test --------------- diff --git a/sources/spi/spi_master.vhd b/sources/spi/spi_master.vhd index 033da2d23fdc895d5de3a48f767a1664dc40726e..60ce180bc8edaee9955fe214fead75bc30facd5f 100644 --- a/sources/spi/spi_master.vhd +++ b/sources/spi/spi_master.vhd @@ -1,619 +1,620 @@ ------------------------------------------------------------------------------------------------------------------------ --- Author: Jonny Doin, jdoin@opencores.org, jonnydoin@gmail.com --- --- Create Date: 12:18:12 04/25/2011 --- Module Name: SPI_MASTER - RTL --- Project Name: SPI MASTER / SLAVE INTERFACE --- Target Devices: Spartan-6 --- Tool versions: ISE 13.1 --- Description: --- --- This block is the SPI master interface, implemented in one single entity. --- All internal core operations are synchronous to the 'sclk_i', and a spi base clock is generated by dividing sclk_i downto --- a frequency that is 2x the spi SCK line frequency. The divider value is passed as a generic parameter during instantiation. --- All parallel i/o interface operations are synchronous to the 'pclk_i' high speed clock, that can be asynchronous to the serial --- 'sclk_i' clock. --- For optimized use of longlines, connect 'sclk_i' and 'pclk_i' to the same global clock line. --- Fully pipelined cross-clock circuitry guarantees that no setup artifacts occur on the buffers that are accessed by the two --- clock domains. --- The block is very simple to use, and has parallel inputs and outputs that behave like a synchronous memory i/o. --- It is parameterizable via generics for the data width ('N'), SPI mode (CPHA and CPOL), lookahead prefetch signaling --- ('PREFETCH'), and spi base clock division from sclk_i ('SPI_2X_CLK_DIV'). --- --- SPI CLOCK GENERATION --- ==================== --- --- The clock generation for the SPI SCK is derived from the high-speed 'sclk_i' clock. The core divides this reference --- clock to form the SPI base clock, by the 'SPI_2X_CLK_DIV' generic parameter. The user must set the divider value for the --- SPI_2X clock, which is 2x the desired SCK frequency. --- All registers in the core are clocked by the high-speed clocks, and clock enables are used to run the FSM and other logic --- at lower rates. This architecture preserves FPGA clock resources like global clock buffers, and avoids path delays caused --- by combinatorial clock dividers outputs. --- The core has async clock domain circuitry to handle asynchronous clocks for the SPI and parallel interfaces. --- --- PARALLEL WRITE INTERFACE --- ======================== --- The parallel interface has an input port 'di_i' and an output port 'do_o'. --- Parallel load is controlled using 3 signals: 'di_i', 'di_req_o' and 'wren_i'. 'di_req_o' is a look ahead data request line, --- that is set 'PREFETCH' clock cycles in advance to synchronize a pipelined memory or fifo to present the --- next input data at 'di_i' in time to have continuous clock at the spi bus, to allow back-to-back continuous load. --- For a pipelined sync RAM, a PREFETCH of 2 cycles allows an address generator to present the new adress to the RAM in one --- cycle, and the RAM to respond in one more cycle, in time for 'di_i' to be latched by the shifter. --- If the user sequencer needs a different value for PREFETCH, the generic can be altered at instantiation time. --- The 'wren_i' write enable strobe must be valid at least one setup time before the rising edge of the last SPI clock cycle, --- if continuous transmission is intended. If 'wren_i' is not valid 2 SPI clock cycles after the last transmitted bit, the interface --- enters idle state and deasserts SSEL. --- When the interface is idle, 'wren_i' write strobe loads the data and starts transmission. 'di_req_o' will strobe when entering --- idle state, if a previously loaded data has already been transferred. --- --- PARALLEL WRITE SEQUENCE --- ======================= --- __ __ __ __ __ __ __ --- pclk_i __/ \__/ \__/ \__/ \__/ \__/ \__/ \... -- parallel interface clock --- ___________ --- di_req_o ________/ \_____________________... -- 'di_req_o' asserted on rising edge of 'pclk_i' --- ______________ ___________________________... --- di_i __old_data____X______new_data_____________... -- user circuit loads data on 'di_i' at next 'pclk_i' rising edge --- _______ --- wren_i __________________________/ \_______... -- user strobes 'wren_i' for one cycle of 'pclk_i' --- --- --- PARALLEL READ INTERFACE --- ======================= --- An internal buffer is used to copy the internal shift register data to drive the 'do_o' port. When a complete word is received, --- the core shift register is transferred to the buffer, at the rising edge of the spi clock, 'spi_clk'. --- The signal 'do_valid_o' is set one 'spi_clk' clock after, to directly drive a synchronous memory or fifo write enable. --- 'do_valid_o' is synchronous to the parallel interface clock, and changes only on rising edges of 'pclk_i'. --- When the interface is idle, data at the 'do_o' port holds the last word received. --- --- PARALLEL READ SEQUENCE --- ====================== --- ______ ______ ______ ______ --- spi_clk bit1 \______/ bitN \______/bitN-1\______/bitN-2\__... -- internal spi 2x base clock --- _ __ __ __ __ __ __ __ __ --- pclk_i \__/ \__/ \__/ \__/ \__/ \__/ \__/ \__/ \_... -- parallel interface clock (may be async to sclk_i) --- _____________ _____________________________________... -- 1) rx data is transferred to 'do_buffer_reg' --- do_o ___old_data__X__________new_data___________________... -- after last rx bit, at rising 'spi_clk'. --- ____________ --- do_valid_o ____________________________/ \_________... -- 2) 'do_valid_o' strobed for 2 'pclk_i' cycles --- -- on the 3rd 'pclk_i' rising edge. --- --- --- The propagation delay of spi_sck_o and spi_mosi_o, referred to the internal clock, is balanced by similar path delays, --- but the sampling delay of spi_miso_i imposes a setup time referred to the sck signal that limits the high frequency --- of the interface, for full duplex operation. --- --- This design was originally targeted to a Spartan-6 platform, synthesized with XST and normal constraints. --- The VHDL dialect used is VHDL'93, accepted largely by all synthesis tools. --- ------------------------------- COPYRIGHT NOTICE ----------------------------------------------------------------------- --- --- This file is part of the SPI MASTER/SLAVE INTERFACE project http://opencores.org/project,spi_master_slave --- --- Author(s): Jonny Doin, jdoin@opencores.org, jonnydoin@gmail.com --- --- Copyright (C) 2011 Jonny Doin --- ----------------------------- --- --- This source file may be used and distributed without restriction provided that this copyright statement is not --- removed from the file and that any derivative work contains the original copyright notice and the associated --- disclaimer. --- --- This source file is free software; you can redistribute it and/or modify it under the terms of the GNU Lesser --- General Public License as published by the Free Software Foundation; either version 2.1 of the License, or --- (at your option) any later version. --- --- This source is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied --- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU Lesser General Public License for more --- details. --- --- You should have received a copy of the GNU Lesser General Public License along with this source; if not, download --- it from http://www.gnu.org/licenses/lgpl.txt --- ------------------------------- REVISION HISTORY ----------------------------------------------------------------------- --- --- 2011/04/28 v0.01.0010 [JD] shifter implemented as a sequential process. timing problems and async issues in synthesis. --- 2011/05/01 v0.01.0030 [JD] changed original shifter design to a fully pipelined RTL fsmd. solved all synthesis issues. --- 2011/05/05 v0.01.0034 [JD] added an internal buffer register for rx_data, to allow greater liberty in data load/store. --- 2011/05/08 v0.10.0038 [JD] increased one state to have SSEL start one cycle before SCK. Implemented full CPOL/CPHA --- logic, based on generics, and do_valid_o signal. --- 2011/05/13 v0.20.0045 [JD] streamlined signal names, added PREFETCH parameter, added assertions. --- 2011/05/17 v0.80.0049 [JD] added explicit clock synchronization circuitry across clock boundaries. --- 2011/05/18 v0.95.0050 [JD] clock generation circuitry, with generators for all-rising-edge clock core. --- 2011/06/05 v0.96.0053 [JD] changed async clear to sync resets. --- 2011/06/07 v0.97.0065 [JD] added cross-clock buffers, fixed fsm async glitches. --- 2011/06/09 v0.97.0068 [JD] reduced control sets (resets, CE, presets) to the absolute minimum to operate, to reduce --- synthesis LUT overhead in Spartan-6 architecture. --- 2011/06/11 v0.97.0075 [JD] redesigned all parallel data interfacing ports, and implemented cross-clock strobe logic. --- 2011/06/12 v0.97.0079 [JD] streamlined wr_ack for all cases and eliminated unnecessary register resets. --- 2011/06/14 v0.97.0083 [JD] (bug CPHA effect) : redesigned SCK output circuit. --- (minor bug) : removed fsm registers from (not rst_i) chip enable. --- 2011/06/15 v0.97.0086 [JD] removed master MISO input register, to relax MISO data setup time (to get higher speed). --- 2011/07/09 v1.00.0095 [JD] changed all clocking scheme to use a single high-speed clock with clock enables to control lower --- frequency sequential circuits, to preserve clocking resources and avoid path delay glitches. --- 2011/07/10 v1.00.0098 [JD] implemented SCK clock divider circuit to generate spi clock directly from system clock. --- 2011/07/10 v1.10.0075 [JD] verified spi_master_slave in silicon at 50MHz, 25MHz, 16.666MHz, 12.5MHz, 10MHz, 8.333MHz, --- 7.1428MHz, 6.25MHz, 1MHz and 500kHz. The core proved very robust at all tested frequencies. --- 2011/07/16 v1.11.0080 [JD] verified both spi_master and spi_slave in loopback at 50MHz SPI clock. --- 2011/07/17 v1.11.0080 [JD] BUG: CPOL='1', CPHA='1' @50MHz causes MOSI to be shifted one bit earlier. --- BUG: CPOL='0', CPHA='1' causes SCK to have one extra pulse with one sclk_i width at the end. --- 2011/07/18 v1.12.0105 [JD] CHG: spi sck output register changed to remove glitch at last clock when CPHA='1'. --- for CPHA='1', max spi clock is 25MHz. for CPHA= '0', max spi clock is >50MHz. --- 2011/07/24 v1.13.0125 [JD] FIX: 'sck_ena_ce' is on half-cycle advanced to 'fsm_ce', elliminating CPHA='1' glitches. --- Core verified for all CPOL, CPHA at up to 50MHz, simulates to over 100MHz. --- 2011/07/29 v1.14.0130 [JD] Removed global signal setting at the FSM, implementing exhaustive explicit signal attributions --- for each state, to avoid reported inference problems in some synthesis engines. --- Streamlined port names and indentation blocks. --- 2011/08/01 v1.15.0135 [JD] Fixed latch inference for spi_mosi_o driver at the fsm. --- The master and slave cores were verified in FPGA with continuous transmission, for all SPI modes. --- 2011/08/04 v1.15.0136 [JD] Fixed assertions (PREFETCH >= 1) and minor comment bugs. --- ------------------------------------------------------------------------------------------------------------------------ --- TODO --- ==== --- ------------------------------------------------------------------------------------------------------------------------ -library ieee; -use ieee.std_logic_1164.all; -use ieee.numeric_std.all; -use ieee.std_logic_unsigned.all; - ---================================================================================================================ --- SYNTHESIS CONSIDERATIONS --- ======================== --- There are several output ports that are used to simulate and verify the core operation. --- Do not map any signals to the unused ports, and the synthesis tool will remove the related interfacing --- circuitry. --- The same is valid for the transmit and receive ports. If the receive ports are not mapped, the --- synthesis tool will remove the receive logic from the generated circuitry. --- Alternatively, you can remove these ports and related circuitry once the core is verified and --- integrated to your circuit. ---================================================================================================================ - -entity spi_master is - Generic ( - N : positive := 32; -- 32bit serial word length is default - CPOL : std_logic := '0'; -- SPI mode selection (mode 0 default) - CPHA : std_logic := '0'; -- CPOL = clock polarity, CPHA = clock phase. - PREFETCH : positive := 2; -- prefetch lookahead cycles - SPI_2X_CLK_DIV : positive := 5); -- for a 100MHz sclk_i, yields a 10MHz SCK - Port ( - sclk_i : in std_logic := 'X'; -- high-speed serial interface system clock - pclk_i : in std_logic := 'X'; -- high-speed parallel interface system clock - rst_i : in std_logic := 'X'; -- reset core - ---- serial interface ---- - spi_ssel_o : out std_logic; -- spi bus slave select line - spi_sck_o : out std_logic; -- spi bus sck - spi_mosi_o : out std_logic; -- spi bus mosi output - spi_miso_i : in std_logic := 'X'; -- spi bus spi_miso_i input - ---- parallel interface ---- - di_req_o : out std_logic; -- preload lookahead data request line - di_i : in std_logic_vector (N-1 downto 0) := (others => 'X'); -- parallel data in (clocked on rising spi_clk after last bit) - wren_i : in std_logic := 'X'; -- user data write enable, starts transmission when interface is idle - wr_ack_o : out std_logic; -- write acknowledge - do_valid_o : out std_logic; -- do_o data valid signal, valid during one spi_clk rising edge. - do_o : out std_logic_vector (N-1 downto 0); -- parallel output (clocked on rising spi_clk after last bit) - --- debug ports: can be removed or left unconnected for the application circuit --- - sck_ena_o : out std_logic; -- debug: internal sck enable signal - sck_ena_ce_o : out std_logic; -- debug: internal sck clock enable signal - do_transfer_o : out std_logic; -- debug: internal transfer driver - wren_o : out std_logic; -- debug: internal state of the wren_i pulse stretcher - rx_bit_reg_o : out std_logic; -- debug: internal rx bit - state_dbg_o : out std_logic_vector (3 downto 0); -- debug: internal state register - core_clk_o : out std_logic; - core_n_clk_o : out std_logic; - core_ce_o : out std_logic; - core_n_ce_o : out std_logic; - sh_reg_dbg_o : out std_logic_vector (N-1 downto 0) -- debug: internal shift register - ); -end spi_master; - ---================================================================================================================ --- this architecture is a pipelined register-transfer description. --- all signals are clocked at the rising edge of the system clock 'sclk_i'. ---================================================================================================================ -architecture rtl of spi_master is - -- core clocks, generated from 'sclk_i': initialized at GSR to differential values - signal core_clk : std_logic := '0'; -- continuous core clock, positive logic - signal core_n_clk : std_logic := '1'; -- continuous core clock, negative logic - signal core_ce : std_logic := '0'; -- core clock enable, positive logic - signal core_n_ce : std_logic := '1'; -- core clock enable, negative logic - -- spi bus clock, generated from the CPOL selected core clock polarity - signal spi_2x_ce : std_logic := '1'; -- spi_2x clock enable - signal spi_clk : std_logic := '0'; -- spi bus output clock - signal spi_clk_reg : std_logic; -- output pipeline delay for spi sck (do NOT global initialize) - -- core fsm clock enables - signal fsm_ce : std_logic := '1'; -- fsm clock enable - signal sck_ena_ce : std_logic := '1'; -- SCK clock enable - signal samp_ce : std_logic := '1'; -- data sampling clock enable - -- - -- GLOBAL RESET: - -- all signals are initialized to zero at GSR (global set/reset) by giving explicit - -- initialization values at declaration. This is needed for all Xilinx FPGAs, and - -- especially for the Spartan-6 and newer CLB architectures, where a async reset can - -- reduce the usability of the slice registers, due to the need to share the control - -- set (RESET/PRESET, CLOCK ENABLE and CLOCK) by all 8 registers in a slice. - -- By using GSR for the initialization, and reducing async RESET local init to the bare - -- essential, the model achieves better LUT/FF packing and CLB usability. - -- - -- internal state signals for register and combinatorial stages - signal state_next : natural range N+1 downto 0 := 0; - signal state_reg : natural range N+1 downto 0 := 0; - -- shifter signals for register and combinatorial stages - signal sh_next : std_logic_vector (N-1 downto 0); - signal sh_reg : std_logic_vector (N-1 downto 0); - -- input bit sampled buffer - signal rx_bit_reg : std_logic := '0'; - -- buffered di_i data signals for register and combinatorial stages - signal di_reg : std_logic_vector (N-1 downto 0); - -- internal wren_i stretcher for fsm combinatorial stage - signal wren : std_logic; - signal wr_ack_next : std_logic := '0'; - signal wr_ack_reg : std_logic := '0'; - -- internal SSEL enable control signals - signal ssel_ena_next : std_logic := '0'; - signal ssel_ena_reg : std_logic := '0'; - -- internal SCK enable control signals - signal sck_ena_next : std_logic; - signal sck_ena_reg : std_logic; - -- buffered do_o data signals for register and combinatorial stages - signal do_buffer_next : std_logic_vector (N-1 downto 0); - signal do_buffer_reg : std_logic_vector (N-1 downto 0); - -- internal signal to flag transfer to do_buffer_reg - signal do_transfer_next : std_logic := '0'; - signal do_transfer_reg : std_logic := '0'; - -- internal input data request signal - signal di_req_next : std_logic := '0'; - signal di_req_reg : std_logic := '0'; - -- cross-clock do_transfer_reg -> do_valid_o_reg pipeline - signal do_valid_A : std_logic := '0'; - signal do_valid_B : std_logic := '0'; - signal do_valid_C : std_logic := '0'; - signal do_valid_D : std_logic := '0'; - signal do_valid_next : std_logic := '0'; - signal do_valid_o_reg : std_logic := '0'; - -- cross-clock di_req_reg -> di_req_o_reg pipeline - signal di_req_o_A : std_logic := '0'; - signal di_req_o_B : std_logic := '0'; - signal di_req_o_C : std_logic := '0'; - signal di_req_o_D : std_logic := '0'; - signal di_req_o_next : std_logic := '1'; - signal di_req_o_reg : std_logic := '1'; -begin - --============================================================================================= - -- GENERICS CONSTRAINTS CHECKING - --============================================================================================= - -- minimum word width is 8 bits - assert N >= 8 - report "Generic parameter 'N' (shift register size) needs to be 8 bits minimum" - severity FAILURE; - -- minimum prefetch lookahead check - assert PREFETCH >= 1 - report "Generic parameter 'PREFETCH' (lookahead count) needs to be 1 minimum" - severity FAILURE; - -- maximum prefetch lookahead check - assert PREFETCH <= N-5 - report "Generic parameter 'PREFETCH' (lookahead count) out of range, needs to be N-5 maximum" - severity FAILURE; - -- SPI_2X_CLK_DIV clock divider value must not be zero - assert SPI_2X_CLK_DIV > 0 - report "Generic parameter 'SPI_2X_CLK_DIV' must not be zero" - severity FAILURE; - - --============================================================================================= - -- CLOCK GENERATION - --============================================================================================= - -- In order to preserve global clocking resources, the core clocking scheme is completely based - -- on using clock enables to process the serial high-speed clock at lower rates for the core fsm, - -- the spi clock generator and the input sampling clock. - -- The clock generation block derives 2 continuous antiphase signals from the 2x spi base clock - -- for the core clocking. - -- The 2 clock phases are generated by separate and synchronous FFs, and should have only - -- differential interconnect delay skew. - -- Clock enable signals are generated with the same phase as the 2 core clocks, and these clock - -- enables are used to control clocking of all internal synchronous circuitry. - -- The clock enable phase is selected for serial input sampling, fsm clocking, and spi SCK output, - -- based on the configuration of CPOL and CPHA. - -- Each phase is selected so that all the registers can be clocked with a rising edge on all SPI - -- modes, by a single high-speed global clock, preserving clock resources and clock to data skew. - ----------------------------------------------------------------------------------------------- - -- generate the 2x spi base clock enable from the serial high-speed input clock - spi_2x_ce_gen_proc: process (sclk_i) is - variable clk_cnt : integer range SPI_2X_CLK_DIV-1 downto 0 := 0; - begin - if sclk_i'event and sclk_i = '1' then - if clk_cnt = SPI_2X_CLK_DIV-1 then - spi_2x_ce <= '1'; - clk_cnt := 0; - else - spi_2x_ce <= '0'; - clk_cnt := clk_cnt + 1; - end if; - end if; - end process spi_2x_ce_gen_proc; - ----------------------------------------------------------------------------------------------- - -- generate the core antiphase clocks and clock enables from the 2x base CE. - core_clock_gen_proc : process (sclk_i) is - begin - if sclk_i'event and sclk_i = '1' then - if spi_2x_ce = '1' then - -- generate the 2 antiphase core clocks - core_clk <= core_n_clk; - core_n_clk <= not core_n_clk; - -- generate the 2 phase core clock enables - core_ce <= core_n_clk; - core_n_ce <= not core_n_clk; - else - core_ce <= '0'; - core_n_ce <= '0'; - end if; - end if; - end process core_clock_gen_proc; - - --============================================================================================= - -- GENERATE BLOCKS - --============================================================================================= - -- spi clk generator: generate spi_clk from core_clk depending on CPOL - spi_sck_cpol_0_proc: if CPOL = '0' generate - begin - spi_clk <= core_clk; -- for CPOL=0, spi clk has idle LOW - end generate; - - spi_sck_cpol_1_proc: if CPOL = '1' generate - begin - spi_clk <= core_n_clk; -- for CPOL=1, spi clk has idle HIGH - end generate; - ----------------------------------------------------------------------------------------------- - -- Sampling clock enable generation: generate 'samp_ce' from 'core_ce' or 'core_n_ce' depending on CPHA - -- always sample data at the half-cycle of the fsm update cell - samp_ce_cpha_0_proc: if CPHA = '0' generate - begin - samp_ce <= core_ce; - end generate; - - samp_ce_cpha_1_proc: if CPHA = '1' generate - begin - samp_ce <= core_n_ce; - end generate; - ----------------------------------------------------------------------------------------------- - -- FSM clock enable generation: generate 'fsm_ce' from core_ce or core_n_ce depending on CPHA - fsm_ce_cpha_0_proc: if CPHA = '0' generate - begin - fsm_ce <= core_n_ce; -- for CPHA=0, latch registers at rising edge of negative core clock enable - end generate; - - fsm_ce_cpha_1_proc: if CPHA = '1' generate - begin - fsm_ce <= core_ce; -- for CPHA=1, latch registers at rising edge of positive core clock enable - end generate; - ----------------------------------------------------------------------------------------------- - -- sck enable control: control sck advance phase for CPHA='1' relative to fsm clock - sck_ena_ce <= core_n_ce; -- for CPHA=1, SCK is advanced one-half cycle - - --============================================================================================= - -- REGISTERED INPUTS - --============================================================================================= - -- rx bit flop: capture rx bit after SAMPLE edge of sck - rx_bit_proc : process (sclk_i, spi_miso_i) is - begin - if sclk_i'event and sclk_i = '1' then - if samp_ce = '1' then - rx_bit_reg <= spi_miso_i; - end if; - end if; - end process rx_bit_proc; - - --============================================================================================= - -- CROSS-CLOCK PIPELINE TRANSFER LOGIC - --============================================================================================= - -- do_valid_o and di_req_o strobe output logic - -- this is a delayed pulse generator with a ripple-transfer FFD pipeline, that generates a - -- fixed-length delayed pulse for the output flags, at the parallel clock domain - out_transfer_proc : process ( pclk_i, do_transfer_reg, di_req_reg, - do_valid_A, do_valid_B, do_valid_D, - di_req_o_A, di_req_o_B, di_req_o_D ) is - begin - if pclk_i'event and pclk_i = '1' then -- clock at parallel port clock - -- do_transfer_reg -> do_valid_o_reg - do_valid_A <= do_transfer_reg; -- the input signal must be at least 2 clocks long - do_valid_B <= do_valid_A; -- feed it to a ripple chain of FFDs - do_valid_C <= do_valid_B; - do_valid_D <= do_valid_C; - do_valid_o_reg <= do_valid_next; -- registered output pulse - -------------------------------- - -- di_req_reg -> di_req_o_reg - di_req_o_A <= di_req_reg; -- the input signal must be at least 2 clocks long - di_req_o_B <= di_req_o_A; -- feed it to a ripple chain of FFDs - di_req_o_C <= di_req_o_B; - di_req_o_D <= di_req_o_C; - di_req_o_reg <= di_req_o_next; -- registered output pulse - end if; - -- generate a 2-clocks pulse at the 3rd clock cycle - do_valid_next <= do_valid_A and do_valid_B and not do_valid_D; - di_req_o_next <= di_req_o_A and di_req_o_B and not di_req_o_D; - end process out_transfer_proc; - -- parallel load input registers: data register and write enable - in_transfer_proc: process ( pclk_i, wren_i, wr_ack_reg ) is - begin - -- registered data input, input register with clock enable - if pclk_i'event and pclk_i = '1' then - if wren_i = '1' then - di_reg <= di_i; -- parallel data input buffer register - end if; - end if; - -- stretch wren pulse to be detected by spi fsm (ffd with sync preset and sync reset) - if pclk_i'event and pclk_i = '1' then - if wren_i = '1' then -- wren_i is the sync preset for wren - wren <= '1'; - elsif wr_ack_reg = '1' then -- wr_ack is the sync reset for wren - wren <= '0'; - end if; - end if; - end process in_transfer_proc; - - --============================================================================================= - -- REGISTER TRANSFER PROCESSES - --============================================================================================= - -- fsm state and data registers: synchronous to the spi base reference clock - core_reg_proc : process (sclk_i) is - begin - -- FF registers clocked on rising edge and cleared on sync rst_i - if sclk_i'event and sclk_i = '1' then - if rst_i = '1' then -- sync reset - state_reg <= 0; -- only provide local reset for the state machine - elsif fsm_ce = '1' then -- fsm_ce is clock enable for the fsm - state_reg <= state_next; -- state register - end if; - end if; - -- FF registers clocked synchronous to the fsm state - if sclk_i'event and sclk_i = '1' then - if fsm_ce = '1' then - sh_reg <= sh_next; -- shift register - ssel_ena_reg <= ssel_ena_next; -- spi select enable - do_buffer_reg <= do_buffer_next; -- registered output data buffer - do_transfer_reg <= do_transfer_next; -- output data transferred to buffer - di_req_reg <= di_req_next; -- input data request - wr_ack_reg <= wr_ack_next; -- write acknowledge for data load synchronization - end if; - end if; - -- FF registers clocked one-half cycle earlier than the fsm state - if sclk_i'event and sclk_i = '1' then - if sck_ena_ce = '1' then - sck_ena_reg <= sck_ena_next; -- spi clock enable: look ahead logic - end if; - end if; - end process core_reg_proc; - - --============================================================================================= - -- COMBINATORIAL LOGIC PROCESSES - --============================================================================================= - -- state and datapath combinatorial logic - core_combi_proc : process ( sh_reg, state_reg, rx_bit_reg, ssel_ena_reg, sck_ena_reg, do_buffer_reg, - do_transfer_reg, wr_ack_reg, di_req_reg, di_reg, wren ) is - begin - sh_next <= sh_reg; -- all output signals are assigned to (avoid latches) - ssel_ena_next <= ssel_ena_reg; -- controls the slave select line - sck_ena_next <= sck_ena_reg; -- controls the clock enable of spi sck line - do_buffer_next <= do_buffer_reg; -- output data buffer - do_transfer_next <= do_transfer_reg; -- output data flag - wr_ack_next <= wr_ack_reg; -- write acknowledge - di_req_next <= di_req_reg; -- prefetch data request - spi_mosi_o <= sh_reg(N-1); -- default to avoid latch inference - state_next <= state_reg; -- next state - case state_reg is - - when (N+1) => -- this state is to enable SSEL before SCK - spi_mosi_o <= sh_reg(N-1); -- shift out tx bit from the MSb - ssel_ena_next <= '1'; -- tx in progress: will assert SSEL - sck_ena_next <= '1'; -- enable SCK on next cycle (stays off on first SSEL clock cycle) - di_req_next <= '0'; -- prefetch data request: deassert when shifting data - wr_ack_next <= '0'; -- remove write acknowledge for all but the load stages - state_next <= state_reg - 1; -- update next state at each sck pulse - - when (N) => -- deassert 'di_rdy' and stretch do_valid - spi_mosi_o <= sh_reg(N-1); -- shift out tx bit from the MSb - di_req_next <= '0'; -- prefetch data request: deassert when shifting data - sh_next(N-1 downto 1) <= sh_reg(N-2 downto 0); -- shift inner bits - sh_next(0) <= rx_bit_reg; -- shift in rx bit into LSb - wr_ack_next <= '0'; -- remove write acknowledge for all but the load stages - state_next <= state_reg - 1; -- update next state at each sck pulse - - when (N-1) downto (PREFETCH+3) => -- remove 'do_transfer' and shift bits - spi_mosi_o <= sh_reg(N-1); -- shift out tx bit from the MSb - di_req_next <= '0'; -- prefetch data request: deassert when shifting data - do_transfer_next <= '0'; -- reset 'do_valid' transfer signal - sh_next(N-1 downto 1) <= sh_reg(N-2 downto 0); -- shift inner bits - sh_next(0) <= rx_bit_reg; -- shift in rx bit into LSb - wr_ack_next <= '0'; -- remove write acknowledge for all but the load stages - state_next <= state_reg - 1; -- update next state at each sck pulse - - when (PREFETCH+2) downto 2 => -- raise prefetch 'di_req_o' signal - spi_mosi_o <= sh_reg(N-1); -- shift out tx bit from the MSb - di_req_next <= '1'; -- request data in advance to allow for pipeline delays - sh_next(N-1 downto 1) <= sh_reg(N-2 downto 0); -- shift inner bits - sh_next(0) <= rx_bit_reg; -- shift in rx bit into LSb - wr_ack_next <= '0'; -- remove write acknowledge for all but the load stages - state_next <= state_reg - 1; -- update next state at each sck pulse - - when 1 => -- transfer rx data to do_buffer and restart if new data is written - spi_mosi_o <= sh_reg(N-1); -- shift out tx bit from the MSb - di_req_next <= '1'; -- request data in advance to allow for pipeline delays - do_buffer_next(N-1 downto 1) <= sh_reg(N-2 downto 0); -- shift rx data directly into rx buffer - do_buffer_next(0) <= rx_bit_reg; -- shift last rx bit into rx buffer - do_transfer_next <= '1'; -- signal transfer to do_buffer - if wren = '1' then -- load tx register if valid data present at di_i - state_next <= N; -- next state is top bit of new data - sh_next <= di_reg; -- load parallel data from di_reg into shifter - sck_ena_next <= '1'; -- SCK enabled - wr_ack_next <= '1'; -- acknowledge data in transfer - else - sck_ena_next <= '0'; -- SCK disabled: tx empty, no data to send - wr_ack_next <= '0'; -- remove write acknowledge for all but the load stages - state_next <= state_reg - 1; -- update next state at each sck pulse - end if; - - when 0 => -- idle state: start and end of transmission - di_req_next <= '1'; -- will request data if shifter empty - sck_ena_next <= '0'; -- SCK disabled: tx empty, no data to send - if wren = '1' then -- load tx register if valid data present at di_i - spi_mosi_o <= di_reg(N-1); -- special case: shift out first tx bit from the MSb (look ahead) - ssel_ena_next <= '1'; -- enable interface SSEL - state_next <= N+1; -- start from idle: let one cycle for SSEL settling - sh_next <= di_reg; -- load bits from di_reg into shifter - wr_ack_next <= '1'; -- acknowledge data in transfer - else - spi_mosi_o <= sh_reg(N-1); -- shift out tx bit from the MSb - ssel_ena_next <= '0'; -- deassert SSEL: interface is idle - wr_ack_next <= '0'; -- remove write acknowledge for all but the load stages - state_next <= 0; -- when idle, keep this state - end if; - - when others => - state_next <= 0; -- state 0 is safe state - end case; - end process core_combi_proc; - - --============================================================================================= - -- OUTPUT LOGIC PROCESSES - --============================================================================================= - -- data output processes - spi_ssel_o_proc: spi_ssel_o <= not ssel_ena_reg; -- active-low slave select line - do_o_proc: do_o <= do_buffer_reg; -- parallel data out - do_valid_o_proc: do_valid_o <= do_valid_o_reg; -- data out valid - di_req_o_proc: di_req_o <= di_req_o_reg; -- input data request for next cycle - wr_ack_o_proc: wr_ack_o <= wr_ack_reg; -- write acknowledge - ----------------------------------------------------------------------------------------------- - -- SCK out logic: pipeline phase compensation for the SCK line - ----------------------------------------------------------------------------------------------- - -- This is a MUX with an output register. - -- The register gives us a pipeline delay for the SCK line, pairing with the state machine moore - -- output pipeline delay for the MOSI line, and thus enabling higher SCK frequency. - spi_sck_o_gen_proc : process (sclk_i, sck_ena_reg, spi_clk, spi_clk_reg) is - begin - if sclk_i'event and sclk_i = '1' then - if sck_ena_reg = '1' then - spi_clk_reg <= spi_clk; -- copy the selected clock polarity - else - spi_clk_reg <= CPOL; -- when clock disabled, set to idle polarity - end if; - end if; - spi_sck_o <= spi_clk_reg; -- connect register to output - end process spi_sck_o_gen_proc; - - --============================================================================================= - -- DEBUG LOGIC PROCESSES - --============================================================================================= - -- these signals are useful for verification, and can be deleted after debug. - do_transfer_proc: do_transfer_o <= do_transfer_reg; - state_dbg_proc: state_dbg_o <= std_logic_vector(to_unsigned(state_reg, 4)); - rx_bit_reg_proc: rx_bit_reg_o <= rx_bit_reg; - wren_o_proc: wren_o <= wren; - sh_reg_dbg_proc: sh_reg_dbg_o <= sh_reg; - core_clk_o_proc: core_clk_o <= core_clk; - core_n_clk_o_proc: core_n_clk_o <= core_n_clk; - core_ce_o_proc: core_ce_o <= core_ce; - core_n_ce_o_proc: core_n_ce_o <= core_n_ce; - sck_ena_o_proc: sck_ena_o <= sck_ena_reg; - sck_ena_ce_o_proc: sck_ena_ce_o <= sck_ena_ce; - -end architecture rtl; +----------------------------------------------------------------------------------------------------------------------- +-- Author: Jonny Doin, jdoin@opencores.org, jonnydoin@gmail.com +-- +-- Create Date: 12:18:12 04/25/2011 +-- Module Name: SPI_MASTER - RTL +-- Project Name: SPI MASTER / SLAVE INTERFACE +-- Target Devices: Spartan-6 +-- Tool versions: ISE 13.1 +-- Description: +-- +-- This block is the SPI master interface, implemented in one single entity. +-- All internal core operations are synchronous to the 'sclk_i', and a spi base clock is generated by dividing sclk_i downto +-- a frequency that is 2x the spi SCK line frequency. The divider value is passed as a generic parameter during instantiation. +-- All parallel i/o interface operations are synchronous to the 'pclk_i' high speed clock, that can be asynchronous to the serial +-- 'sclk_i' clock. +-- For optimized use of longlines, connect 'sclk_i' and 'pclk_i' to the same global clock line. +-- Fully pipelined cross-clock circuitry guarantees that no setup artifacts occur on the buffers that are accessed by the two +-- clock domains. +-- The block is very simple to use, and has parallel inputs and outputs that behave like a synchronous memory i/o. +-- It is parameterizable via generics for the data width ('N'), SPI mode (CPHA and CPOL), lookahead prefetch signaling +-- ('PREFETCH'), and spi base clock division from sclk_i ('SPI_2X_CLK_DIV'). +-- +-- SPI CLOCK GENERATION +-- ==================== +-- +-- The clock generation for the SPI SCK is derived from the high-speed 'sclk_i' clock. The core divides this reference +-- clock to form the SPI base clock, by the 'SPI_2X_CLK_DIV' generic parameter. The user must set the divider value for the +-- SPI_2X clock, which is 2x the desired SCK frequency. +-- All registers in the core are clocked by the high-speed clocks, and clock enables are used to run the FSM and other logic +-- at lower rates. This architecture preserves FPGA clock resources like global clock buffers, and avoids path delays caused +-- by combinatorial clock dividers outputs. +-- The core has async clock domain circuitry to handle asynchronous clocks for the SPI and parallel interfaces. +-- +-- PARALLEL WRITE INTERFACE +-- ======================== +-- The parallel interface has an input port 'di_i' and an output port 'do_o'. +-- Parallel load is controlled using 3 signals: 'di_i', 'di_req_o' and 'wren_i'. 'di_req_o' is a look ahead data request line, +-- that is set 'PREFETCH' clock cycles in advance to synchronize a pipelined memory or fifo to present the +-- next input data at 'di_i' in time to have continuous clock at the spi bus, to allow back-to-back continuous load. +-- For a pipelined sync RAM, a PREFETCH of 2 cycles allows an address generator to present the new adress to the RAM in one +-- cycle, and the RAM to respond in one more cycle, in time for 'di_i' to be latched by the shifter. +-- If the user sequencer needs a different value for PREFETCH, the generic can be altered at instantiation time. +-- The 'wren_i' write enable strobe must be valid at least one setup time before the rising edge of the last SPI clock cycle, +-- if continuous transmission is intended. If 'wren_i' is not valid 2 SPI clock cycles after the last transmitted bit, the interface +-- enters idle state and deasserts SSEL. +-- When the interface is idle, 'wren_i' write strobe loads the data and starts transmission. 'di_req_o' will strobe when entering +-- idle state, if a previously loaded data has already been transferred. +-- +-- PARALLEL WRITE SEQUENCE +-- ======================= +-- __ __ __ __ __ __ __ +-- pclk_i __/ \__/ \__/ \__/ \__/ \__/ \__/ \... -- parallel interface clock +-- ___________ +-- di_req_o ________/ \_____________________... -- 'di_req_o' asserted on rising edge of 'pclk_i' +-- ______________ ___________________________... +-- di_i __old_data____X______new_data_____________... -- user circuit loads data on 'di_i' at next 'pclk_i' rising edge +-- _______ +-- wren_i __________________________/ \_______... -- user strobes 'wren_i' for one cycle of 'pclk_i' +-- +-- +-- PARALLEL READ INTERFACE +-- ======================= +-- An internal buffer is used to copy the internal shift register data to drive the 'do_o' port. When a complete word is received, +-- the core shift register is transferred to the buffer, at the rising edge of the spi clock, 'spi_clk'. +-- The signal 'do_valid_o' is set one 'spi_clk' clock after, to directly drive a synchronous memory or fifo write enable. +-- 'do_valid_o' is synchronous to the parallel interface clock, and changes only on rising edges of 'pclk_i'. +-- When the interface is idle, data at the 'do_o' port holds the last word received. +-- +-- PARALLEL READ SEQUENCE +-- ====================== +-- ______ ______ ______ ______ +-- spi_clk bit1 \______/ bitN \______/bitN-1\______/bitN-2\__... -- internal spi 2x base clock +-- _ __ __ __ __ __ __ __ __ +-- pclk_i \__/ \__/ \__/ \__/ \__/ \__/ \__/ \__/ \_... -- parallel interface clock (may be async to sclk_i) +-- _____________ _____________________________________... -- 1) rx data is transferred to 'do_buffer_reg' +-- do_o ___old_data__X__________new_data___________________... -- after last rx bit, at rising 'spi_clk'. +-- ____________ +-- do_valid_o ____________________________/ \_________... -- 2) 'do_valid_o' strobed for 2 'pclk_i' cycles +-- -- on the 3rd 'pclk_i' rising edge. +-- +-- +-- The propagation delay of spi_sck_o and spi_mosi_o, referred to the internal clock, is balanced by similar path delays, +-- but the sampling delay of spi_miso_i imposes a setup time referred to the sck signal that limits the high frequency +-- of the interface, for full duplex operation. +-- +-- This design was originally targeted to a Spartan-6 platform, synthesized with XST and normal constraints. +-- The VHDL dialect used is VHDL'93, accepted largely by all synthesis tools. +-- +------------------------------ COPYRIGHT NOTICE ----------------------------------------------------------------------- +-- +-- This file is part of the SPI MASTER/SLAVE INTERFACE project http://opencores.org/project,spi_master_slave +-- +-- Author(s): Jonny Doin, jdoin@opencores.org, jonnydoin@gmail.com +-- +-- Copyright (C) 2011 Jonny Doin +-- ----------------------------- +-- +-- This source file may be used and distributed without restriction provided that this copyright statement is not +-- removed from the file and that any derivative work contains the original copyright notice and the associated +-- disclaimer. +-- +-- This source file is free software; you can redistribute it and/or modify it under the terms of the GNU Lesser +-- General Public License as published by the Free Software Foundation; either version 2.1 of the License, or +-- (at your option) any later version. +-- +-- This source is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied +-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU Lesser General Public License for more +-- details. +-- +-- You should have received a copy of the GNU Lesser General Public License along with this source; if not, download +-- it from http://www.gnu.org/licenses/lgpl.txt +-- +-- Unlike FELIX, this file is not Licensed under the Apache License, but it is not included in the FELIX project anymore +------------------------------ REVISION HISTORY ----------------------------------------------------------------------- +-- +-- 2011/04/28 v0.01.0010 [JD] shifter implemented as a sequential process. timing problems and async issues in synthesis. +-- 2011/05/01 v0.01.0030 [JD] changed original shifter design to a fully pipelined RTL fsmd. solved all synthesis issues. +-- 2011/05/05 v0.01.0034 [JD] added an internal buffer register for rx_data, to allow greater liberty in data load/store. +-- 2011/05/08 v0.10.0038 [JD] increased one state to have SSEL start one cycle before SCK. Implemented full CPOL/CPHA +-- logic, based on generics, and do_valid_o signal. +-- 2011/05/13 v0.20.0045 [JD] streamlined signal names, added PREFETCH parameter, added assertions. +-- 2011/05/17 v0.80.0049 [JD] added explicit clock synchronization circuitry across clock boundaries. +-- 2011/05/18 v0.95.0050 [JD] clock generation circuitry, with generators for all-rising-edge clock core. +-- 2011/06/05 v0.96.0053 [JD] changed async clear to sync resets. +-- 2011/06/07 v0.97.0065 [JD] added cross-clock buffers, fixed fsm async glitches. +-- 2011/06/09 v0.97.0068 [JD] reduced control sets (resets, CE, presets) to the absolute minimum to operate, to reduce +-- synthesis LUT overhead in Spartan-6 architecture. +-- 2011/06/11 v0.97.0075 [JD] redesigned all parallel data interfacing ports, and implemented cross-clock strobe logic. +-- 2011/06/12 v0.97.0079 [JD] streamlined wr_ack for all cases and eliminated unnecessary register resets. +-- 2011/06/14 v0.97.0083 [JD] (bug CPHA effect) : redesigned SCK output circuit. +-- (minor bug) : removed fsm registers from (not rst_i) chip enable. +-- 2011/06/15 v0.97.0086 [JD] removed master MISO input register, to relax MISO data setup time (to get higher speed). +-- 2011/07/09 v1.00.0095 [JD] changed all clocking scheme to use a single high-speed clock with clock enables to control lower +-- frequency sequential circuits, to preserve clocking resources and avoid path delay glitches. +-- 2011/07/10 v1.00.0098 [JD] implemented SCK clock divider circuit to generate spi clock directly from system clock. +-- 2011/07/10 v1.10.0075 [JD] verified spi_master_slave in silicon at 50MHz, 25MHz, 16.666MHz, 12.5MHz, 10MHz, 8.333MHz, +-- 7.1428MHz, 6.25MHz, 1MHz and 500kHz. The core proved very robust at all tested frequencies. +-- 2011/07/16 v1.11.0080 [JD] verified both spi_master and spi_slave in loopback at 50MHz SPI clock. +-- 2011/07/17 v1.11.0080 [JD] BUG: CPOL='1', CPHA='1' @50MHz causes MOSI to be shifted one bit earlier. +-- BUG: CPOL='0', CPHA='1' causes SCK to have one extra pulse with one sclk_i width at the end. +-- 2011/07/18 v1.12.0105 [JD] CHG: spi sck output register changed to remove glitch at last clock when CPHA='1'. +-- for CPHA='1', max spi clock is 25MHz. for CPHA= '0', max spi clock is >50MHz. +-- 2011/07/24 v1.13.0125 [JD] FIX: 'sck_ena_ce' is on half-cycle advanced to 'fsm_ce', elliminating CPHA='1' glitches. +-- Core verified for all CPOL, CPHA at up to 50MHz, simulates to over 100MHz. +-- 2011/07/29 v1.14.0130 [JD] Removed global signal setting at the FSM, implementing exhaustive explicit signal attributions +-- for each state, to avoid reported inference problems in some synthesis engines. +-- Streamlined port names and indentation blocks. +-- 2011/08/01 v1.15.0135 [JD] Fixed latch inference for spi_mosi_o driver at the fsm. +-- The master and slave cores were verified in FPGA with continuous transmission, for all SPI modes. +-- 2011/08/04 v1.15.0136 [JD] Fixed assertions (PREFETCH >= 1) and minor comment bugs. +-- +----------------------------------------------------------------------------------------------------------------------- +-- TODO +-- ==== +-- +----------------------------------------------------------------------------------------------------------------------- +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; +use ieee.std_logic_unsigned.all; + +--================================================================================================================ +-- SYNTHESIS CONSIDERATIONS +-- ======================== +-- There are several output ports that are used to simulate and verify the core operation. +-- Do not map any signals to the unused ports, and the synthesis tool will remove the related interfacing +-- circuitry. +-- The same is valid for the transmit and receive ports. If the receive ports are not mapped, the +-- synthesis tool will remove the receive logic from the generated circuitry. +-- Alternatively, you can remove these ports and related circuitry once the core is verified and +-- integrated to your circuit. +--================================================================================================================ + +entity spi_master is + Generic ( + N : positive := 32; -- 32bit serial word length is default + CPOL : std_logic := '0'; -- SPI mode selection (mode 0 default) + CPHA : std_logic := '0'; -- CPOL = clock polarity, CPHA = clock phase. + PREFETCH : positive := 2; -- prefetch lookahead cycles + SPI_2X_CLK_DIV : positive := 5); -- for a 100MHz sclk_i, yields a 10MHz SCK + Port ( + sclk_i : in std_logic := 'X'; -- high-speed serial interface system clock + pclk_i : in std_logic := 'X'; -- high-speed parallel interface system clock + rst_i : in std_logic := 'X'; -- reset core + ---- serial interface ---- + spi_ssel_o : out std_logic; -- spi bus slave select line + spi_sck_o : out std_logic; -- spi bus sck + spi_mosi_o : out std_logic; -- spi bus mosi output + spi_miso_i : in std_logic := 'X'; -- spi bus spi_miso_i input + ---- parallel interface ---- + di_req_o : out std_logic; -- preload lookahead data request line + di_i : in std_logic_vector (N-1 downto 0) := (others => 'X'); -- parallel data in (clocked on rising spi_clk after last bit) + wren_i : in std_logic := 'X'; -- user data write enable, starts transmission when interface is idle + wr_ack_o : out std_logic; -- write acknowledge + do_valid_o : out std_logic; -- do_o data valid signal, valid during one spi_clk rising edge. + do_o : out std_logic_vector (N-1 downto 0); -- parallel output (clocked on rising spi_clk after last bit) + --- debug ports: can be removed or left unconnected for the application circuit --- + sck_ena_o : out std_logic; -- debug: internal sck enable signal + sck_ena_ce_o : out std_logic; -- debug: internal sck clock enable signal + do_transfer_o : out std_logic; -- debug: internal transfer driver + wren_o : out std_logic; -- debug: internal state of the wren_i pulse stretcher + rx_bit_reg_o : out std_logic; -- debug: internal rx bit + state_dbg_o : out std_logic_vector (3 downto 0); -- debug: internal state register + core_clk_o : out std_logic; + core_n_clk_o : out std_logic; + core_ce_o : out std_logic; + core_n_ce_o : out std_logic; + sh_reg_dbg_o : out std_logic_vector (N-1 downto 0) -- debug: internal shift register + ); +end spi_master; + +--================================================================================================================ +-- this architecture is a pipelined register-transfer description. +-- all signals are clocked at the rising edge of the system clock 'sclk_i'. +--================================================================================================================ +architecture rtl of spi_master is + -- core clocks, generated from 'sclk_i': initialized at GSR to differential values + signal core_clk : std_logic := '0'; -- continuous core clock, positive logic + signal core_n_clk : std_logic := '1'; -- continuous core clock, negative logic + signal core_ce : std_logic := '0'; -- core clock enable, positive logic + signal core_n_ce : std_logic := '1'; -- core clock enable, negative logic + -- spi bus clock, generated from the CPOL selected core clock polarity + signal spi_2x_ce : std_logic := '1'; -- spi_2x clock enable + signal spi_clk : std_logic := '0'; -- spi bus output clock + signal spi_clk_reg : std_logic; -- output pipeline delay for spi sck (do NOT global initialize) + -- core fsm clock enables + signal fsm_ce : std_logic := '1'; -- fsm clock enable + signal sck_ena_ce : std_logic := '1'; -- SCK clock enable + signal samp_ce : std_logic := '1'; -- data sampling clock enable + -- + -- GLOBAL RESET: + -- all signals are initialized to zero at GSR (global set/reset) by giving explicit + -- initialization values at declaration. This is needed for all Xilinx FPGAs, and + -- especially for the Spartan-6 and newer CLB architectures, where a async reset can + -- reduce the usability of the slice registers, due to the need to share the control + -- set (RESET/PRESET, CLOCK ENABLE and CLOCK) by all 8 registers in a slice. + -- By using GSR for the initialization, and reducing async RESET local init to the bare + -- essential, the model achieves better LUT/FF packing and CLB usability. + -- + -- internal state signals for register and combinatorial stages + signal state_next : natural range N+1 downto 0 := 0; + signal state_reg : natural range N+1 downto 0 := 0; + -- shifter signals for register and combinatorial stages + signal sh_next : std_logic_vector (N-1 downto 0); + signal sh_reg : std_logic_vector (N-1 downto 0); + -- input bit sampled buffer + signal rx_bit_reg : std_logic := '0'; + -- buffered di_i data signals for register and combinatorial stages + signal di_reg : std_logic_vector (N-1 downto 0); + -- internal wren_i stretcher for fsm combinatorial stage + signal wren : std_logic; + signal wr_ack_next : std_logic := '0'; + signal wr_ack_reg : std_logic := '0'; + -- internal SSEL enable control signals + signal ssel_ena_next : std_logic := '0'; + signal ssel_ena_reg : std_logic := '0'; + -- internal SCK enable control signals + signal sck_ena_next : std_logic; + signal sck_ena_reg : std_logic; + -- buffered do_o data signals for register and combinatorial stages + signal do_buffer_next : std_logic_vector (N-1 downto 0); + signal do_buffer_reg : std_logic_vector (N-1 downto 0); + -- internal signal to flag transfer to do_buffer_reg + signal do_transfer_next : std_logic := '0'; + signal do_transfer_reg : std_logic := '0'; + -- internal input data request signal + signal di_req_next : std_logic := '0'; + signal di_req_reg : std_logic := '0'; + -- cross-clock do_transfer_reg -> do_valid_o_reg pipeline + signal do_valid_A : std_logic := '0'; + signal do_valid_B : std_logic := '0'; + signal do_valid_C : std_logic := '0'; + signal do_valid_D : std_logic := '0'; + signal do_valid_next : std_logic := '0'; + signal do_valid_o_reg : std_logic := '0'; + -- cross-clock di_req_reg -> di_req_o_reg pipeline + signal di_req_o_A : std_logic := '0'; + signal di_req_o_B : std_logic := '0'; + signal di_req_o_C : std_logic := '0'; + signal di_req_o_D : std_logic := '0'; + signal di_req_o_next : std_logic := '1'; + signal di_req_o_reg : std_logic := '1'; +begin + --============================================================================================= + -- GENERICS CONSTRAINTS CHECKING + --============================================================================================= + -- minimum word width is 8 bits + assert N >= 8 + report "Generic parameter 'N' (shift register size) needs to be 8 bits minimum" + severity FAILURE; + -- minimum prefetch lookahead check + assert PREFETCH >= 1 + report "Generic parameter 'PREFETCH' (lookahead count) needs to be 1 minimum" + severity FAILURE; + -- maximum prefetch lookahead check + assert PREFETCH <= N-5 + report "Generic parameter 'PREFETCH' (lookahead count) out of range, needs to be N-5 maximum" + severity FAILURE; + -- SPI_2X_CLK_DIV clock divider value must not be zero + assert SPI_2X_CLK_DIV > 0 + report "Generic parameter 'SPI_2X_CLK_DIV' must not be zero" + severity FAILURE; + + --============================================================================================= + -- CLOCK GENERATION + --============================================================================================= + -- In order to preserve global clocking resources, the core clocking scheme is completely based + -- on using clock enables to process the serial high-speed clock at lower rates for the core fsm, + -- the spi clock generator and the input sampling clock. + -- The clock generation block derives 2 continuous antiphase signals from the 2x spi base clock + -- for the core clocking. + -- The 2 clock phases are generated by separate and synchronous FFs, and should have only + -- differential interconnect delay skew. + -- Clock enable signals are generated with the same phase as the 2 core clocks, and these clock + -- enables are used to control clocking of all internal synchronous circuitry. + -- The clock enable phase is selected for serial input sampling, fsm clocking, and spi SCK output, + -- based on the configuration of CPOL and CPHA. + -- Each phase is selected so that all the registers can be clocked with a rising edge on all SPI + -- modes, by a single high-speed global clock, preserving clock resources and clock to data skew. + ----------------------------------------------------------------------------------------------- + -- generate the 2x spi base clock enable from the serial high-speed input clock + spi_2x_ce_gen_proc: process (sclk_i) is + variable clk_cnt : integer range SPI_2X_CLK_DIV-1 downto 0 := 0; + begin + if sclk_i'event and sclk_i = '1' then + if clk_cnt = SPI_2X_CLK_DIV-1 then + spi_2x_ce <= '1'; + clk_cnt := 0; + else + spi_2x_ce <= '0'; + clk_cnt := clk_cnt + 1; + end if; + end if; + end process spi_2x_ce_gen_proc; + ----------------------------------------------------------------------------------------------- + -- generate the core antiphase clocks and clock enables from the 2x base CE. + core_clock_gen_proc : process (sclk_i) is + begin + if sclk_i'event and sclk_i = '1' then + if spi_2x_ce = '1' then + -- generate the 2 antiphase core clocks + core_clk <= core_n_clk; + core_n_clk <= not core_n_clk; + -- generate the 2 phase core clock enables + core_ce <= core_n_clk; + core_n_ce <= not core_n_clk; + else + core_ce <= '0'; + core_n_ce <= '0'; + end if; + end if; + end process core_clock_gen_proc; + + --============================================================================================= + -- GENERATE BLOCKS + --============================================================================================= + -- spi clk generator: generate spi_clk from core_clk depending on CPOL + spi_sck_cpol_0_proc: if CPOL = '0' generate + begin + spi_clk <= core_clk; -- for CPOL=0, spi clk has idle LOW + end generate; + + spi_sck_cpol_1_proc: if CPOL = '1' generate + begin + spi_clk <= core_n_clk; -- for CPOL=1, spi clk has idle HIGH + end generate; + ----------------------------------------------------------------------------------------------- + -- Sampling clock enable generation: generate 'samp_ce' from 'core_ce' or 'core_n_ce' depending on CPHA + -- always sample data at the half-cycle of the fsm update cell + samp_ce_cpha_0_proc: if CPHA = '0' generate + begin + samp_ce <= core_ce; + end generate; + + samp_ce_cpha_1_proc: if CPHA = '1' generate + begin + samp_ce <= core_n_ce; + end generate; + ----------------------------------------------------------------------------------------------- + -- FSM clock enable generation: generate 'fsm_ce' from core_ce or core_n_ce depending on CPHA + fsm_ce_cpha_0_proc: if CPHA = '0' generate + begin + fsm_ce <= core_n_ce; -- for CPHA=0, latch registers at rising edge of negative core clock enable + end generate; + + fsm_ce_cpha_1_proc: if CPHA = '1' generate + begin + fsm_ce <= core_ce; -- for CPHA=1, latch registers at rising edge of positive core clock enable + end generate; + ----------------------------------------------------------------------------------------------- + -- sck enable control: control sck advance phase for CPHA='1' relative to fsm clock + sck_ena_ce <= core_n_ce; -- for CPHA=1, SCK is advanced one-half cycle + + --============================================================================================= + -- REGISTERED INPUTS + --============================================================================================= + -- rx bit flop: capture rx bit after SAMPLE edge of sck + rx_bit_proc : process (sclk_i, spi_miso_i) is + begin + if sclk_i'event and sclk_i = '1' then + if samp_ce = '1' then + rx_bit_reg <= spi_miso_i; + end if; + end if; + end process rx_bit_proc; + + --============================================================================================= + -- CROSS-CLOCK PIPELINE TRANSFER LOGIC + --============================================================================================= + -- do_valid_o and di_req_o strobe output logic + -- this is a delayed pulse generator with a ripple-transfer FFD pipeline, that generates a + -- fixed-length delayed pulse for the output flags, at the parallel clock domain + out_transfer_proc : process ( pclk_i, do_transfer_reg, di_req_reg, + do_valid_A, do_valid_B, do_valid_D, + di_req_o_A, di_req_o_B, di_req_o_D ) is + begin + if pclk_i'event and pclk_i = '1' then -- clock at parallel port clock + -- do_transfer_reg -> do_valid_o_reg + do_valid_A <= do_transfer_reg; -- the input signal must be at least 2 clocks long + do_valid_B <= do_valid_A; -- feed it to a ripple chain of FFDs + do_valid_C <= do_valid_B; + do_valid_D <= do_valid_C; + do_valid_o_reg <= do_valid_next; -- registered output pulse + -------------------------------- + -- di_req_reg -> di_req_o_reg + di_req_o_A <= di_req_reg; -- the input signal must be at least 2 clocks long + di_req_o_B <= di_req_o_A; -- feed it to a ripple chain of FFDs + di_req_o_C <= di_req_o_B; + di_req_o_D <= di_req_o_C; + di_req_o_reg <= di_req_o_next; -- registered output pulse + end if; + -- generate a 2-clocks pulse at the 3rd clock cycle + do_valid_next <= do_valid_A and do_valid_B and not do_valid_D; + di_req_o_next <= di_req_o_A and di_req_o_B and not di_req_o_D; + end process out_transfer_proc; + -- parallel load input registers: data register and write enable + in_transfer_proc: process ( pclk_i, wren_i, wr_ack_reg ) is + begin + -- registered data input, input register with clock enable + if pclk_i'event and pclk_i = '1' then + if wren_i = '1' then + di_reg <= di_i; -- parallel data input buffer register + end if; + end if; + -- stretch wren pulse to be detected by spi fsm (ffd with sync preset and sync reset) + if pclk_i'event and pclk_i = '1' then + if wren_i = '1' then -- wren_i is the sync preset for wren + wren <= '1'; + elsif wr_ack_reg = '1' then -- wr_ack is the sync reset for wren + wren <= '0'; + end if; + end if; + end process in_transfer_proc; + + --============================================================================================= + -- REGISTER TRANSFER PROCESSES + --============================================================================================= + -- fsm state and data registers: synchronous to the spi base reference clock + core_reg_proc : process (sclk_i) is + begin + -- FF registers clocked on rising edge and cleared on sync rst_i + if sclk_i'event and sclk_i = '1' then + if rst_i = '1' then -- sync reset + state_reg <= 0; -- only provide local reset for the state machine + elsif fsm_ce = '1' then -- fsm_ce is clock enable for the fsm + state_reg <= state_next; -- state register + end if; + end if; + -- FF registers clocked synchronous to the fsm state + if sclk_i'event and sclk_i = '1' then + if fsm_ce = '1' then + sh_reg <= sh_next; -- shift register + ssel_ena_reg <= ssel_ena_next; -- spi select enable + do_buffer_reg <= do_buffer_next; -- registered output data buffer + do_transfer_reg <= do_transfer_next; -- output data transferred to buffer + di_req_reg <= di_req_next; -- input data request + wr_ack_reg <= wr_ack_next; -- write acknowledge for data load synchronization + end if; + end if; + -- FF registers clocked one-half cycle earlier than the fsm state + if sclk_i'event and sclk_i = '1' then + if sck_ena_ce = '1' then + sck_ena_reg <= sck_ena_next; -- spi clock enable: look ahead logic + end if; + end if; + end process core_reg_proc; + + --============================================================================================= + -- COMBINATORIAL LOGIC PROCESSES + --============================================================================================= + -- state and datapath combinatorial logic + core_combi_proc : process ( sh_reg, state_reg, rx_bit_reg, ssel_ena_reg, sck_ena_reg, do_buffer_reg, + do_transfer_reg, wr_ack_reg, di_req_reg, di_reg, wren ) is + begin + sh_next <= sh_reg; -- all output signals are assigned to (avoid latches) + ssel_ena_next <= ssel_ena_reg; -- controls the slave select line + sck_ena_next <= sck_ena_reg; -- controls the clock enable of spi sck line + do_buffer_next <= do_buffer_reg; -- output data buffer + do_transfer_next <= do_transfer_reg; -- output data flag + wr_ack_next <= wr_ack_reg; -- write acknowledge + di_req_next <= di_req_reg; -- prefetch data request + spi_mosi_o <= sh_reg(N-1); -- default to avoid latch inference + state_next <= state_reg; -- next state + case state_reg is + + when (N+1) => -- this state is to enable SSEL before SCK + spi_mosi_o <= sh_reg(N-1); -- shift out tx bit from the MSb + ssel_ena_next <= '1'; -- tx in progress: will assert SSEL + sck_ena_next <= '1'; -- enable SCK on next cycle (stays off on first SSEL clock cycle) + di_req_next <= '0'; -- prefetch data request: deassert when shifting data + wr_ack_next <= '0'; -- remove write acknowledge for all but the load stages + state_next <= state_reg - 1; -- update next state at each sck pulse + + when (N) => -- deassert 'di_rdy' and stretch do_valid + spi_mosi_o <= sh_reg(N-1); -- shift out tx bit from the MSb + di_req_next <= '0'; -- prefetch data request: deassert when shifting data + sh_next(N-1 downto 1) <= sh_reg(N-2 downto 0); -- shift inner bits + sh_next(0) <= rx_bit_reg; -- shift in rx bit into LSb + wr_ack_next <= '0'; -- remove write acknowledge for all but the load stages + state_next <= state_reg - 1; -- update next state at each sck pulse + + when (N-1) downto (PREFETCH+3) => -- remove 'do_transfer' and shift bits + spi_mosi_o <= sh_reg(N-1); -- shift out tx bit from the MSb + di_req_next <= '0'; -- prefetch data request: deassert when shifting data + do_transfer_next <= '0'; -- reset 'do_valid' transfer signal + sh_next(N-1 downto 1) <= sh_reg(N-2 downto 0); -- shift inner bits + sh_next(0) <= rx_bit_reg; -- shift in rx bit into LSb + wr_ack_next <= '0'; -- remove write acknowledge for all but the load stages + state_next <= state_reg - 1; -- update next state at each sck pulse + + when (PREFETCH+2) downto 2 => -- raise prefetch 'di_req_o' signal + spi_mosi_o <= sh_reg(N-1); -- shift out tx bit from the MSb + di_req_next <= '1'; -- request data in advance to allow for pipeline delays + sh_next(N-1 downto 1) <= sh_reg(N-2 downto 0); -- shift inner bits + sh_next(0) <= rx_bit_reg; -- shift in rx bit into LSb + wr_ack_next <= '0'; -- remove write acknowledge for all but the load stages + state_next <= state_reg - 1; -- update next state at each sck pulse + + when 1 => -- transfer rx data to do_buffer and restart if new data is written + spi_mosi_o <= sh_reg(N-1); -- shift out tx bit from the MSb + di_req_next <= '1'; -- request data in advance to allow for pipeline delays + do_buffer_next(N-1 downto 1) <= sh_reg(N-2 downto 0); -- shift rx data directly into rx buffer + do_buffer_next(0) <= rx_bit_reg; -- shift last rx bit into rx buffer + do_transfer_next <= '1'; -- signal transfer to do_buffer + if wren = '1' then -- load tx register if valid data present at di_i + state_next <= N; -- next state is top bit of new data + sh_next <= di_reg; -- load parallel data from di_reg into shifter + sck_ena_next <= '1'; -- SCK enabled + wr_ack_next <= '1'; -- acknowledge data in transfer + else + sck_ena_next <= '0'; -- SCK disabled: tx empty, no data to send + wr_ack_next <= '0'; -- remove write acknowledge for all but the load stages + state_next <= state_reg - 1; -- update next state at each sck pulse + end if; + + when 0 => -- idle state: start and end of transmission + di_req_next <= '1'; -- will request data if shifter empty + sck_ena_next <= '0'; -- SCK disabled: tx empty, no data to send + if wren = '1' then -- load tx register if valid data present at di_i + spi_mosi_o <= di_reg(N-1); -- special case: shift out first tx bit from the MSb (look ahead) + ssel_ena_next <= '1'; -- enable interface SSEL + state_next <= N+1; -- start from idle: let one cycle for SSEL settling + sh_next <= di_reg; -- load bits from di_reg into shifter + wr_ack_next <= '1'; -- acknowledge data in transfer + else + spi_mosi_o <= sh_reg(N-1); -- shift out tx bit from the MSb + ssel_ena_next <= '0'; -- deassert SSEL: interface is idle + wr_ack_next <= '0'; -- remove write acknowledge for all but the load stages + state_next <= 0; -- when idle, keep this state + end if; + + when others => + state_next <= 0; -- state 0 is safe state + end case; + end process core_combi_proc; + + --============================================================================================= + -- OUTPUT LOGIC PROCESSES + --============================================================================================= + -- data output processes + spi_ssel_o_proc: spi_ssel_o <= not ssel_ena_reg; -- active-low slave select line + do_o_proc: do_o <= do_buffer_reg; -- parallel data out + do_valid_o_proc: do_valid_o <= do_valid_o_reg; -- data out valid + di_req_o_proc: di_req_o <= di_req_o_reg; -- input data request for next cycle + wr_ack_o_proc: wr_ack_o <= wr_ack_reg; -- write acknowledge + ----------------------------------------------------------------------------------------------- + -- SCK out logic: pipeline phase compensation for the SCK line + ----------------------------------------------------------------------------------------------- + -- This is a MUX with an output register. + -- The register gives us a pipeline delay for the SCK line, pairing with the state machine moore + -- output pipeline delay for the MOSI line, and thus enabling higher SCK frequency. + spi_sck_o_gen_proc : process (sclk_i, sck_ena_reg, spi_clk, spi_clk_reg) is + begin + if sclk_i'event and sclk_i = '1' then + if sck_ena_reg = '1' then + spi_clk_reg <= spi_clk; -- copy the selected clock polarity + else + spi_clk_reg <= CPOL; -- when clock disabled, set to idle polarity + end if; + end if; + spi_sck_o <= spi_clk_reg; -- connect register to output + end process spi_sck_o_gen_proc; + + --============================================================================================= + -- DEBUG LOGIC PROCESSES + --============================================================================================= + -- these signals are useful for verification, and can be deleted after debug. + do_transfer_proc: do_transfer_o <= do_transfer_reg; + state_dbg_proc: state_dbg_o <= std_logic_vector(to_unsigned(state_reg, 4)); + rx_bit_reg_proc: rx_bit_reg_o <= rx_bit_reg; + wren_o_proc: wren_o <= wren; + sh_reg_dbg_proc: sh_reg_dbg_o <= sh_reg; + core_clk_o_proc: core_clk_o <= core_clk; + core_n_clk_o_proc: core_n_clk_o <= core_n_clk; + core_ce_o_proc: core_ce_o <= core_ce; + core_n_ce_o_proc: core_n_ce_o <= core_n_ce; + sck_ena_o_proc: sck_ena_o <= sck_ena_reg; + sck_ena_ce_o_proc: sck_ena_ce_o <= sck_ena_ce; + +end architecture rtl; diff --git a/sources/templates/build-diff.sh b/sources/templates/build-diff.sh index a8f88c85cdb65a8845f8c4687d293e5b83ed5155..9704212015b0b3242547bc8222e4f041cca973e8 100755 --- a/sources/templates/build-diff.sh +++ b/sources/templates/build-diff.sh @@ -1,4 +1,23 @@ #!/bin/sh +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Mark Donszelmann +# Mesfin Gebyehu +# Thei Wijnen +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + # # Script to rebuild the derived files from templates # diff --git a/sources/templates/build-doc.sh b/sources/templates/build-doc.sh index d4936aa65e8c229eefc5d728edc6e44ecda2e29f..cf1aba9f7d8468999489d661e65f04baf6314f2c 100755 --- a/sources/templates/build-doc.sh +++ b/sources/templates/build-doc.sh @@ -1,7 +1,29 @@ #!/bin/sh -e +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Mark Donszelmann +# Andrea Borga +# Mesfin Gebyehu +# Thei Wijnen +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + # build the documentation from the registermap.tex file firmware_dir=../.. wuppercodegen_dir=$firmware_dir/WupperCodeGen +wuppercodegen=$wuppercodegen_dir/wuppercodegen/cli.py registers=registers-5.0.yaml $wuppercodegen --version $wuppercodegen $registers registermap.tex.template registermap-5.0.tex diff --git a/sources/templates/build-html.sh b/sources/templates/build-html.sh index 7ccdbb2c31d3c1f96510e8104d233fc51e844202..c9420dfacf71f3fdc34e4f61d6b454564c9fff75 100755 --- a/sources/templates/build-html.sh +++ b/sources/templates/build-html.sh @@ -1,4 +1,23 @@ #!/bin/sh +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Mark Donszelmann +# Mesfin Gebyehu +# Thei Wijnen +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + # # Script to rebuild the derived files from templates # diff --git a/sources/templates/build.sh b/sources/templates/build.sh index 9ef4d4df038a1644910c82ec5844ecca9615f5da..790f37ce889d31b205257129fe3141261c462d94 100755 --- a/sources/templates/build.sh +++ b/sources/templates/build.sh @@ -1,4 +1,29 @@ #!/bin/sh +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Mark Donszelmann +# Andrea Borga +# Soo Ryu +# Mesfin Gebyehu +# RHabraken +# Rene +# Thei Wijnen +# Elena Zhivun +# Frans Schreuder +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + # # Script to rebuild the derived files from templates diff --git a/sources/templates/check.sh b/sources/templates/check.sh index 6de9ac7e67fb2e61ff38a5f64a70da4c0d6f02b8..a3cd9e655aedbcf79d2b2a57cf394a10a36b4877 100755 --- a/sources/templates/check.sh +++ b/sources/templates/check.sh @@ -1,4 +1,22 @@ #!/bin/sh +# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +# Authors: +# Frans Schreuder +# Mesfin Gebyehu +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + diff -w dma_control.vhd ../pcie/dma_control.vhd diff -w pcie_package.vhd ../packages/pcie_package.vhd diff --git a/sources/templates/dma_control.vhd b/sources/templates/dma_control.vhd index 061cc279c8788d8979ce088473ca7ae7904dc8e1..fd459cca192b99636a82ae2d9f46d2b76eeb4565 100644 --- a/sources/templates/dma_control.vhd +++ b/sources/templates/dma_control.vhd @@ -1,3 +1,37 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Mark Donszelmann +--! Andrea Borga +--! Rene Habraken +--! Soo Ryu +--! Israel Grayzman +--! Kai Chen +--! Enrico Gamberini +--! Alexander Paramonov +--! RHabraken +--! Nayib Boukadida +--! William Wulff +--! Elena Zhivun +--! Mesfin Gebyehu +--! Rene +--! Thei Wijnen +--! Ohad Shaked +--! Alessandro Thea +--! mtrovato +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. -- *************************************************************************** -- *************************************************************************** -- *************************************************************************** @@ -51,31 +85,17 @@ --! --! --! ------------------------------------------------------------------------------ ---! Virtex7 PCIe Gen3 DMA Core ---! ---! \copyright GNU LGPL License ---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br> ---! This library is free software; you can redistribute it and/or ---! modify it under the terms of the GNU Lesser General Public ---! License as published by the Free Software Foundation; either ---! version 3.0 of the License, or (at your option) any later version. ---! This library is distributed in the hope that it will be useful, ---! but WITHOUT ANY WARRANTY; without even the implied warranty of ---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU ---! Lesser General Public License for more details.<br> ---! You should have received a copy of the GNU Lesser General Public ---! License along with this library. ---! --! @brief ieee -library ieee, UNISIM; +library ieee, UNISIM, xpm; use work.pcie_package.all; use work.FELIX_package.all; use ieee.numeric_std.all; use UNISIM.VCOMPONENTS.all; +use xpm.VCOMPONENTS.all; use ieee.std_logic_unsigned.all; -- @suppress "Deprecated package" use ieee.std_logic_1164.all; @@ -222,7 +242,7 @@ architecture rtl of dma_control is signal tohost_busy_25_s : std_logic; signal tohost_busy_latched_25_s : std_logic; signal mask_data_available_interrupt: std_logic_vector(3 downto 0); - + signal fifo_empty_25_s: std_logic_vector(NUMBER_OF_DESCRIPTORS_TOHOST-1 downto 0); constant PC_PTR_GAP_C : std_logic_vector(63 downto 0) := x"0000_0000_0100_0000"; signal pc_ptr_gap_25_s: std_logic_vector(63 downto 0); @@ -551,6 +571,21 @@ begin end process; tohost_busy_out <= tohost_busy_25_s; + xpm_cdc_array_single_fifo_empty_inst : xpm_cdc_array_single + generic map ( + DEST_SYNC_FF => 2, + INIT_SYNC_FF => 0, + SIM_ASSERT_CHK => 0, + SRC_INPUT_REG => 0, + WIDTH => NUMBER_OF_DESCRIPTORS_TOHOST + ) + port map ( + dest_out => fifo_empty_25_s, + dest_clk => regmap_clk, + src_clk => clk, + src_in => fifo_empty + ); + regSync25: process(regmap_clk) variable register_read_address_v : std_logic_vector(31 downto 0); @@ -623,7 +658,7 @@ begin data_available_interrupt_25_s(i) <= '0'; end if; - data_available_interrupt_v(i) := data_available_interrupt_v(i)(1 downto 0) & fifo_empty(i); + data_available_interrupt_v(i) := data_available_interrupt_v(i)(1 downto 0) & fifo_empty_25_s(i); end loop; @@ -10146,6 +10181,7 @@ end process; register_map_control_s.TTC_ECR_MONITOR.CLEAR <= REG_TTC_ECR_MONITOR_CLEAR_C; -- Counts the number of ECRs received from the TTC system, any write to this register clears the counter register_map_control_s.TTC_TTYPE_MONITOR.CLEAR <= REG_TTC_TTYPE_MONITOR_CLEAR_C; -- Counts the number of TType received from the TTC system, any write to this register clears the counter register_map_control_s.TTC_BCR_PERIODICITY_MONITOR.CLEAR <= REG_TTC_BCR_PERIODICITY_MONITOR_CLEAR_C; -- Counts the number of times the BCR period does not match 3564, any write to this register clears the counter + register_map_control_s.TTC_BCR_COUNTER.CLEAR <= REG_TTC_BCR_COUNTER_CLEAR_C; -- Counts the number of times BCR is issued, any write to this register clears the counter register_map_control_s.XOFF_FM_HIGH_THRESH.CLEAR_LATCH <= REG_XOFF_FM_HIGH_THRESH_CLEAR_LATCH_C; -- Writing this register will clear all CROSS_LATCHED bits register_map_control_s.DMA_BUSY_STATUS.CLEAR_LATCH <= REG_DMA_BUSY_STATUS_CLEAR_LATCH_C; -- Any write to this register clears TOHOST_BUSY_LATCHED register_map_control_s.FM_BUSY_CHANNEL_STATUS.CLEAR_LATCH <= REG_FM_BUSY_CHANNEL_STATUS_CLEAR_LATCH_C; -- Any write to this register will clear the BUSY_LATCHED bits @@ -15421,6 +15457,8 @@ end process; register_read_data_25_s(31 downto 0) <= register_map_monitor_s.register_map_ttc_monitor.TTC_TTYPE_MONITOR.VALUE; -- Counts the number of TType received from the TTC system, any write to this register clears the counter when REG_TTC_BCR_PERIODICITY_MONITOR => register_read_data_25_s(64 downto 64) <= register_map_control_s.TTC_BCR_PERIODICITY_MONITOR.CLEAR; -- Counts the number of times the BCR period does not match 3564, any write to this register clears the counter register_read_data_25_s(31 downto 0) <= register_map_monitor_s.register_map_ttc_monitor.TTC_BCR_PERIODICITY_MONITOR.VALUE; -- Counts the number of times the BCR period does not match 3564, any write to this register clears the counter + when REG_TTC_BCR_COUNTER => register_read_data_25_s(64 downto 64) <= register_map_control_s.TTC_BCR_COUNTER.CLEAR; -- Counts the number of times BCR is issued, any write to this register clears the counter + register_read_data_25_s(31 downto 0) <= register_map_monitor_s.register_map_ttc_monitor.TTC_BCR_COUNTER.VALUE; -- Counts the number of times BCR is issued, any write to this register clears the counter when REG_XOFF_FM_CH_FIFO_THRESH_LOW => register_read_data_25_s(3 downto 0) <= register_map_control_s.XOFF_FM_CH_FIFO_THRESH_LOW; -- Controls the low threshold of the channel fifo in FULL mode on which -- an Xon will be asserted, bitfields control 4 MSB @@ -22829,6 +22867,7 @@ end process; when REG_TTC_ECR_MONITOR => register_map_control_s.TTC_ECR_MONITOR.CLEAR <= "1"; -- Counts the number of ECRs received from the TTC system, any write to this register clears the counter when REG_TTC_TTYPE_MONITOR => register_map_control_s.TTC_TTYPE_MONITOR.CLEAR <= "1"; -- Counts the number of TType received from the TTC system, any write to this register clears the counter when REG_TTC_BCR_PERIODICITY_MONITOR => register_map_control_s.TTC_BCR_PERIODICITY_MONITOR.CLEAR <= "1"; -- Counts the number of times the BCR period does not match 3564, any write to this register clears the counter + when REG_TTC_BCR_COUNTER => register_map_control_s.TTC_BCR_COUNTER.CLEAR <= "1"; -- Counts the number of times BCR is issued, any write to this register clears the counter when REG_XOFF_FM_CH_FIFO_THRESH_LOW => register_map_control_s.XOFF_FM_CH_FIFO_THRESH_LOW <= register_write_data_25_v(3 downto 0); -- Controls the low threshold of the channel fifo in FULL mode on which -- an Xon will be asserted, bitfields control 4 MSB diff --git a/sources/templates/dma_control.vhd.template b/sources/templates/dma_control.vhd.template index 504c712bdb7fb4eb951bef08e9d803f0947f10eb..42b1db616d1b3ec4aa6d9413ac32d5444682b399 100644 --- a/sources/templates/dma_control.vhd.template +++ b/sources/templates/dma_control.vhd.template @@ -1,3 +1,37 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Mark Donszelmann +--! Andrea Borga +--! Rene Habraken +--! Soo Ryu +--! Israel Grayzman +--! Kai Chen +--! Enrico Gamberini +--! Alexander Paramonov +--! RHabraken +--! Nayib Boukadida +--! William Wulff +--! Elena Zhivun +--! Mesfin Gebyehu +--! Rene +--! Thei Wijnen +--! Ohad Shaked +--! Alessandro Thea +--! mtrovato +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. {{ tree.warning|vhdl_comment }} --!------------------------------------------------------------------------------ @@ -30,31 +64,17 @@ --! --! --! ------------------------------------------------------------------------------ ---! Virtex7 PCIe Gen3 DMA Core ---! ---! \copyright GNU LGPL License ---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br> ---! This library is free software; you can redistribute it and/or ---! modify it under the terms of the GNU Lesser General Public ---! License as published by the Free Software Foundation; either ---! version 3.0 of the License, or (at your option) any later version. ---! This library is distributed in the hope that it will be useful, ---! but WITHOUT ANY WARRANTY; without even the implied warranty of ---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU ---! Lesser General Public License for more details.<br> ---! You should have received a copy of the GNU Lesser General Public ---! License along with this library. ---! --! @brief ieee -library ieee, UNISIM; +library ieee, UNISIM, xpm; use work.pcie_package.all; use work.FELIX_package.all; use ieee.numeric_std.all; use UNISIM.VCOMPONENTS.all; +use xpm.VCOMPONENTS.all; use ieee.std_logic_unsigned.all; -- @suppress "Deprecated package" use ieee.std_logic_1164.all; @@ -201,7 +221,7 @@ architecture rtl of dma_control is signal tohost_busy_25_s : std_logic; signal tohost_busy_latched_25_s : std_logic; signal mask_data_available_interrupt: std_logic_vector(3 downto 0); - + signal fifo_empty_25_s: std_logic_vector(NUMBER_OF_DESCRIPTORS_TOHOST-1 downto 0); constant PC_PTR_GAP_C : std_logic_vector(63 downto 0) := x"0000_0000_0100_0000"; signal pc_ptr_gap_25_s: std_logic_vector(63 downto 0); @@ -530,6 +550,21 @@ begin end process; tohost_busy_out <= tohost_busy_25_s; + xpm_cdc_array_single_fifo_empty_inst : xpm_cdc_array_single + generic map ( + DEST_SYNC_FF => 2, + INIT_SYNC_FF => 0, + SIM_ASSERT_CHK => 0, + SRC_INPUT_REG => 0, + WIDTH => NUMBER_OF_DESCRIPTORS_TOHOST + ) + port map ( + dest_out => fifo_empty_25_s, + dest_clk => regmap_clk, + src_clk => clk, + src_in => fifo_empty + ); + regSync25: process(regmap_clk) variable register_read_address_v : std_logic_vector(31 downto 0); @@ -602,7 +637,7 @@ begin data_available_interrupt_25_s(i) <= '0'; end if; - data_available_interrupt_v(i) := data_available_interrupt_v(i)(1 downto 0) & fifo_empty(i); + data_available_interrupt_v(i) := data_available_interrupt_v(i)(1 downto 0) & fifo_empty_25_s(i); end loop; diff --git a/sources/templates/pcie_package.vhd b/sources/templates/pcie_package.vhd index 638555b45374852cb14f51a710e97aa293a5cf80..6322844a1e606768616c1e36cd07d67d250b3e19 100644 --- a/sources/templates/pcie_package.vhd +++ b/sources/templates/pcie_package.vhd @@ -1,3 +1,36 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Mark Donszelmann +--! Andrea Borga +--! Soo Ryu +--! Kai Chen +--! Israel Grayzman +--! Rene Habraken +--! Alexander Paramonov +--! RHabraken +--! Nayib Boukadida +--! Alessandra Camplani +--! Elena Zhivun +--! Mesfin Gebyehu +--! Rene +--! Thei Wijnen +--! Ohad Shaked +--! Alessandro Thea +--! mtrovato +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. -- *************************************************************************** -- *************************************************************************** -- *************************************************************************** @@ -51,20 +84,6 @@ --! --! --! ------------------------------------------------------------------------------ ---! Virtex7 PCIe Gen3 DMA Core ---! ---! \copyright GNU LGPL License ---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br> ---! This library is free software; you can redistribute it and/or ---! modify it under the terms of the GNU Lesser General Public ---! License as published by the Free Software Foundation; either ---! version 3.0 of the License, or (at your option) any later version. ---! This library is distributed in the hope that it will be useful, ---! but WITHOUT ANY WARRANTY; without even the implied warranty of ---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU ---! Lesser General Public License for more details.<br> ---! You should have received a copy of the GNU Lesser General Public ---! License along with this library. --! --! @brief ieee @@ -881,6 +900,7 @@ package pcie_package is constant REG_TTC_ECR_MONITOR : std_logic_vector(19 downto 0) := x"07540"; constant REG_TTC_TTYPE_MONITOR : std_logic_vector(19 downto 0) := x"07550"; constant REG_TTC_BCR_PERIODICITY_MONITOR : std_logic_vector(19 downto 0) := x"07560"; + constant REG_TTC_BCR_COUNTER : std_logic_vector(19 downto 0) := x"07570"; --** XOFF_BUSYControlsAndMonitors constant REG_XOFF_FM_CH_FIFO_THRESH_LOW : std_logic_vector(19 downto 0) := x"08000"; @@ -1645,6 +1665,10 @@ package pcie_package is CLEAR : std_logic_vector(64 downto 64); -- Counts the number of times the BCR period does not match 3564, any write to this register clears the counter end record; + type bitfield_ttc_bcr_counter_t_type is record + CLEAR : std_logic_vector(64 downto 64); -- Counts the number of times BCR is issued, any write to this register clears the counter + end record; + type bitfield_xoff_fm_high_thresh_t_type is record CLEAR_LATCH : std_logic_vector(64 downto 64); -- Writing this register will clear all CROSS_LATCHED bits end record; @@ -1996,6 +2020,7 @@ package pcie_package is TTC_ECR_MONITOR : bitfield_ttc_ecr_monitor_t_type; -- Counts the number of ECRs received from the TTC system, any write to this register clears the counter TTC_TTYPE_MONITOR : bitfield_ttc_ttype_monitor_t_type; -- Counts the number of TType received from the TTC system, any write to this register clears the counter TTC_BCR_PERIODICITY_MONITOR : bitfield_ttc_bcr_periodicity_monitor_t_type; -- Counts the number of times the BCR period does not match 3564, any write to this register clears the counter + TTC_BCR_COUNTER : bitfield_ttc_bcr_counter_t_type; -- Counts the number of times BCR is issued, any write to this register clears the counter XOFF_FM_CH_FIFO_THRESH_LOW : std_logic_vector(3 downto 0); -- Controls the low threshold of the channel fifo in FULL mode on which -- an Xon will be asserted, bitfields control 4 MSB @@ -5710,6 +5735,7 @@ package pcie_package is constant REG_TTC_ECR_MONITOR_CLEAR_C : std_logic_vector(64 downto 64) := "0"; -- Counts the number of ECRs received from the TTC system, any write to this register clears the counter constant REG_TTC_TTYPE_MONITOR_CLEAR_C : std_logic_vector(64 downto 64) := "0"; -- Counts the number of TType received from the TTC system, any write to this register clears the counter constant REG_TTC_BCR_PERIODICITY_MONITOR_CLEAR_C : std_logic_vector(64 downto 64) := "0"; -- Counts the number of times the BCR period does not match 3564, any write to this register clears the counter + constant REG_TTC_BCR_COUNTER_CLEAR_C : std_logic_vector(64 downto 64) := "0"; -- Counts the number of times BCR is issued, any write to this register clears the counter constant REG_XOFF_FM_CH_FIFO_THRESH_LOW_C : std_logic_vector(3 downto 0) := x"b"; -- Controls the low threshold of the channel fifo in FULL mode on which -- an Xon will be asserted, bitfields control 4 MSB @@ -6731,6 +6757,9 @@ end record; type bitfield_ttc_bcr_periodicity_monitor_r_type is record VALUE : std_logic_vector(31 downto 0); -- Counts the number of times the BCR period does not match 3564, any write to this register clears the counter end record; + type bitfield_ttc_bcr_counter_r_type is record + VALUE : std_logic_vector(31 downto 0); -- Counts the number of times BCR is issued, any write to this register clears the counter + end record; -- TTCBUSYControlsAndMonitors type register_map_ttc_monitor_type is record @@ -6742,6 +6771,7 @@ end record; TTC_ECR_MONITOR : bitfield_ttc_ecr_monitor_r_type; TTC_TTYPE_MONITOR : bitfield_ttc_ttype_monitor_r_type; TTC_BCR_PERIODICITY_MONITOR : bitfield_ttc_bcr_periodicity_monitor_r_type; + TTC_BCR_COUNTER : bitfield_ttc_bcr_counter_r_type; end record; -- -- XOFF_BUSYControlsAndMonitors @@ -7065,7 +7095,8 @@ end record; TTC_L1ID_MONITOR => (others => '0'), TTC_ECR_MONITOR => (others => (others => '0')), TTC_TTYPE_MONITOR => (others => (others => '0')), - TTC_BCR_PERIODICITY_MONITOR => (others => (others => '0')) + TTC_BCR_PERIODICITY_MONITOR => (others => (others => '0')), + TTC_BCR_COUNTER => (others => (others => '0')) ); constant register_map_xoff_monitor_c : register_map_xoff_monitor_type := ( diff --git a/sources/templates/pcie_package.vhd.template b/sources/templates/pcie_package.vhd.template index 72cd7215adea719ff7ca825a5801d1ce4a9fd9ad..663b1fffaf932db57906407ce1ebd19d5693268f 100644 --- a/sources/templates/pcie_package.vhd.template +++ b/sources/templates/pcie_package.vhd.template @@ -1,3 +1,36 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Mark Donszelmann +--! Andrea Borga +--! Soo Ryu +--! Kai Chen +--! Israel Grayzman +--! Rene Habraken +--! Alexander Paramonov +--! RHabraken +--! Nayib Boukadida +--! Alessandra Camplani +--! Elena Zhivun +--! Mesfin Gebyehu +--! Rene +--! Thei Wijnen +--! Ohad Shaked +--! Alessandro Thea +--! mtrovato +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. {{ tree.warning|vhdl_comment }} --!------------------------------------------------------------------------------ @@ -30,20 +63,6 @@ --! --! --! ------------------------------------------------------------------------------ ---! Virtex7 PCIe Gen3 DMA Core ---! ---! \copyright GNU LGPL License ---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br> ---! This library is free software; you can redistribute it and/or ---! modify it under the terms of the GNU Lesser General Public ---! License as published by the Free Software Foundation; either ---! version 3.0 of the License, or (at your option) any later version. ---! This library is distributed in the hope that it will be useful, ---! but WITHOUT ANY WARRANTY; without even the implied warranty of ---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU ---! Lesser General Public License for more details.<br> ---! You should have received a copy of the GNU Lesser General Public ---! License along with this library. --! --! @brief ieee diff --git a/sources/templates/register_map_sync.vhd b/sources/templates/register_map_sync.vhd index 626256ecd27ecdf9b562ffdac7b020d4c9b8e4f4..eec575960d3e1dd88c67bf9f09947dfd3ba2bb71 100644 --- a/sources/templates/register_map_sync.vhd +++ b/sources/templates/register_map_sync.vhd @@ -1,3 +1,41 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Alessandro Thea +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. +-- *************************************************************************** +-- *************************************************************************** +-- *************************************************************************** +-- *************************************************************************** +-- *************************************************************************** +-- DO NOT EDIT THIS FILE +-- +-- This file was generated from template '../../sources/templates/register_map_sync.vhd.template' +-- and register map ../../sources/templates/registers-5.0.yaml, version 5.0 +-- by the script 'wuppercodegen', version: 0.8.4, +-- using the following commandline: +-- +-- ../../WupperCodeGen/wuppercodegen/cli.py ../../sources/templates/registers-5.0.yaml ../../sources/templates/register_map_sync.vhd.template ../../sources/templates/register_map_sync.vhd +-- +-- Please do NOT edit this file, but edit the source file at '../../sources/templates/register_map_sync.vhd.template' +-- +-- *************************************************************************** +-- *************************************************************************** +-- *************************************************************************** +-- *************************************************************************** +-- *************************************************************************** diff --git a/sources/templates/register_map_sync.vhd.template b/sources/templates/register_map_sync.vhd.template index 08049bc7ded13b46a7fbefe68d69a00514894647..b592ab87ca445247b8530b388b6ee706d2220d47 100644 --- a/sources/templates/register_map_sync.vhd.template +++ b/sources/templates/register_map_sync.vhd.template @@ -1,4 +1,21 @@ - +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! Alessandro Thea +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. +{{ tree.warning|vhdl_comment }} diff --git a/sources/templates/registermap-5.0.tex b/sources/templates/registermap-5.0.tex index 377e945e3dcba3de8a12e86769ae01d85d6f52d3..0e1600965c0f003e563e651f1634abdd67896265 100644 --- a/sources/templates/registermap-5.0.tex +++ b/sources/templates/registermap-5.0.tex @@ -10,7 +10,7 @@ % by the script 'wuppercodegen', version: 0.8.4, % using the following commandline: % -% ../../../software/wuppercodegen/wuppercodegen/cli.py registers-5.0.yaml registermap.tex.template registermap-5.0.tex +% ../../WupperCodeGen/wuppercodegen/cli.py registers-5.0.yaml registermap.tex.template registermap-5.0.tex % % Please do NOT edit this file, but edit the source file at 'registermap.tex.template' % @@ -229,28 +229,30 @@ BAR2 stores registers for the control and monitor of HDL modules inside the FPGA \hline 0x0100 & 0,1 & \multicolumn{4}{c|}{\small INCLUDE\_EGROUP\_0} \\ \cline{3-6} - & & FROMHOST\_02 & 8 & R & FromHost EPROC02 is included in this EGROUP \\ - & & FROMHOST\_04 & 7 & R & FromHost EPROC04 is included in this EGROUP \\ - & & FROMHOST\_08 & 6 & R & FromHost EPROC8 is included in this EGROUP \\ + & & TOHOST\_32 & 9 & R & ToHost EPATH32 is included in this EGROUP \\ + & & FROMHOST\_02 & 8 & R & FromHost EPATH02 is included in this EGROUP \\ + & & FROMHOST\_04 & 7 & R & FromHost EPATH04 is included in this EGROUP \\ + & & FROMHOST\_08 & 6 & R & FromHost EPATH8 is included in this EGROUP \\ & & FROMHOST\_HDLC & 5 & R & FromHost HDLC is included in this EGROUP \\ - & & TOHOST\_02 & 4 & R & ToHost EPROC02 is included in this EGROUP \\ - & & TOHOST\_04 & 3 & R & ToHost EPROC04 is included in this EGROUP \\ - & & TOHOST\_08 & 2 & R & ToHost EPROC08 is included in this EGROUP \\ - & & TOHOST\_16 & 1 & R & ToHost EPROC16 is included in this EGROUP \\ + & & TOHOST\_02 & 4 & R & ToHost EPATH02 is included in this EGROUP \\ + & & TOHOST\_04 & 3 & R & ToHost EPATH04 is included in this EGROUP \\ + & & TOHOST\_08 & 2 & R & ToHost EPATH08 is included in this EGROUP \\ + & & TOHOST\_16 & 1 & R & ToHost EPATH16 is included in this EGROUP \\ & & TOHOST\_HDLC & 0 & R & ToHost HDLC is included in this EGROUP \\ \hline \multicolumn{6}{|c|}{\ldots} \\ \hline 0x0160 & 0,1 & \multicolumn{4}{c|}{\small INCLUDE\_EGROUP\_6} \\ \cline{3-6} - & & FROMHOST\_02 & 8 & R & FromHost EPROC02 is included in this EGROUP \\ - & & FROMHOST\_04 & 7 & R & FromHost EPROC04 is included in this EGROUP \\ - & & FROMHOST\_08 & 6 & R & FromHost EPROC8 is included in this EGROUP \\ + & & TOHOST\_32 & 9 & R & ToHost EPATH32 is included in this EGROUP \\ + & & FROMHOST\_02 & 8 & R & FromHost EPATH02 is included in this EGROUP \\ + & & FROMHOST\_04 & 7 & R & FromHost EPATH04 is included in this EGROUP \\ + & & FROMHOST\_08 & 6 & R & FromHost EPATH8 is included in this EGROUP \\ & & FROMHOST\_HDLC & 5 & R & FromHost HDLC is included in this EGROUP \\ - & & TOHOST\_02 & 4 & R & ToHost EPROC02 is included in this EGROUP \\ - & & TOHOST\_04 & 3 & R & ToHost EPROC04 is included in this EGROUP \\ - & & TOHOST\_08 & 2 & R & ToHost EPROC08 is included in this EGROUP \\ - & & TOHOST\_16 & 1 & R & ToHost EPROC16 is included in this EGROUP \\ + & & TOHOST\_02 & 4 & R & ToHost EPATH02 is included in this EGROUP \\ + & & TOHOST\_04 & 3 & R & ToHost EPATH04 is included in this EGROUP \\ + & & TOHOST\_08 & 2 & R & ToHost EPATH08 is included in this EGROUP \\ + & & TOHOST\_16 & 1 & R & ToHost EPATH16 is included in this EGROUP \\ & & TOHOST\_HDLC & 0 & R & ToHost HDLC is included in this EGROUP \\ \hline 0x0170 & 0,1 & WIDE\_MODE & @@ -377,21 +379,23 @@ any & T & Central Router FromHost Controls and Monitors \\ \hline 0x2300 & 0,1 & \multicolumn{4}{c|}{\small DECODING\_LINK00\_EGROUP0\_CTRL} \\ \cline{3-6} + & & ENABLE\_TRUNCATION & 59 & W & Enable truncation mechanism in HDLC decoder for chunks > 12 bytes \\ & & EPATH\_ALMOST\_FULL & 58:51 & R & FIFO full indication \\ & & REVERSE\_ELINKS & 50:43 & W & enables bit reversing for the elink in the given epath \\ & & PATH\_ENCODING & 42:11 & W & Encoding for every EPATH, 4 bits per E-path\newline 0: direct mode\newline 1: 8b10b mode\newline 2: HDLC mode\newline 3: TTC\newline 4: ITk Strips 8b10b\newline 5: ITk Pixel\newline 6: Endeavour\newline 7-15: reserved\newline \\ & & EPATH\_WIDTH & 10:8 & W & Width in bits of all EPATHS in an EGROUP 0:2, 1:4, 2:8, 3:16, 4:32 \\ - & & EPATH\_ENA & 7:0 & W & Enable bits per EPROC \\ + & & EPATH\_ENA & 7:0 & W & Enable bits per EPATH \\ \hline \multicolumn{6}{|c|}{\ldots} \\ \hline 0x2360 & 0,1 & \multicolumn{4}{c|}{\small DECODING\_LINK00\_EGROUP6\_CTRL} \\ \cline{3-6} + & & ENABLE\_TRUNCATION & 59 & W & Enable truncation mechanism in HDLC decoder for chunks > 12 bytes \\ & & EPATH\_ALMOST\_FULL & 58:51 & R & FIFO full indication \\ & & REVERSE\_ELINKS & 50:43 & W & enables bit reversing for the elink in the given epath \\ & & PATH\_ENCODING & 42:11 & W & Encoding for every EPATH, 4 bits per E-path\newline 0: direct mode\newline 1: 8b10b mode\newline 2: HDLC mode\newline 3: TTC\newline 4: ITk Strips 8b10b\newline 5: ITk Pixel\newline 6: Endeavour\newline 7-15: reserved\newline \\ & & EPATH\_WIDTH & 10:8 & W & Width in bits of all EPATHS in an EGROUP 0:2, 1:4, 2:8, 3:16, 4:32 \\ - & & EPATH\_ENA & 7:0 & W & Enable bits per EPROC \\ + & & EPATH\_ENA & 7:0 & W & Enable bits per EPATH \\ \hline \multicolumn{6}{|c|}{\ldots} \\ \hline @@ -399,26 +403,31 @@ any & T & Central Router FromHost Controls and Monitors \\ \hline 0x27D0 & 0,1 & \multicolumn{4}{c|}{\small DECODING\_LINK11\_EGROUP0\_CTRL} \\ \cline{3-6} + & & ENABLE\_TRUNCATION & 59 & W & Enable truncation mechanism in HDLC decoder for chunks > 12 bytes \\ & & EPATH\_ALMOST\_FULL & 58:51 & R & FIFO full indication \\ & & REVERSE\_ELINKS & 50:43 & W & enables bit reversing for the elink in the given epath \\ & & PATH\_ENCODING & 42:11 & W & Encoding for every EPATH, 4 bits per E-path\newline 0: direct mode\newline 1: 8b10b mode\newline 2: HDLC mode\newline 3: TTC\newline 4: ITk Strips 8b10b\newline 5: ITk Pixel\newline 6: Endeavour\newline 7-15: reserved\newline \\ & & EPATH\_WIDTH & 10:8 & W & Width in bits of all EPATHS in an EGROUP 0:2, 1:4, 2:8, 3:16, 4:32 \\ - & & EPATH\_ENA & 7:0 & W & Enable bits per EPROC \\ + & & EPATH\_ENA & 7:0 & W & Enable bits per EPATH \\ \hline \multicolumn{6}{|c|}{\ldots} \\ \hline 0x2830 & 0,1 & \multicolumn{4}{c|}{\small DECODING\_LINK11\_EGROUP6\_CTRL} \\ \cline{3-6} + & & ENABLE\_TRUNCATION & 59 & W & Enable truncation mechanism in HDLC decoder for chunks > 12 bytes \\ & & EPATH\_ALMOST\_FULL & 58:51 & R & FIFO full indication \\ & & REVERSE\_ELINKS & 50:43 & W & enables bit reversing for the elink in the given epath \\ & & PATH\_ENCODING & 42:11 & W & Encoding for every EPATH, 4 bits per E-path\newline 0: direct mode\newline 1: 8b10b mode\newline 2: HDLC mode\newline 3: TTC\newline 4: ITk Strips 8b10b\newline 5: ITk Pixel\newline 6: Endeavour\newline 7-15: reserved\newline \\ & & EPATH\_WIDTH & 10:8 & W & Width in bits of all EPATHS in an EGROUP 0:2, 1:4, 2:8, 3:16, 4:32 \\ - & & EPATH\_ENA & 7:0 & W & Enable bits per EPROC \\ + & & EPATH\_ENA & 7:0 & W & Enable bits per EPATH \\ \hline \multicolumn{6}{|c|}{MINI\_EGROUP\_TOHOST\_GEN} \\ \hline 0x2840 & 0,1 & \multicolumn{4}{c|}{\small MINI\_EGROUP\_TOHOST\_00} \\ \cline{3-6} + & & ENABLE\_AUX\_TRUNCATION & 15 & W & Enable truncation mechanism in HDLC decoder for chunks > 12 bytes \\ + & & ENABLE\_IC\_TRUNCATION & 14 & W & Enable truncation mechanism in HDLC decoder for chunks > 12 bytes \\ + & & ENABLE\_EC\_TRUNCATION & 13 & W & Enable truncation mechanism in HDLC decoder for chunks > 12 bytes \\ & & AUX\_ALMOST\_FULL & 12 & R & Indicator that the AUX path FIFO is almost full \\ & & AUX\_BIT\_SWAPPING & 11 & W & 0: two input bits of IC e-link are as documented, 1: two input bits are swapped \\ & & AUX\_ENABLE & 10 & W & Enables the AUX channel \\ @@ -434,6 +443,9 @@ any & T & Central Router FromHost Controls and Monitors \\ \hline 0x29B0 & 0,1 & \multicolumn{4}{c|}{\small MINI\_EGROUP\_TOHOST\_23} \\ \cline{3-6} + & & ENABLE\_AUX\_TRUNCATION & 15 & W & Enable truncation mechanism in HDLC decoder for chunks > 12 bytes \\ + & & ENABLE\_IC\_TRUNCATION & 14 & W & Enable truncation mechanism in HDLC decoder for chunks > 12 bytes \\ + & & ENABLE\_EC\_TRUNCATION & 13 & W & Enable truncation mechanism in HDLC decoder for chunks > 12 bytes \\ & & AUX\_ALMOST\_FULL & 12 & R & Indicator that the AUX path FIFO is almost full \\ & & AUX\_BIT\_SWAPPING & 11 & W & 0: two input bits of IC e-link are as documented, 1: two input bits are swapped \\ & & AUX\_ENABLE & 10 & W & Enables the AUX channel \\ @@ -451,31 +463,19 @@ any & T & Central Router FromHost Controls and Monitors \\ 0x29D0 & 0,1 & DECODING\_REVERSE\_10B & 0 & W & Reverse 10-bit word of elink data for 8b10b E-links\newline 1: Receive 10-bit word in ToHost E-Paths, MSB first\newline 0: Receive 10-bit word in ToHost E-Paths, LSB first\newline \\ \hline -\multicolumn{6}{|c|}{YARR\_DEBUG} \\ +\multicolumn{6}{|c|}{YARR\_DEBUG\_ALLEGROUP\_TOHOST\_GEN} \\ \hline -0x29E0 & 0,1 & \multicolumn{4}{c|}{\small YARR\_DEBUG\_FROMHOST\_00} \\ +0x29E0 & 0,1 & \multicolumn{4}{c|}{\small YARR\_DEBUG\_ALLEGROUP\_TOHOST\_00} \\ \cline{3-6} - & & RD53A\_AZ\_EN & 56 & W & Auto zeroing module enable \\ - & & CNT\_TRIG\_CMD & 55:24 & R & Number of issued triggers via cmd \\ - & & CNT\_GENCALTRIG\_DLY & 23:16 & R & Measured distance between GenCal and first issued trigger via cmd \\ - & & ERR\_GENCALTRIG\_DLY & 15:8 & R & Number of mismatches between CNT\_GENCALTRIG\_DLY and REF\_DLY\_GENCALTRIG \\ - & & REF\_DLY\_GENCALTRIG & 7:0 & W & Reference distance between GenCal and First Trigger \\ -\hline -0x29F0 & 0,1 & CNT\_RX\_64B66BHDR\_LANE0\_00 & -31:0 & R & RD53A HDR from 64b66b module. LANE0 only \\ + & & REF\_PACKET & 63:32 & W & Reference packet to be matched \\ + & & CNT\_RX\_PACKET & 31:0 & R & Count packets of a given value \\ \hline \multicolumn{6}{|c|}{\ldots} \\ \hline -0x2B40 & 0,1 & \multicolumn{4}{c|}{\small YARR\_DEBUG\_FROMHOST\_11} \\ +0x2A90 & 0,1 & \multicolumn{4}{c|}{\small YARR\_DEBUG\_ALLEGROUP\_TOHOST\_11} \\ \cline{3-6} - & & RD53A\_AZ\_EN & 56 & W & Auto zeroing module enable \\ - & & CNT\_TRIG\_CMD & 55:24 & R & Number of issued triggers via cmd \\ - & & CNT\_GENCALTRIG\_DLY & 23:16 & R & Measured distance between GenCal and first issued trigger via cmd \\ - & & ERR\_GENCALTRIG\_DLY & 15:8 & R & Number of mismatches between CNT\_GENCALTRIG\_DLY and REF\_DLY\_GENCALTRIG \\ - & & REF\_DLY\_GENCALTRIG & 7:0 & W & Reference distance between GenCal and First Trigger \\ -\hline -0x2B50 & 0,1 & CNT\_RX\_64B66BHDR\_LANE0\_11 & -31:0 & R & RD53A HDR from 64b66b module. LANE0 only \\ + & & REF\_PACKET & 63:32 & W & Reference packet to be matched \\ + & & CNT\_RX\_PACKET & 31:0 & R & Count packets of a given value \\ \hline \multicolumn{6}{|c|}{SUPER\_CHUNK\_FACTOR\_GEN} \\ \hline @@ -646,6 +646,43 @@ any & T & Central Router FromHost Controls and Monitors \\ & & AUTOMATIC\_MERGE\_DISABLE0 & 1 & W & Disable automatic merging \\ & & TTC\_SELECT0 & 0 & W & TTC/FromHost select (if automatic merging is disabled) \\ \hline +\multicolumn{6}{|c|}{YARR\_DEBUG\_ALLEGROUP\_FROMHOST\_GEN} \\ +\hline +0x3910 & 0,1 & \multicolumn{4}{c|}{\small YARR\_DEBUG\_ALLEGROUP\_FROMHOST1\_00} \\ +\cline{3-6} + & & RD53A\_AZ\_EN & 48 & W & Auto zeroing module enable \\ + & & CNT\_TRIG\_CMD & 47:16 & R & Number of issued triggers via cmd \\ + & & ERR\_GENCALTRIG\_DLY & 15:8 & R & Number of mismatches between CNT\_GENCALTRIG\_DLY and REF\_DLY\_GENCALTRIG \\ + & & REF\_DLY\_GENCALTRIG & 7:0 & W & Reference distance between GenCal and First Trigger \\ +\hline +0x3920 & 0,1 & \multicolumn{4}{c|}{\small YARR\_DEBUG\_ALLEGROUP\_FROMHOST2\_00} \\ +\cline{3-6} + & & CNT\_CMD & 47:16 & R & Number of issued commands \\ + & & REF\_CMD & 15:0 & W & Cmd type to be counted. See RD53 Manual for list of allowed commands \\ +\hline +\multicolumn{6}{|c|}{\ldots} \\ +\hline +0x3A70 & 0,1 & \multicolumn{4}{c|}{\small YARR\_DEBUG\_ALLEGROUP\_FROMHOST1\_11} \\ +\cline{3-6} + & & RD53A\_AZ\_EN & 48 & W & Auto zeroing module enable \\ + & & CNT\_TRIG\_CMD & 47:16 & R & Number of issued triggers via cmd \\ + & & ERR\_GENCALTRIG\_DLY & 15:8 & R & Number of mismatches between CNT\_GENCALTRIG\_DLY and REF\_DLY\_GENCALTRIG \\ + & & REF\_DLY\_GENCALTRIG & 7:0 & W & Reference distance between GenCal and First Trigger \\ +\hline +0x3A80 & 0,1 & \multicolumn{4}{c|}{\small YARR\_DEBUG\_ALLEGROUP\_FROMHOST2\_11} \\ +\cline{3-6} + & & CNT\_CMD & 47:16 & R & Number of issued commands \\ + & & REF\_CMD & 15:0 & W & Cmd type to be counted. See RD53 Manual for list of allowed commands \\ +\hline +0x3A90 & 0,1 & YARR\_FROMHOST\_CALTRIGSEQ\_WE & +0 & W & enable to store CalPulse+Trigger Sequence into memory \\ +\hline +0x3AA0 & 0,1 & YARR\_FROMHOST\_CALTRIGSEQ\_WRDATA & +15:0 & W & CalPulse+Trigger Sequence to be stored in memory \\ +\hline +0x3AB0 & 0,1 & YARR\_FROMHOST\_CALTRIGSEQ\_WRADDR & +4:0 & W & memory address to store CalPulse+Trigger Sequence \\ +\hline \multicolumn{6}{|c|}{Frontend Emulator Controls And Monitors} \\ \hline 0x4000 & 0, 1 & \multicolumn{4}{c|}{\small FE\_EMU\_ENA} \\ @@ -778,6 +815,11 @@ any & T & Central Router FromHost Controls and Monitors \\ & & LOCK & 48 & W & Locks this particular register. If set prevents software from touching it. \\ & & SEL & 47:0 & W & ToFrontEnd FanOut/Selector. Every bitfield is a channel:\newline 1 : GBT\_EMU, select GBT Emulator for a specific GBT link\newline 0 : TTC\_DEC, select CentralRouter data (including TTC) for a specific GBT link\newline \newline \\ \hline +0x5720 & 0 & \multicolumn{4}{c|}{\small FULLMODE\_AUTO\_RX\_RESET} \\ +\cline{3-6} + & & ENABLE & 32 & W & Enable the Automatic RX Reset mechanism \\ + & & TIMEOUT & 31:0 & W & Number of 40 MHz clock cycles until an unaligned link results in a reset pulse \\ +\hline \multicolumn{6}{|c|}{Link Wrapper Monitors} \\ \hline 0x6600 & 0 & \multicolumn{4}{c|}{\small GBT\_VERSION} \\ @@ -942,13 +984,18 @@ any & T & Any write to this register resets the TTC Emulator to the default stat & & CLEAR & any & T & Counts the number of times the BCR period does not match 3564, any write to this register clears the counter \\ & & VALUE & 31:0 & R & Counts the number of times the BCR period does not match 3564, any write to this register clears the counter \\ \hline +0x7570 & 0 & \multicolumn{4}{c|}{\small TTC\_BCR\_COUNTER} \\ +\cline{3-6} + & & CLEAR & any & T & Counts the number of times BCR is issued, any write to this register clears the counter \\ + & & VALUE & 31:0 & R & Counts the number of times BCR is issued, any write to this register clears the counter \\ +\hline \multicolumn{6}{|c|}{XOFF\_BUSY Controls And Monitors} \\ \hline 0x8000 & 0, 1 & XOFF\_FM\_CH\_FIFO\_THRESH\_LOW & 3:0 & W & Controls the low threshold of the channel fifo in FULL mode on which\newline an Xon will be asserted, bitfields control 4 MSB\newline \\ \hline 0x8010 & 0, 1 & XOFF\_FM\_CH\_FIFO\_THRESH\_HIGH & -3:0 & W & Controls the high threshold of the channel fifo in FULL mode on which\newline an Xoff will be asserted, bitfields control 4 MSB - name: XOFF\_FM\_LOW\_THRESH\_CROSSED\newline \\ +3:0 & W & Controls the high threshold of the channel fifo in FULL mode on which\newline an Xoff will be asserted, bitfields control 4 MSB\newline \\ \hline 0x8020 & 0, 1 & XOFF\_FM\_LOW\_THRESH\_CROSSED & 23:0 & R & FIFO filled beyond the low threshold, 1 bit per channel \\ @@ -1360,8 +1407,8 @@ any & T & Any write to this register clears the FELIG L1ID \\ \hline 0xB800 & 0 & \multicolumn{4}{c|}{\small FMEMU\_EVENT\_INFO} \\ \cline{3-6} - & & L1ID & 63:32 & W & 32b field to show L1ID \\ - & & BCID & 31:0 & W & 32b field to show BCID \\ + & & L1ID & 63:32 & R & 32b field to show L1ID \\ + & & BCID & 31:0 & R & 32b field to show BCID \\ \hline 0xB810 & 0 & \multicolumn{4}{c|}{\small FMEMU\_COUNTERS} \\ \cline{3-6} @@ -1377,14 +1424,14 @@ any & T & Any write to this register clears the FELIG L1ID \\ & & XONXOFF\_BITNR & 55:48 & W & Bitfield for Xon/Xoff in TTC frame \\ & & EMU\_START & 47:47 & W & Start emulator functionality \\ & & TTC\_MODE & 46:46 & W & Control the emulator by TTC input or by RegMap (1/0) \\ - & & XONXOFF & 45:45 & W & Debug Xon/Xoff functionality (1/0) \\ + & & XONXOFF & 45:45 & W & Enable Xon/Xoff functionality (1/0) \\ & & INLC\_CRC32 & 44:44 & W & 0: No checksum\newline 1: Append the data with a CRC32\newline \\ & & BCR & 43:43 & W & Reset BCID to 0 \\ & & ECR & 42:42 & W & Reset L1ID to 0 \\ - & & DATA\_SRC\_SEL & 41:41 & W & Data source select\newline 0: Data input comes from EMURAM\newline 1: Data input comes from PCIe\newline \\ + & & CONSTANT\_CHUNK\_LENGTH & 41:41 & W & Data source select\newline 0: Random chunk length\newline 1: Constant chunk length\newline \\ & & INT\_STATUS\_EMU & 40:32 & R & Read internal status emulator \\ - & & FFU\_FM\_EMU\_T & 31:16 & W & For Future Use (trigger registers) \\ - & & FFU\_FM\_EMU\_W & 15:0 & W & For Future Use (write registers) \\ + & & FFU\_FM\_EMU\_T & 16 & W & For Future Use (trigger registers) \\ + & & FE\_BUSY\_ENABLE & 0 & W & Enable the BUSY mechanism if L1A counter passes threshold \\ \hline 0xB830 & 0 & FMEMU\_RANDOM\_RAM\_ADDR & 9:0 & W & Controls the address of the ramblock for the random number generator \\ @@ -1553,7 +1600,7 @@ any & T & (for tests only) simulate simultaneous R3 and L1 trigger (issues 4-5 s 0xF130 & 0 & MROD\_EP1\_TXRESET & 23:0 & W & EP1 Transmitter Reset channel 23-0 \\ \hline -\multicolumn{6}{|c|}{MROD Monitors} \\ +\multicolumn{6}{|c|}{MRO Dmonitors} \\ \hline 0xF800 & 0 & MROD\_EP0\_CSMH\_EMPTY & 23:0 & R & EP0 CSM Handler FIFO Empty 23-0 \\ diff --git a/sources/templates/registermap.tex b/sources/templates/registermap.tex index dead1788251b0a4f368454a993dbc81b9c19c91d..a1ce159190e27b8e621dc5a24bd4fed9820ea928 100644 --- a/sources/templates/registermap.tex +++ b/sources/templates/registermap.tex @@ -6,16 +6,11 @@ % DO NOT EDIT THIS FILE % % This file was generated from template 'registermap.tex.template' -<<<<<<< HEAD -% and register map registers-5.0.yaml, version 5.0 -% by the script 'wuppercodegen', version: 0.8.0, -======= % and register map registers-4.10.yaml, version 4.10 % by the script 'wuppercodegen', version: 0.8.4, ->>>>>>> master % using the following commandline: % -% ../../../software/wuppercodegen/wuppercodegen/cli.py registers-5.0.yaml registermap.tex.template registermap.tex +% ../../../software/wuppercodegen/wuppercodegen/cli.py registers-4.10.yaml registermap.tex.template registermap.tex % % Please do NOT edit this file, but edit the source file at 'registermap.tex.template' % @@ -25,7 +20,7 @@ % *************************************************************************** % *************************************************************************** -\section{FELIX register map, version 5.0} +\section{FELIX register map, version 4.10} Starting from the offset address of BAR0, BAR1 and BAR2. BAR0 only contains registers associated with DMA. \keepXColumns @@ -189,13 +184,8 @@ BAR2 stores registers for the control and monitor of HDL modules inside the FPGA \hline \multicolumn{6}{|c|}{Generic Board Information} \\ \hline -<<<<<<< HEAD -0x0000 & 0,1 & \multicolumn{2}{l|}{REG\_MAP\_VERSION} & -15:0 & R & Register Map Version, 5.0 formatted as 0x0500 \\ -======= 0x0000 & 0,1 & REG\_MAP\_VERSION & 15:0 & R & Register Map Version, 4.10 formatted as 0x040A \\ ->>>>>>> master \hline 0x0010 & 0,1 & BOARD\_ID\_TIMESTAMP & 39:0 & R & Board ID Date / Time in BCD format YYMMDDhhmm \\ @@ -232,11 +222,7 @@ BAR2 stores registers for the control and monitor of HDL modules inside the FPGA 0x00D0 & 0,1 & OPTO\_TRX\_NUM & 7:0 & R & Number of optical transceivers in the design \\ \hline -<<<<<<< HEAD -0x00E0 & 0,1 & \multicolumn{2}{l|}{GENERATE\_TTC\_EMU} & -======= 0x00E0 & 0,1 & TTC\_EMU\_CONST\_GENERATE\_TTC\_EMU & ->>>>>>> master 1 & R & 1 when TTC emulator is generated \\ \hline \multicolumn{6}{|c|}{INCLUDE\_EGROUPS} \\ @@ -270,13 +256,8 @@ BAR2 stores registers for the control and monitor of HDL modules inside the FPGA 0x0170 & 0,1 & WIDE\_MODE & 0 & R & GBT is configured in Wide mode \\ \hline -<<<<<<< HEAD -0x0190 & 0,1 & \multicolumn{2}{l|}{FIRMWARE\_MODE} & -3:0 & R & 0: GBT mode\newline 1: FULL mode\newline 2: LTDB mode (GBT mode with only IC and TTC links)\newline 3: FEI4 mode\newline 4: ITK Pixel\newline 5: ITK Strip\newline 6: FELIG\newline 7: FULL mode emulator\newline 8: FELIX\_MROD mode\newline 9: lpGBT mode\newline \newline \\ -======= 0x0190 & 0,1 & FIRMWARE\_MODE & 3:0 & R & 0: GBT mode\newline 1: FULL mode\newline 2: LTDB mode (GBT mode with only IC and TTC links)\newline 3: FEI4 mode\newline 4: ITK Pixel\newline 5: ITK Strip\newline 6: FELIG\newline 7: FULL mode emulator\newline 8: FELIX\_MROD mode\newline \newline \\ ->>>>>>> master \hline 0x01A0 & 0,1 & GTREFCLK\_SOURCE & 1:0 & R & 0: Transceiver reference Clock source from Si5345\newline 1: Transceiver reference Clock source from Si5324\newline 2: Transceiver reference Clock from internal BUFG (GREFCLK)\newline \\ @@ -299,548 +280,6 @@ BAR2 stores registers for the control and monitor of HDL modules inside the FPGA 0x01F0 & 0,1 & NUMBER\_OF\_PCIE\_ENDPOINTS & 1:0 & R & Number of PCIe endpoints on the card. The BNL71x cards have 2 endpoints \\ \hline -<<<<<<< HEAD -0x0200 & 0,1 & \multicolumn{2}{l|}{SUPER\_CHUNK\_FACTOR} & -7:0 & R & Number of full mode chunks glued together as one chunk \\ -\hline -\multicolumn{7}{|c|}{CR To Host Controls And Monitors} \\ -\hline -0x0800 & 0,1 & \multicolumn{5}{l|}{TIMEOUT\_CTRL} \\ -\cline{3-7} - & & & ENABLE & 32 & W & 1 enables the timout trailer generation for ToHost mode \\ - & & & TIMEOUT & 31:0 & W & Number of 40 MHz clock cycles after which a timeout occurs. \\ -\hline -0x0810 & 0,1 & \multicolumn{2}{l|}{MAX\_TIMEOUT} & -31:0 & R & Maximum allowed timeout value \\ -\hline -0x0820 & 0,1 & \multicolumn{5}{l|}{CRTOHOST\_FIFO\_STATUS} \\ -\cline{3-7} - & & & CLEAR & any & T & Any write to this register clears the latched FULL flags \\ - & & & FULL & 47:24 & R & Every bit represents the full flag of a channel FIFO \\ - & & & FULL\_LATCHED & 23:0 & R & like FULL but a latched state, clear by writing to this register \\ -\hline -\multicolumn{7}{|c|}{CR From Host Controls And Monitors} \\ -\hline -0x1000 & 0,1 & \multicolumn{5}{l|}{CRFROMHOST\_FIFO\_STATUS} \\ -\cline{3-7} - & & & CLEAR & any & T & Any write to this register clears the latched FULL flags \\ - & & & FULL & 47:24 & R & Every bit represents the full flag of a channel FIFO \\ - & & & FULL\_LATCHED & 23:0 & R & like FULL but a latched state, clear by writing to this register \\ -\hline -\multicolumn{7}{|c|}{BROADCAST\_ENABLE\_GEN} \\ -\hline -0x1010 & 0,1 & \multicolumn{2}{l|}{BROADCAST\_ENABLE\_00} & -41:0 & W & Enable path to be included in a broadcast message. \\ -\hline -\multicolumn{7}{|c|}{\ldots} \\ -\hline -0x1180 & 0,1 & \multicolumn{2}{l|}{BROADCAST\_ENABLE\_23} & -41:0 & W & Enable path to be included in a broadcast message. \\ -\hline -\multicolumn{7}{|c|}{Decoding Controls And Monitors} \\ -\hline -\multicolumn{7}{|c|}{PATH\_HAS\_STREAM\_ID} \\ -\hline -0x2000 & 0,1 & \multicolumn{5}{l|}{LINK\_00\_HAS\_STREAM\_ID} \\ -\cline{3-7} - & & & EGROUP6 & 55:48 & W & EPATH (Wide mode or lpGBT) is associated with a STREAM ID \\ - & & & EGROUP5 & 47:40 & W & EPATH (Wide mode or lpGBT) is associated with a STREAM ID \\ - & & & EGROUP4 & 39:32 & W & EPATH is associated with a STREAM ID \\ - & & & EGROUP3 & 31:24 & W & EPATH is associated with a STREAM ID \\ - & & & EGROUP2 & 23:16 & W & EPATH is associated with a STREAM ID \\ - & & & EGROUP1 & 15:8 & W & EPATH is associated with a STREAM ID \\ - & & & EGROUP0 & 7:0 & W & EPATH is associated with a STREAM ID, use only bit0 for FULL mode. \\ -\hline -\multicolumn{7}{|c|}{\ldots} \\ -\hline -0x2170 & 0,1 & \multicolumn{5}{l|}{LINK\_23\_HAS\_STREAM\_ID} \\ -\cline{3-7} - & & & EGROUP6 & 55:48 & W & EPATH (Wide mode or lpGBT) is associated with a STREAM ID \\ - & & & EGROUP5 & 47:40 & W & EPATH (Wide mode or lpGBT) is associated with a STREAM ID \\ - & & & EGROUP4 & 39:32 & W & EPATH is associated with a STREAM ID \\ - & & & EGROUP3 & 31:24 & W & EPATH is associated with a STREAM ID \\ - & & & EGROUP2 & 23:16 & W & EPATH is associated with a STREAM ID \\ - & & & EGROUP1 & 15:8 & W & EPATH is associated with a STREAM ID \\ - & & & EGROUP0 & 7:0 & W & EPATH is associated with a STREAM ID, use only bit0 for FULL mode. \\ -\hline -\multicolumn{7}{|c|}{DECODING\_LINK\_STATUS\_ARR} \\ -\hline -0x2180 & 0,1 & \multicolumn{2}{l|}{DECODING\_LINK\_ALIGNED\_00} & -57:0 & R & Every bit corresponds to an E-link on one (lp)GBT or FULL-mode frame. For FULL mode only bit 0 is used \\ -\hline -\multicolumn{7}{|c|}{\ldots} \\ -\hline -0x22F0 & 0,1 & \multicolumn{2}{l|}{DECODING\_LINK\_ALIGNED\_23} & -57:0 & R & Every bit corresponds to an E-link on one (lp)GBT or FULL-mode frame. For FULL mode only bit 0 is used \\ -\hline -\multicolumn{7}{|c|}{DECODING\_EGROUP\_CTRL\_GEN} \\ -\hline -\multicolumn{7}{|c|}{DECODING\_EGROUP} \\ -\hline -0x2300 & 0,1 & \multicolumn{5}{l|}{DECODING\_LINK00\_EGROUP0\_CTRL} \\ -\cline{3-7} - & & & EPATH\_ALMOST\_FULL & 58:51 & R & FIFO full indication \\ - & & & REVERSE\_ELINKS & 50:43 & W & enables bit reversing for the elink in the given epath \\ - & & & PATH\_ENCODING & 42:11 & W & Encoding for every EPATH, 4 bits per E-path\newline 0: direct mode\newline 1: 8b10b mode\newline 2: HDLC mode\newline 3: TTC\newline 4: ITk Strips 8b10b\newline 5: ITk Pixel\newline 6: Endeavour\newline 7-15: reserved\newline \\ - & & & EPATH\_WIDTH & 10:8 & W & Width in bits of all EPATHS in an EGROUP 0:2, 1:4, 2:8, 3:16, 4:32 \\ - & & & EPATH\_ENA & 7:0 & W & Enable bits per EPROC \\ -\hline -\multicolumn{7}{|c|}{\ldots} \\ -\hline -0x2360 & 0,1 & \multicolumn{5}{l|}{DECODING\_LINK00\_EGROUP6\_CTRL} \\ -\cline{3-7} - & & & EPATH\_ALMOST\_FULL & 58:51 & R & FIFO full indication \\ - & & & REVERSE\_ELINKS & 50:43 & W & enables bit reversing for the elink in the given epath \\ - & & & PATH\_ENCODING & 42:11 & W & Encoding for every EPATH, 4 bits per E-path\newline 0: direct mode\newline 1: 8b10b mode\newline 2: HDLC mode\newline 3: TTC\newline 4: ITk Strips 8b10b\newline 5: ITk Pixel\newline 6: Endeavour\newline 7-15: reserved\newline \\ - & & & EPATH\_WIDTH & 10:8 & W & Width in bits of all EPATHS in an EGROUP 0:2, 1:4, 2:8, 3:16, 4:32 \\ - & & & EPATH\_ENA & 7:0 & W & Enable bits per EPROC \\ -\hline -\multicolumn{7}{|c|}{\ldots} \\ -\hline -\multicolumn{7}{|c|}{DECODING\_EGROUP} \\ -\hline -0x27D0 & 0,1 & \multicolumn{5}{l|}{DECODING\_LINK11\_EGROUP0\_CTRL} \\ -\cline{3-7} - & & & EPATH\_ALMOST\_FULL & 58:51 & R & FIFO full indication \\ - & & & REVERSE\_ELINKS & 50:43 & W & enables bit reversing for the elink in the given epath \\ - & & & PATH\_ENCODING & 42:11 & W & Encoding for every EPATH, 4 bits per E-path\newline 0: direct mode\newline 1: 8b10b mode\newline 2: HDLC mode\newline 3: TTC\newline 4: ITk Strips 8b10b\newline 5: ITk Pixel\newline 6: Endeavour\newline 7-15: reserved\newline \\ - & & & EPATH\_WIDTH & 10:8 & W & Width in bits of all EPATHS in an EGROUP 0:2, 1:4, 2:8, 3:16, 4:32 \\ - & & & EPATH\_ENA & 7:0 & W & Enable bits per EPROC \\ -\hline -\multicolumn{7}{|c|}{\ldots} \\ -\hline -0x2830 & 0,1 & \multicolumn{5}{l|}{DECODING\_LINK11\_EGROUP6\_CTRL} \\ -\cline{3-7} - & & & EPATH\_ALMOST\_FULL & 58:51 & R & FIFO full indication \\ - & & & REVERSE\_ELINKS & 50:43 & W & enables bit reversing for the elink in the given epath \\ - & & & PATH\_ENCODING & 42:11 & W & Encoding for every EPATH, 4 bits per E-path\newline 0: direct mode\newline 1: 8b10b mode\newline 2: HDLC mode\newline 3: TTC\newline 4: ITk Strips 8b10b\newline 5: ITk Pixel\newline 6: Endeavour\newline 7-15: reserved\newline \\ - & & & EPATH\_WIDTH & 10:8 & W & Width in bits of all EPATHS in an EGROUP 0:2, 1:4, 2:8, 3:16, 4:32 \\ - & & & EPATH\_ENA & 7:0 & W & Enable bits per EPROC \\ -\hline -\multicolumn{7}{|c|}{MINI\_EGROUP\_TOHOST\_GEN} \\ -\hline -0x2840 & 0,1 & \multicolumn{5}{l|}{MINI\_EGROUP\_TOHOST\_00} \\ -\cline{3-7} - & & & AUX\_ALMOST\_FULL & 12 & R & Indicator that the AUX path FIFO is almost full \\ - & & & AUX\_BIT\_SWAPPING & 11 & W & 0: two input bits of IC e-link are as documented, 1: two input bits are swapped \\ - & & & AUX\_ENABLE & 10 & W & Enables the AUX channel \\ - & & & IC\_ALMOST\_FULL & 9 & R & Indicator that the IC path FIFO is almost full \\ - & & & IC\_BIT\_SWAPPING & 8 & W & 0: two input bits of IC e-link are as documented, 1: two input bits are swapped \\ - & & & IC\_ENABLE & 7 & W & Enables the IC channel \\ - & & & EC\_ALMOST\_FULL & 6 & R & Indicator that the EC path FIFO is almost full \\ - & & & EC\_BIT\_SWAPPING & 5 & W & 0: two input bits of EC e-link are as documented, 1: two input bits are swapped \\ - & & & EC\_ENCODING & 4:1 & W & Configures encoding of the EC channel \\ - & & & EC\_ENABLE & 0 & W & Enables the EC channel \\ -\hline -\multicolumn{7}{|c|}{\ldots} \\ -\hline -0x29B0 & 0,1 & \multicolumn{5}{l|}{MINI\_EGROUP\_TOHOST\_23} \\ -\cline{3-7} - & & & AUX\_ALMOST\_FULL & 12 & R & Indicator that the AUX path FIFO is almost full \\ - & & & AUX\_BIT\_SWAPPING & 11 & W & 0: two input bits of IC e-link are as documented, 1: two input bits are swapped \\ - & & & AUX\_ENABLE & 10 & W & Enables the AUX channel \\ - & & & IC\_ALMOST\_FULL & 9 & R & Indicator that the IC path FIFO is almost full \\ - & & & IC\_BIT\_SWAPPING & 8 & W & 0: two input bits of IC e-link are as documented, 1: two input bits are swapped \\ - & & & IC\_ENABLE & 7 & W & Enables the IC channel \\ - & & & EC\_ALMOST\_FULL & 6 & R & Indicator that the EC path FIFO is almost full \\ - & & & EC\_BIT\_SWAPPING & 5 & W & 0: two input bits of EC e-link are as documented, 1: two input bits are swapped \\ - & & & EC\_ENCODING & 4:1 & W & Configures encoding of the EC channel \\ - & & & EC\_ENABLE & 0 & W & Enables the EC channel \\ -\hline -0x29C0 & 0,1 & \multicolumn{2}{l|}{TTC\_TOHOST\_ENABLE} & -0 & W & Enables the ToHost Mini Egroup in TTC mode \\ -\hline -0x29D0 & 0,1 & \multicolumn{2}{l|}{DECODING\_REVERSE\_10B} & -0 & W & Reverse 10-bit word of elink data for 8b10b E-links\newline 1: Receive 10-bit word in ToHost E-Paths, MSB first\newline 0: Receive 10-bit word in ToHost E-Paths, LSB first\newline \\ -\hline -\multicolumn{7}{|c|}{RD53 B\_PROCESSOR\_GEN} \\ -\hline -0x29E0 & 0,1 & \multicolumn{5}{l|}{RD53B\_PROCESSOR\_00} \\ -\cline{3-7} - & & & ENABLE\_MULTICHIP & 3 & R & Decoding block \\ - & & & ENABLE\_BINARYTREE & 2 & R & Decoding block \\ - & & & ENABLE\_TOT & 1 & R & Decoding block \\ - & & & DROP\_TOT & 0 & R & Decoding block \\ -\hline -\multicolumn{7}{|c|}{\ldots} \\ -\hline -0x2DD0 & 0,1 & \multicolumn{5}{l|}{RD53B\_PROCESSOR\_63} \\ -\cline{3-7} - & & & ENABLE\_MULTICHIP & 3 & R & Decoding block \\ - & & & ENABLE\_BINARYTREE & 2 & R & Decoding block \\ - & & & ENABLE\_TOT & 1 & R & Decoding block \\ - & & & DROP\_TOT & 0 & R & Decoding block \\ -\hline -\multicolumn{7}{|c|}{Encoding Controls And Monitors} \\ -\hline -0x3000 & 0,1 & \multicolumn{2}{l|}{ENCODING\_REVERSE\_10B} & -0 & W & Reverse 10-bit word of elink data for 8b10b E-links. 1 MSB first, 0 LSB first \\ -\hline -\multicolumn{7}{|c|}{ENCODING\_EGROUP\_CTRL\_GEN} \\ -\hline -\multicolumn{7}{|c|}{ENCODING\_EGROUP} \\ -\hline -0x3010 & 0,1 & \multicolumn{5}{l|}{ENCODING\_LINK00\_EGROUP0\_CTRL} \\ -\cline{3-7} - & & & TTC\_OPTION & 62:59 & W & Selects TTC bits sent to the E-link \\ - & & & EPATH\_ALMOST\_FULL & 58:51 & R & Indiator that the EPATH FIFO is almost full \\ - & & & REVERSE\_ELINKS & 50:43 & W & enables bit reversing for the elink in the given epath \\ - & & & EPATH\_WIDTH & 42:40 & W & Width of the Elinks in the egroup\newline 0: 2 bit 80 Mb/s\newline 1: 4 bit 160 Mb/s\newline 2: 8 bit 320 Mb/s\newline \\ - & & & PATH\_ENCODING & 39:8 & W & Encoding for every EPATH, 4 bits per E-Path\newline 0: No encoding\newline 1: 8b10b mode\newline 2: HDLC mode\newline 3: ITk Strip LCB\newline 4: ITk Pixel\newline 5: Endeavour\newline 6: reserved\newline 7: reserved\newline greater than 7: TTC mode, see firmware Phase 2 specification doc\newline \\ - & & & EPATH\_ENA & 7:0 & W & Enable bits per E-PATH \\ -\hline -\multicolumn{7}{|c|}{\ldots} \\ -\hline -0x3050 & 0,1 & \multicolumn{5}{l|}{ENCODING\_LINK00\_EGROUP4\_CTRL} \\ -\cline{3-7} - & & & TTC\_OPTION & 62:59 & W & Selects TTC bits sent to the E-link \\ - & & & EPATH\_ALMOST\_FULL & 58:51 & R & Indiator that the EPATH FIFO is almost full \\ - & & & REVERSE\_ELINKS & 50:43 & W & enables bit reversing for the elink in the given epath \\ - & & & EPATH\_WIDTH & 42:40 & W & Width of the Elinks in the egroup\newline 0: 2 bit 80 Mb/s\newline 1: 4 bit 160 Mb/s\newline 2: 8 bit 320 Mb/s\newline \\ - & & & PATH\_ENCODING & 39:8 & W & Encoding for every EPATH, 4 bits per E-Path\newline 0: No encoding\newline 1: 8b10b mode\newline 2: HDLC mode\newline 3: ITk Strip LCB\newline 4: ITk Pixel\newline 5: Endeavour\newline 6: reserved\newline 7: reserved\newline greater than 7: TTC mode, see firmware Phase 2 specification doc\newline \\ - & & & EPATH\_ENA & 7:0 & W & Enable bits per E-PATH \\ -\hline -\multicolumn{7}{|c|}{\ldots} \\ -\hline -\multicolumn{7}{|c|}{ENCODING\_EGROUP} \\ -\hline -0x3380 & 0,1 & \multicolumn{5}{l|}{ENCODING\_LINK11\_EGROUP0\_CTRL} \\ -\cline{3-7} - & & & TTC\_OPTION & 62:59 & W & Selects TTC bits sent to the E-link \\ - & & & EPATH\_ALMOST\_FULL & 58:51 & R & Indiator that the EPATH FIFO is almost full \\ - & & & REVERSE\_ELINKS & 50:43 & W & enables bit reversing for the elink in the given epath \\ - & & & EPATH\_WIDTH & 42:40 & W & Width of the Elinks in the egroup\newline 0: 2 bit 80 Mb/s\newline 1: 4 bit 160 Mb/s\newline 2: 8 bit 320 Mb/s\newline \\ - & & & PATH\_ENCODING & 39:8 & W & Encoding for every EPATH, 4 bits per E-Path\newline 0: No encoding\newline 1: 8b10b mode\newline 2: HDLC mode\newline 3: ITk Strip LCB\newline 4: ITk Pixel\newline 5: Endeavour\newline 6: reserved\newline 7: reserved\newline greater than 7: TTC mode, see firmware Phase 2 specification doc\newline \\ - & & & EPATH\_ENA & 7:0 & W & Enable bits per E-PATH \\ -\hline -\multicolumn{7}{|c|}{\ldots} \\ -\hline -0x33C0 & 0,1 & \multicolumn{5}{l|}{ENCODING\_LINK11\_EGROUP4\_CTRL} \\ -\cline{3-7} - & & & TTC\_OPTION & 62:59 & W & Selects TTC bits sent to the E-link \\ - & & & EPATH\_ALMOST\_FULL & 58:51 & R & Indiator that the EPATH FIFO is almost full \\ - & & & REVERSE\_ELINKS & 50:43 & W & enables bit reversing for the elink in the given epath \\ - & & & EPATH\_WIDTH & 42:40 & W & Width of the Elinks in the egroup\newline 0: 2 bit 80 Mb/s\newline 1: 4 bit 160 Mb/s\newline 2: 8 bit 320 Mb/s\newline \\ - & & & PATH\_ENCODING & 39:8 & W & Encoding for every EPATH, 4 bits per E-Path\newline 0: No encoding\newline 1: 8b10b mode\newline 2: HDLC mode\newline 3: ITk Strip LCB\newline 4: ITk Pixel\newline 5: Endeavour\newline 6: reserved\newline 7: reserved\newline greater than 7: TTC mode, see firmware Phase 2 specification doc\newline \\ - & & & EPATH\_ENA & 7:0 & W & Enable bits per E-PATH \\ -\hline -\multicolumn{7}{|c|}{MINI\_EGROUP\_FROMHOST\_GEN} \\ -\hline -0x33D0 & 0,1 & \multicolumn{5}{l|}{MINI\_EGROUP\_FROMHOST\_00} \\ -\cline{3-7} - & & & AUX\_ALMOST\_FULL & 12 & R & Indicator that the AUX Path FIFO is almost full \\ - & & & AUX\_BIT\_SWAPPING & 11 & W & 0: two input bits of AUX e-link are as documented, 1: two input bits are swapped \\ - & & & AUX\_ENABLE & 10 & W & Enables the AUX channel \\ - & & & IC\_ALMOST\_FULL & 9 & R & Indicator that the IC Path FIFO is almost full \\ - & & & IC\_BIT\_SWAPPING & 8 & W & 0: two input bits of IC e-link are as documented, 1: two input bits are swapped \\ - & & & IC\_ENABLE & 7 & W & Enables the IC channel \\ - & & & EC\_ALMOST\_FULL & 6 & R & Indicator that the EC Path FIFO is almost full \\ - & & & EC\_BIT\_SWAPPING & 5 & W & 0: two output bits of EC e-link are as documented, 1: two output bits are swapped \\ - & & & EC\_ENCODING & 4:1 & W & Configures encoding of the EC channel \\ - & & & EC\_ENABLE & 0 & W & Configures the FromHost Mini egroup \\ -\hline -\multicolumn{7}{|c|}{\ldots} \\ -\hline -0x3540 & 0,1 & \multicolumn{5}{l|}{MINI\_EGROUP\_FROMHOST\_23} \\ -\cline{3-7} - & & & AUX\_ALMOST\_FULL & 12 & R & Indicator that the AUX Path FIFO is almost full \\ - & & & AUX\_BIT\_SWAPPING & 11 & W & 0: two input bits of AUX e-link are as documented, 1: two input bits are swapped \\ - & & & AUX\_ENABLE & 10 & W & Enables the AUX channel \\ - & & & IC\_ALMOST\_FULL & 9 & R & Indicator that the IC Path FIFO is almost full \\ - & & & IC\_BIT\_SWAPPING & 8 & W & 0: two input bits of IC e-link are as documented, 1: two input bits are swapped \\ - & & & IC\_ENABLE & 7 & W & Enables the IC channel \\ - & & & EC\_ALMOST\_FULL & 6 & R & Indicator that the EC Path FIFO is almost full \\ - & & & EC\_BIT\_SWAPPING & 5 & W & 0: two output bits of EC e-link are as documented, 1: two output bits are swapped \\ - & & & EC\_ENCODING & 4:1 & W & Configures encoding of the EC channel \\ - & & & EC\_ENABLE & 0 & W & Configures the FromHost Mini egroup \\ -\hline -\multicolumn{7}{|c|}{ENCODING\_EGROUP\_CTRL\_FEI4\_GEN} \\ -\hline -\multicolumn{7}{|c|}{ENCODING\_EGROUP\_FEI4} \\ -\hline -0x3550 & 0,1 & \multicolumn{5}{l|}{ENCODING\_LINK00\_EGROUP0\_FEI4\_CTRL} \\ -\cline{3-7} - & & & PHASE\_DELAY1 & 11:9 & W & phase delay of output data, with 320 Bb/s e-link 8 phases per BC \\ - & & & MANCHESTER\_ENABLE1 & 8 & W & enable manchester encoding \\ - & & & AUTOMATIC\_MERGE\_DISABLE1 & 7 & W & Disable automatic merging \\ - & & & TTC\_SELECT1 & 6 & W & TTC/FromHost select (if automatic merging is disabled) \\ - & & & PHASE\_DELAY0 & 5:3 & W & phase delay of output data, with 320 Bb/s e-link 8 phases per BC \\ - & & & MANCHESTER\_ENABLE0 & 2 & W & enable manchester encoding \\ - & & & AUTOMATIC\_MERGE\_DISABLE0 & 1 & W & Disable automatic merging \\ - & & & TTC\_SELECT0 & 0 & W & TTC/FromHost select (if automatic merging is disabled) \\ -\hline -\multicolumn{7}{|c|}{\ldots} \\ -\hline -0x3590 & 0,1 & \multicolumn{5}{l|}{ENCODING\_LINK00\_EGROUP4\_FEI4\_CTRL} \\ -\cline{3-7} - & & & PHASE\_DELAY1 & 11:9 & W & phase delay of output data, with 320 Bb/s e-link 8 phases per BC \\ - & & & MANCHESTER\_ENABLE1 & 8 & W & enable manchester encoding \\ - & & & AUTOMATIC\_MERGE\_DISABLE1 & 7 & W & Disable automatic merging \\ - & & & TTC\_SELECT1 & 6 & W & TTC/FromHost select (if automatic merging is disabled) \\ - & & & PHASE\_DELAY0 & 5:3 & W & phase delay of output data, with 320 Bb/s e-link 8 phases per BC \\ - & & & MANCHESTER\_ENABLE0 & 2 & W & enable manchester encoding \\ - & & & AUTOMATIC\_MERGE\_DISABLE0 & 1 & W & Disable automatic merging \\ - & & & TTC\_SELECT0 & 0 & W & TTC/FromHost select (if automatic merging is disabled) \\ -\hline -\multicolumn{7}{|c|}{\ldots} \\ -\hline -\multicolumn{7}{|c|}{ENCODING\_EGROUP\_FEI4} \\ -\hline -0x38C0 & 0,1 & \multicolumn{5}{l|}{ENCODING\_LINK11\_EGROUP0\_FEI4\_CTRL} \\ -\cline{3-7} - & & & PHASE\_DELAY1 & 11:9 & W & phase delay of output data, with 320 Bb/s e-link 8 phases per BC \\ - & & & MANCHESTER\_ENABLE1 & 8 & W & enable manchester encoding \\ - & & & AUTOMATIC\_MERGE\_DISABLE1 & 7 & W & Disable automatic merging \\ - & & & TTC\_SELECT1 & 6 & W & TTC/FromHost select (if automatic merging is disabled) \\ - & & & PHASE\_DELAY0 & 5:3 & W & phase delay of output data, with 320 Bb/s e-link 8 phases per BC \\ - & & & MANCHESTER\_ENABLE0 & 2 & W & enable manchester encoding \\ - & & & AUTOMATIC\_MERGE\_DISABLE0 & 1 & W & Disable automatic merging \\ - & & & TTC\_SELECT0 & 0 & W & TTC/FromHost select (if automatic merging is disabled) \\ -\hline -\multicolumn{7}{|c|}{\ldots} \\ -\hline -0x3900 & 0,1 & \multicolumn{5}{l|}{ENCODING\_LINK11\_EGROUP4\_FEI4\_CTRL} \\ -\cline{3-7} - & & & PHASE\_DELAY1 & 11:9 & W & phase delay of output data, with 320 Bb/s e-link 8 phases per BC \\ - & & & MANCHESTER\_ENABLE1 & 8 & W & enable manchester encoding \\ - & & & AUTOMATIC\_MERGE\_DISABLE1 & 7 & W & Disable automatic merging \\ - & & & TTC\_SELECT1 & 6 & W & TTC/FromHost select (if automatic merging is disabled) \\ - & & & PHASE\_DELAY0 & 5:3 & W & phase delay of output data, with 320 Bb/s e-link 8 phases per BC \\ - & & & MANCHESTER\_ENABLE0 & 2 & W & enable manchester encoding \\ - & & & AUTOMATIC\_MERGE\_DISABLE0 & 1 & W & Disable automatic merging \\ - & & & TTC\_SELECT0 & 0 & W & TTC/FromHost select (if automatic merging is disabled) \\ -\hline -\multicolumn{7}{|c|}{Frontend Emulator Controls And Monitors} \\ -\hline -0x4000 & 0, 1 & \multicolumn{5}{l|}{FE\_EMU\_ENA} \\ -\cline{3-7} - & & & EMU\_TOFRONTEND & 1 & W & Enable GBT dummy emulator ToFrontEnd \\ - & & & EMU\_TOHOST & 0 & W & Enable GBT dummy emulator ToHost \\ -\hline -0x4010 & 0, 1 & \multicolumn{5}{l|}{FE\_EMU\_CONFIG} \\ -\cline{3-7} - & & & WE & 54:47 & W & write enable array, every bit is one emulator RAM block \\ - & & & WRADDR & 46:33 & W & write address bus \\ - & & & WRDATA & 32:0 & W & write data bus \\ -\hline -0x4020 & 0, 1 & \multicolumn{5}{l|}{FE\_EMU\_READ} \\ -\cline{3-7} - & & & SEL & 35:33 & W & Select ramblock to read back \\ - & & & DATA & 32:0 & R & Read back ramblock at FE\_EMU\_CONFIG.WRADDR \\ -\hline -\multicolumn{7}{|c|}{Link Wrapper Controls} \\ -\hline -0x5400 & 0 & \multicolumn{2}{l|}{GBT\_CHANNEL\_DISABLE} & -47:0 & W & Disable selected lpGBT, GBT or FULL mode channel \\ -\hline -0x5410 & 0 & \multicolumn{2}{l|}{GBT\_GENERAL\_CTRL} & -63:0 & W & Alignment chk reset (not self clearing) \\ -\hline -0x5420 & 0 & \multicolumn{5}{l|}{GBT\_MODE\_CTRL} \\ -\cline{3-7} - & & & RX\_ALIGN\_TB\_SW & 2 & W & RX\_ALIGN\_TB\_SW \\ - & & & RX\_ALIGN\_SW & 1 & W & RX\_ALIGN\_SW \\ - & & & DESMUX\_USE\_SW & 0 & W & DESMUX\_USE\_SW \\ -\hline -0x5480 & 0 & \multicolumn{2}{l|}{GBT\_RXSLIDE\_SELECT} & -47:0 & W & RxSlide select [47:0] \\ -\hline -0x5490 & 0 & \multicolumn{2}{l|}{GBT\_RXSLIDE\_MANUAL} & -47:0 & W & RxSlide select [47:0] \\ -\hline -0x54A0 & 0 & \multicolumn{2}{l|}{GBT\_TXUSRRDY} & -47:0 & W & TxUsrRdy [47:0] \\ -\hline -0x54B0 & 0 & \multicolumn{2}{l|}{GBT\_RXUSRRDY} & -47:0 & W & RxUsrRdy [47:0] \\ -\hline -0x54C0 & 0 & \multicolumn{2}{l|}{GBT\_SOFT\_RESET} & -47:0 & W & SOFT\_RESET [47:0] \\ -\hline -0x54D0 & 0 & \multicolumn{2}{l|}{GBT\_GTTX\_RESET} & -47:0 & W & GTTX\_RESET [47:0] \\ -\hline -0x54E0 & 0 & \multicolumn{2}{l|}{GBT\_GTRX\_RESET} & -47:0 & W & GTRX\_RESET [47:0] \\ -\hline -0x54F0 & 0 & \multicolumn{5}{l|}{GBT\_PLL\_RESET} \\ -\cline{3-7} - & & & QPLL\_RESET & 59:48 & W & QPLL\_RESET [11:0] \\ - & & & CPLL\_RESET & 47:0 & W & CPLL\_RESET [47:0] \\ -\hline -0x5500 & 0 & \multicolumn{5}{l|}{GBT\_SOFT\_TX\_RESET} \\ -\cline{3-7} - & & & RESET\_ALL & 59:48 & W & SOFT\_TX\_RESET\_ALL [11:0] \\ - & & & RESET\_GT & 47:0 & W & SOFT\_TX\_RESET\_GT [47:0] \\ -\hline -0x5510 & 0 & \multicolumn{5}{l|}{GBT\_SOFT\_RX\_RESET} \\ -\cline{3-7} - & & & RESET\_ALL & 59:48 & W & SOFT\_TX\_RESET\_ALL [11:0] \\ - & & & RESET\_GT & 47:0 & W & SOFT\_TX\_RESET\_GT [47:0] \\ -\hline -0x5520 & 0 & \multicolumn{2}{l|}{GBT\_ODD\_EVEN} & -47:0 & W & OddEven [47:0] \\ -\hline -0x5530 & 0 & \multicolumn{2}{l|}{GBT\_TOPBOT} & -47:0 & W & TopBot [47:0] \\ -\hline -0x5540 & 0 & \multicolumn{2}{l|}{GBT\_TX\_TC\_DLY\_VALUE1} & -47:0 & W & TX\_TC\_DLY\_VALUE [47:0] \\ -\hline -0x5550 & 0 & \multicolumn{2}{l|}{GBT\_TX\_TC\_DLY\_VALUE2} & -47:0 & W & TX\_TC\_DLY\_VALUE [95:48] \\ -\hline -0x5560 & 0 & \multicolumn{2}{l|}{GBT\_TX\_TC\_DLY\_VALUE3} & -47:0 & W & TX\_TC\_DLY\_VALUE [143:96] \\ -\hline -0x5570 & 0 & \multicolumn{2}{l|}{GBT\_TX\_TC\_DLY\_VALUE4} & -47:0 & W & TX\_TC\_DLY\_VALUE [191:144] \\ -\hline -0x5580 & 0 & \multicolumn{2}{l|}{GBT\_DATA\_TXFORMAT1} & -47:0 & W & DATA\_TXFORMAT [47:0] \\ -\hline -0x5590 & 0 & \multicolumn{2}{l|}{GBT\_DATA\_TXFORMAT2} & -47:0 & W & DATA\_TXFORMAT [95:48] \\ -\hline -0x55A0 & 0 & \multicolumn{2}{l|}{GBT\_DATA\_RXFORMAT1} & -47:0 & W & DATA\_RXFORMAT [47:0] \\ -\hline -0x55B0 & 0 & \multicolumn{2}{l|}{GBT\_DATA\_RXFORMAT2} & -47:0 & W & DATA\_RXFORMAT [95:0] \\ -\hline -0x55C0 & 0 & \multicolumn{2}{l|}{GBT\_TX\_RESET} & -47:0 & W & TX Logic reset [47:0] \\ -\hline -0x55D0 & 0 & \multicolumn{2}{l|}{GBT\_RX\_RESET} & -47:0 & W & RX Logic reset [47:0] \\ -\hline -0x55E0 & 0 & \multicolumn{2}{l|}{GBT\_TX\_TC\_METHOD} & -47:0 & W & TX time domain crossing method [47:0] \\ -\hline -0x55F0 & 0 & \multicolumn{2}{l|}{GBT\_OUTMUX\_SEL} & -47:0 & W & Descrambler output MUX selection [47:0] \\ -\hline -0x5600 & 0 & \multicolumn{2}{l|}{GBT\_TC\_EDGE} & -47:0 & W & Sampling edge selection for TX domain crossing [47:0] \\ -\hline -0x5610 & 0 & \multicolumn{2}{l|}{GBT\_TXPOLARITY} & -47:0 & W & 0: default polarity\newline 1: reversed polarity for transmitter of GTH channels\newline \\ -\hline -0x5620 & 0 & \multicolumn{2}{l|}{GBT\_RXPOLARITY} & -47:0 & W & 0: default polarity\newline 1: reversed polarity for the receiver of the GTH channels\newline \\ -\hline -0x5630 & 0 & \multicolumn{2}{l|}{GTH\_LOOPBACK\_CONTROL} & -2:0 & W & Controls loopback for loopback: read UG476 for the details. NOTE: the TXBUFFER is disabled, near end PCS loopback is not supported.\newline 000: Normal operation\newline 001: Near-End PCS Loopback\newline 010: Near-End PMA Loopback\newline 011: Reserved\newline 100: Far-End PMA Loopback\newline 101: Reserved\newline 110: Far-End PCS Loopback \newline \\ -\hline -0x5700 & 0 & \multicolumn{5}{l|}{GBT\_TOHOST\_FANOUT} \\ -\cline{3-7} - & & & LOCK & 48 & W & Locks this particular register. If set prevents software from touching it. \\ - & & & SEL & 47:0 & W & ToHost FanOut/Selector. Every bitfield is a channel:\newline 1 : GBT\_EMU, select GBT Emulator for a specific CentralRouter channel\newline 0 : GBT\_WRAP, select real GBT link for a specific CentralRouter channel\newline \\ -\hline -0x5710 & 0 & \multicolumn{5}{l|}{GBT\_TOFRONTEND\_FANOUT} \\ -\cline{3-7} - & & & LOCK & 48 & W & Locks this particular register. If set prevents software from touching it. \\ - & & & SEL & 47:0 & W & ToFrontEnd FanOut/Selector. Every bitfield is a channel:\newline 1 : GBT\_EMU, select GBT Emulator for a specific GBT link\newline 0 : TTC\_DEC, select CentralRouter data (including TTC) for a specific GBT link\newline \newline \\ -\hline -\multicolumn{7}{|c|}{Link Wrapper Monitors} \\ -\hline -0x6600 & 0 & \multicolumn{5}{l|}{GBT\_VERSION} \\ -\cline{3-7} - & & & DATE & 63:48 & R & Date \\ - & & & GBT\_VERSION & 47:32 & R & GBT Version \\ - & & & GTH\_IP\_VERSION & 31:16 & R & GTH IP Version \\ - & & & RESERVED & 15:3 & R & Reserved \\ - & & & GTHREFCLK\_SEL & 2 & R & GTHREFCLK SEL \\ - & & & RX\_CLK\_SEL & 1 & R & RX CLK SEL \\ - & & & PLL\_SEL & 0 & R & PLL SEL \\ -\hline -0x6680 & 0 & \multicolumn{2}{l|}{GBT\_TXRESET\_DONE} & -47:0 & R & TX Reset done [47:0] \\ -\hline -0x6690 & 0 & \multicolumn{2}{l|}{GBT\_RXRESET\_DONE} & -47:0 & R & RX Reset done [47:0] \\ -\hline -0x66A0 & 0 & \multicolumn{2}{l|}{GBT\_TXFSMRESET\_DONE} & -47:0 & R & TX FSM Reset done [47:0] \\ -\hline -0x66B0 & 0 & \multicolumn{2}{l|}{GBT\_RXFSMRESET\_DONE} & -47:0 & R & RX FSM Reset done [47:0] \\ -\hline -0x66C0 & 0 & \multicolumn{2}{l|}{GBT\_CPLL\_FBCLK\_LOST} & -47:0 & R & CPLL FBCLK LOST [47:0] \\ -\hline -0x66D0 & 0 & \multicolumn{5}{l|}{GBT\_PLL\_LOCK} \\ -\cline{3-7} - & & & QPLL\_LOCK & 59:48 & R & QPLL LOCK [11:0] \\ - & & & CPLL\_LOCK & 47:0 & R & CPLL LOCK [47:0] \\ -\hline -0x66E0 & 0 & \multicolumn{2}{l|}{GBT\_RXCDR\_LOCK} & -47:0 & R & RX CDR LOCK [47:0] \\ -\hline -0x66F0 & 0 & \multicolumn{2}{l|}{GBT\_CLK\_SAMPLED} & -47:0 & R & clk sampled [47:0] \\ -\hline -0x6700 & 0 & \multicolumn{2}{l|}{GBT\_RX\_IS\_HEADER} & -47:0 & R & RX IS HEADER [47:0] \\ -\hline -0x6710 & 0 & \multicolumn{2}{l|}{GBT\_RX\_IS\_DATA} & -47:0 & R & RX IS DATA [47:0] \\ -\hline -0x6720 & 0 & \multicolumn{2}{l|}{GBT\_RX\_HEADER\_FOUND} & -47:0 & R & RX HEADER FOUND [47:0] \\ -\hline -0x6730 & 0 & \multicolumn{2}{l|}{GBT\_ALIGNMENT\_DONE} & -47:0 & R & RX ALIGNMENT DONE [47:0] \\ -\hline -0x6740 & 0 & \multicolumn{2}{l|}{GBT\_OUT\_MUX\_STATUS} & -47:0 & R & GBT output mux status [47:0] \\ -\hline -0x6750 & 0 & \multicolumn{2}{l|}{GBT\_ERROR} & -47:0 & R & Error flags [47:0] \\ -\hline -0x6760 & 0 & \multicolumn{2}{l|}{GBT\_GBT\_TOPBOT\_C} & -47:0 & R & TopBot\_c [47:0] \\ -\hline -0x6800 & 0 & \multicolumn{2}{l|}{GBT\_FM\_RX\_DISP\_ERROR1} & -47:0 & R & Rx disparity error [47:0] \\ -\hline -0x6810 & 0 & \multicolumn{2}{l|}{GBT\_FM\_RX\_DISP\_ERROR2} & -47:0 & R & Rx disparity error [96:48] \\ -\hline -0x6820 & 0 & \multicolumn{2}{l|}{GBT\_FM\_RX\_NOTINTABLE1} & -47:0 & R & Rx not in table [47:0] \\ -\hline -0x6830 & 0 & \multicolumn{2}{l|}{GBT\_FM\_RX\_NOTINTABLE2} & -47:0 & R & Rx not in table [96:48] \\ -\hline -\multicolumn{7}{|c|}{TTCBUSY Controls And Monitors} \\ -\hline -\multicolumn{7}{|c|}{TTC\_DEC\_CTRLMON} \\ -\hline -0x7000 & 0 & \multicolumn{5}{l|}{TTC\_DEC\_CTRL} \\ -\cline{3-7} - & & & L1A\_DELAY & 30:27 & W & Number of BC to delay the L1A distribution to the frontends \\ - & & & BCID\_ONBCR & 26:15 & W & BCID is set to this value when BCR arrives \\ - & & & BUSY\_OUTPUT\_STATUS & 14 & R & Actual status of the BUSY LEMO output signal \\ - & & & ECR\_BCR\_SWAP & 13 & W & ECR and BCR signals are swapped at the output of the TTC decoder (needed only for LAr TTC) \\ - & & & BUSY\_OUTPUT\_INHIBIT & 12 & W & forces the Busy LEMO output to BUSY-OFF \\ - & & & TOHOST\_RST & 11 & W & reset toHost in ttc decoder \\ - & & & TT\_BCH\_EN & 10 & W & trigger type enable / disable for TTC-ToHost \\ - & & & XL1ID\_SW & 9:2 & W & set XL1ID value, the value to be set by XL1ID\_RST signal \\ - & & & XL1ID\_RST & 1 & W & giving a trigger signal to reset XL1ID value \\ - & & & MASTER\_BUSY & 0 & W & L1A trigger throttling \\ -\hline -0x7010 & 0 & \multicolumn{5}{l|}{TTC\_DEC\_MON} \\ -\cline{3-7} - & & & TH\_FF\_COUNT & 15:5 & R & ToHostData Fifo counts \\ - & & & TH\_FF\_FULL & 4 & R & ToHostData Fifo status 1:full 0:not full \\ - & & & TH\_FF\_EMPTY & 3 & R & ToHostData Fifo status 1:empty 0:not empty \\ - & & & TTC\_BIT\_ERR & 2:0 & R & double bit, single bit and comm error in TTC data \\ -\hline -\multicolumn{7}{|c|}{TTC\_BUSY\_ACCEPTED\_G} \\ -\hline -0x7020 & 0,1 & \multicolumn{2}{l|}{TTC\_BUSY\_ACCEPTED00} & -======= \multicolumn{6}{|c|}{Central Router Controls And Monitors} \\ \hline 0x1000 & 0,1 & IC\_FROMHOST\_PACKET\_RDY & @@ -1047,6 +486,21 @@ BAR2 stores registers for the control and monitor of HDL modules inside the FPGA 0x4410 & 0,1 & MAX\_TIMEOUT & 31:0 & R & Maximum allowed timeout value \\ \hline +0x4420 & 0,1 & \multicolumn{4}{c|}{\small ELINK\_REALIGNMENT} \\ +\cline{3-6} + & & CLEAR\_REALIGNMENT\_STATUS & any & T & Clears the ELINK Realignment event flags \\ + & & ENABLE & 0 & W & Enable realignment mechanism in 8b10b E-Links after illegal character reception. \\ +\hline +\multicolumn{6}{|c|}{ELINK\_REALIGNMENT\_STATUS\_GEN} \\ +\hline +0x4430 & 0, 1 & ELINK\_REALIGNMENT\_STATUS\_00 & +41:0 & R & A realignment event due to an illegal 8b10b symbol has occurred.\newline 1 bit per Epath. \newline Clear status by writing to ELINK\_REALIGNMENT.CLEAR\_REALIGNMENT\_STATUS\newline \\ +\hline +\multicolumn{6}{|c|}{\ldots} \\ +\hline +0x44E0 & 0, 1 & ELINK\_REALIGNMENT\_STATUS\_11 & +41:0 & R & A realignment event due to an illegal 8b10b symbol has occurred.\newline 1 bit per Epath. \newline Clear status by writing to ELINK\_REALIGNMENT.CLEAR\_REALIGNMENT\_STATUS\newline \\ +\hline \multicolumn{6}{|c|}{GBT Emulator Controls And Monitors} \\ \hline 0x5000 & 0, 1 & \multicolumn{4}{c|}{\small GBT\_EMU\_ENA} \\ @@ -1218,6 +672,11 @@ BAR2 stores registers for the control and monitor of HDL modules inside the FPGA & & LOCK & 48 & W & Locks this particular register. If set prevents software from touching it. \\ & & SEL & 47:0 & W & ToFrontEnd FanOut/Selector. Every bitfield is a channel:\newline 1 : GBT\_EMU, select GBT Emulator for a specific GBT link\newline 0 : TTC\_DEC, select CentralRouter data (including TTC) for a specific GBT link\newline \newline \\ \hline +0x6720 & 0 & \multicolumn{4}{c|}{\small FULLMODE\_AUTO\_RX\_RESET} \\ +\cline{3-6} + & & ENABLE & 32 & W & Enable the Automatic RX Reset mechanism \\ + & & TIMEOUT & 31:0 & W & Number of 40 MHz clock cycles until an unaligned link results in a reset pulse \\ +\hline \multicolumn{6}{|c|}{GBT Wrapper Monitors} \\ \hline 0x7600 & 0 & \multicolumn{4}{c|}{\small GBT\_VERSION} \\ @@ -1316,21 +775,10 @@ BAR2 stores registers for the control and monitor of HDL modules inside the FPGA \multicolumn{6}{|c|}{TTC\_BUSY\_ACCEPTED\_G} \\ \hline 0x8020 & 0,1 & TTC\_BUSY\_ACCEPTED00 & ->>>>>>> master 56:0 & R & busy has been asserted by the given ELINK. Reset by writing to TTC\_BUSY\_CLEAR \\ \hline \multicolumn{6}{|c|}{\ldots} \\ \hline -<<<<<<< HEAD -0x7190 & 0,1 & \multicolumn{2}{l|}{TTC\_BUSY\_ACCEPTED23} & -56:0 & R & busy has been asserted by the given ELINK. Reset by writing to TTC\_BUSY\_CLEAR \\ -\hline -0x71A0 & 0 & \multicolumn{5}{l|}{TTC\_EMU} \\ -\cline{3-7} - & & & FULL & 2 & R & TTC Emulator memory full indication \\ - & & & SEL & 1 & W & Select TTC data source 1 TTC Emu | 0 TTC Decoder \\ - & & & ENA & 0 & W & Clear to load into the TTC emulator’s memory the required sequence, Set to run the TTC emulator sequence \\ -======= 0x8190 & 0,1 & TTC\_BUSY\_ACCEPTED23 & 56:0 & R & busy has been asserted by the given ELINK. Reset by writing to TTC\_BUSY\_CLEAR \\ \hline @@ -1339,72 +787,14 @@ BAR2 stores registers for the control and monitor of HDL modules inside the FPGA & & FULL & 2 & R & TTC Emulator memory full indication \\ & & SEL & 1 & W & Select TTC data source 1 TTC Emu | 0 TTC Decoder \\ & & ENA & 0 & W & Clear to load into the TTC emulator’s memory the required sequence, Set to run the TTC emulator sequence \\ ->>>>>>> master \hline \multicolumn{6}{|c|}{TTC\_DELAY} \\ \hline -<<<<<<< HEAD -0x71B0 & 0 & \multicolumn{2}{l|}{TTC\_DELAY\_00} & -======= 0x81B0 & 0 & TTC\_DELAY\_00 & ->>>>>>> master 3:0 & W & Controls the TTC Fanout delay values \\ \hline \multicolumn{6}{|c|}{\ldots} \\ \hline -<<<<<<< HEAD -0x74A0 & 0 & \multicolumn{2}{l|}{TTC\_DELAY\_47} & -3:0 & W & Controls the TTC Fanout delay values \\ -\hline -0x74B0 & 0 & \multicolumn{5}{l|}{TTC\_BUSY\_TIMING\_CTRL} \\ -\cline{3-7} - & & & PRESCALE & 51:32 & W & Prescales the 40MHz clock to create an internal slow clock \\ - & & & BUSY\_WIDTH & 31:16 & W & Minimum number of 40MHz clocks that the busy is asserted \\ - & & & LIMIT\_TIME & 15:0 & W & Number of prescaled clocks a given busy must be asserted before it is recognized \\ -\hline -0x74C0 & 0 & \multicolumn{2}{l|}{TTC\_BUSY\_CLEAR} & -any & T & clears the latching busy bits in TTC\_BUSY\_ACCEPTED \\ -\hline -0x74D0 & 0 & \multicolumn{5}{l|}{TTC\_EMU\_CONTROL} \\ -\cline{3-7} - & & & BROADCAST & 32:27 & W & Broadcast data \\ - & & & ECR & 26 & W & Event counter reset \\ - & & & BCR & 25 & W & Bunch counter reset \\ - & & & L1A & 24 & W & Level 1 Accept \\ -\hline -0x74E0 & 0 & \multicolumn{2}{l|}{TTC\_EMU\_L1A\_PERIOD} & -31:0 & W & L1A period in BC. 0 means manual L1A with TTC\_EMU\_CONTROL.L1A \\ -\hline -0x74F0 & 0 & \multicolumn{2}{l|}{TTC\_EMU\_ECR\_PERIOD} & -31:0 & W & ECR period in BC. 0 means manual ECR with TTC\_EMU\_CONTROL.ECR \\ -\hline -0x7500 & 0 & \multicolumn{2}{l|}{TTC\_EMU\_BCR\_PERIOD} & -31:0 & W & BCR period in BC. 0 means manual BCR with TTC\_EMU\_CONTROL.BCR \\ -\hline -0x7510 & 0 & \multicolumn{2}{l|}{TTC\_EMU\_LONG\_CHANNEL\_DATA} & -31:0 & W & Long channel data for the TTC emulator \\ -\hline -0x7520 & 0 & \multicolumn{2}{l|}{TTC\_EMU\_RESET} & -any & T & Any write to this register resets the TTC Emulator to the default state. \\ -\hline -0x7530 & 0 & \multicolumn{2}{l|}{TTC\_L1ID\_MONITOR} & -31:0 & R & Monitor L1ID and XL1ID. \\ -\hline -0x7540 & 0 & \multicolumn{5}{l|}{TTC\_ECR\_MONITOR} \\ -\cline{3-7} - & & & CLEAR & any & T & Counts the number of ECRs received from the TTC system, any write to this register clears the counter \\ - & & & VALUE & 31:0 & R & Counts the number of ECRs received from the TTC system, any write to this register clears the counter \\ -\hline -0x7550 & 0 & \multicolumn{5}{l|}{TTC\_TTYPE\_MONITOR} \\ -\cline{3-7} - & & & CLEAR & any & T & Counts the number of TType received from the TTC system, any write to this register clears the counter \\ - & & & VALUE & 31:0 & R & Counts the number of TType received from the TTC system, any write to this register clears the counter \\ -\hline -0x7560 & 0 & \multicolumn{5}{l|}{TTC\_BCR\_PERIODICITY\_MONITOR} \\ -\cline{3-7} - & & & CLEAR & any & T & Counts the number of times the BCR period does not match 3564, any write to this register clears the counter \\ - & & & VALUE & 31:0 & R & Counts the number of times the BCR period does not match 3564, any write to this register clears the counter \\ -======= 0x84A0 & 0 & TTC\_DELAY\_47 & 3:0 & W & Controls the TTC Fanout delay values \\ \hline @@ -1457,62 +847,14 @@ any & T & Any write to this register resets the TTC Emulator to the default stat \cline{3-6} & & CLEAR & any & T & Counts the number of times the BCR period does not match 3564, any write to this register clears the counter \\ & & VALUE & 31:0 & R & Counts the number of times the BCR period does not match 3564, any write to this register clears the counter \\ ->>>>>>> master -\hline -\multicolumn{6}{|c|}{XOFF\_BUSY Controls And Monitors} \\ \hline -<<<<<<< HEAD -0x8000 & 0, 1 & \multicolumn{2}{l|}{XOFF\_FM\_CH\_FIFO\_THRESH\_LOW} & -3:0 & W & Controls the low threshold of the channel fifo in FULL mode on which\newline an Xon will be asserted, bitfields control 4 MSB\newline \\ -\hline -0x8010 & 0, 1 & \multicolumn{2}{l|}{XOFF\_FM\_CH\_FIFO\_THRESH\_HIGH} & -3:0 & W & Controls the high threshold of the channel fifo in FULL mode on which\newline an Xoff will be asserted, bitfields control 4 MSB - name: XOFF\_FM\_LOW\_THRESH\_CROSSED\newline \\ -\hline -0x8020 & 0, 1 & \multicolumn{2}{l|}{XOFF\_FM\_LOW\_THRESH\_CROSSED} & -23:0 & R & FIFO filled beyond the low threshold, 1 bit per channel \\ -\hline -0x8030 & 0, 1 & \multicolumn{5}{l|}{XOFF\_FM\_HIGH\_THRESH} \\ -\cline{3-7} - & & & CLEAR\_LATCH & any & T & Writing this register will clear all CROSS\_LATCHED bits \\ - & & & CROSS\_LATCHED & 47:24 & R & FIFO filled beyond the high threshold, 1 latch bit per channel \\ - & & & CROSSED & 23:0 & R & FIFO filled beyond the high threshold, 1 bit per channel \\ -\hline -0x8040 & 0, 1 & \multicolumn{2}{l|}{XOFF\_FM\_SOFT\_XOFF} & -23:0 & W & Set any bit in this register to assert XOFF for the given channel, clearing bits will assert XON \\ +0x8570 & 0 & \multicolumn{4}{c|}{\small TTC\_BCR\_COUNTER} \\ +\cline{3-6} + & & CLEAR & any & T & Counts the number of times BCR is issued, any write to this register clears the counter \\ + & & VALUE & 31:0 & R & Counts the number of times BCR is issued, any write to this register clears the counter \\ \hline -0x8050 & 0, 1 & \multicolumn{2}{l|}{XOFF\_ENABLE} & -23:0 & W & Enable XOFF assertion (To Frontend) in case the FULL mode CH FIFO gets beyond thresholds. One bit per channel \\ +\multicolumn{6}{|c|}{XOFF\_BUSY Controls And Monitors} \\ \hline -0x8060 & 0, 1 & \multicolumn{5}{l|}{DMA\_BUSY\_STATUS} \\ -\cline{3-7} - & & & CLEAR\_LATCH & any & T & Any write to this register clears TOHOST\_BUSY\_LATCHED \\ - & & & ENABLE & 4 & W & Enable the DMA buffer on the server as a source of busy \\ - & & & TOHOST\_BUSY\_LATCHED & 3 & R & A tohost descriptor has passed BUSY\_THRESHOLD\_ASSERT in the past, busy flag was set \\ - & & & TOHOST\_BUSY & 0 & R & A tohost descriptor passed BUSY\_THRESHOLD\_ASSERT, busy flag set \\ -\hline -0x8070 & 0, 1 & \multicolumn{5}{l|}{FM\_BUSY\_CHANNEL\_STATUS} \\ -\cline{3-7} - & & & CLEAR\_LATCH & any & T & Any write to this register will clear the BUSY\_LATCHED bits \\ - & & & BUSY\_LATCHED & 47:24 & R & one Indicates that the given FULL mode channel has received BUSY-ON \\ - & & & BUSY & 23:0 & R & one Indicates that the given FULL mode channel is currently in BUSY state \\ -\hline -0x8080 & 0, 1 & \multicolumn{5}{l|}{BUSY\_MAIN\_OUTPUT\_FIFO\_THRESH} \\ -\cline{3-7} - & & & BUSY\_ENABLE & 24 & W & Enable busy generation if thresholds are crossed \\ - & & & LOW & 23:12 & W & Low, Negate threshold of busy generation from main output fifo \\ - & & & HIGH & 11:0 & W & High, Assert threshold of busy generation from main output fifo \\ -\hline -0x8090 & 0, 1 & \multicolumn{5}{l|}{BUSY\_MAIN\_OUTPUT\_FIFO\_STATUS} \\ -\cline{3-7} - & & & CLEAR\_LATCHED & any & T & Any write to this register will clear the \\ - & & & HIGH\_THRESH\_CROSSED\_LATCHED & 2 & R & Main output fifo has been full beyond HIGH THRESHOLD, write to clear \\ - & & & HIGH\_THRESH\_CROSSED & 1 & R & Main output fifo is full beyond HIGH THRESHOLD \\ - & & & LOW\_THRESH\_CROSSED & 0 & R & Main output fifo is full beyond LOW THRESHOLD \\ -\hline -\multicolumn{7}{|c|}{ELINK\_BUSY\_ENABLE} \\ -\hline -0x80A0 & 0 & \multicolumn{2}{l|}{ELINK\_BUSY\_ENABLE00} & -======= 0x8800 & 0, 1 & XOFF\_FM\_CH\_FIFO\_THRESH\_LOW & 3:0 & W & Controls the low threshold of the channel fifo in FULL mode on which\newline an Xon will be asserted, bitfields control 4 MSB\newline \\ \hline @@ -1563,29 +905,15 @@ any & T & Any write to this register resets the TTC Emulator to the default stat \multicolumn{6}{|c|}{ELINK\_BUSY\_ENABLE} \\ \hline 0x88A0 & 0 & ELINK\_BUSY\_ENABLE00 & ->>>>>>> master 56:0 & W & Per elink (and FULL mode link) enable of the busy signal towards the LEMO output \\ \hline \multicolumn{6}{|c|}{\ldots} \\ \hline -<<<<<<< HEAD -0x8210 & 0 & \multicolumn{2}{l|}{ELINK\_BUSY\_ENABLE23} & -======= 0x8A10 & 0 & ELINK\_BUSY\_ENABLE23 & ->>>>>>> master 56:0 & W & Per elink (and FULL mode link) enable of the busy signal towards the LEMO output \\ \hline \multicolumn{6}{|c|}{XOFF\_STATISTICS} \\ \hline -<<<<<<< HEAD -0x8220 & 0,1 & \multicolumn{2}{l|}{XOFF\_PEAK\_DURATION00} & -63:0 & R & Maximum occurred duration of XOFF on the given channel in 25ns bins since reset \\ -\hline -0x8230 & 0,1 & \multicolumn{2}{l|}{XOFF\_TOTAL\_DURATION00} & -63:0 & R & Total occurred duration of XOFF on the given channel in 25ns bins, divide by number of Xoffs to calculate the average since reset \\ -\hline -0x8240 & 0,1 & \multicolumn{2}{l|}{XOFF\_COUNT00} & -======= 0x8A20 & 0,1 & XOFF\_PEAK\_DURATION00 & 63:0 & R & Maximum occurred duration of XOFF on the given channel in 25ns bins since reset \\ \hline @@ -1593,20 +921,10 @@ any & T & Any write to this register resets the TTC Emulator to the default stat 63:0 & R & Total occurred duration of XOFF on the given channel in 25ns bins, divide by number of Xoffs to calculate the average since reset \\ \hline 0x8A40 & 0,1 & XOFF\_COUNT00 & ->>>>>>> master 63:0 & R & Total number of XOFF events per channel that occurred since a reset. \\ \hline \multicolumn{6}{|c|}{\ldots} \\ \hline -<<<<<<< HEAD -0x8670 & 0,1 & \multicolumn{2}{l|}{XOFF\_PEAK\_DURATION23} & -63:0 & R & Maximum occurred duration of XOFF on the given channel in 25ns bins since reset \\ -\hline -0x8680 & 0,1 & \multicolumn{2}{l|}{XOFF\_TOTAL\_DURATION23} & -63:0 & R & Total occurred duration of XOFF on the given channel in 25ns bins, divide by number of Xoffs to calculate the average since reset \\ -\hline -0x8690 & 0,1 & \multicolumn{2}{l|}{XOFF\_COUNT23} & -======= 0x8E70 & 0,1 & XOFF\_PEAK\_DURATION23 & 63:0 & R & Maximum occurred duration of XOFF on the given channel in 25ns bins since reset \\ \hline @@ -1614,7 +932,6 @@ any & T & Any write to this register resets the TTC Emulator to the default stat 63:0 & R & Total occurred duration of XOFF on the given channel in 25ns bins, divide by number of Xoffs to calculate the average since reset \\ \hline 0x8E90 & 0,1 & XOFF\_COUNT23 & ->>>>>>> master 63:0 & R & Total number of XOFF events per channel that occurred since a reset. \\ \hline \multicolumn{6}{|c|}{House Keeping Controls And Monitors} \\ @@ -2404,4 +1721,4 @@ any & T & (for tests only) simulate simultaneous R3 and L1 trigger (issues 4-5 s \caption{FELIX register map BAR2}\label{tab:dma_register_map_bar2} \end{tabularx} -\newpage +\newpage \ No newline at end of file diff --git a/sources/templates/registers-4.10.html b/sources/templates/registers-4.10.html index 7a8f0e150bbebce0d368ead3db19119aaa653c2b..7d165794a268e1400b6dcabbb1b0a8284b7e5160 100644 --- a/sources/templates/registers-4.10.html +++ b/sources/templates/registers-4.10.html @@ -2664,6 +2664,21 @@ th { <td class="type">R</td> <td class="desc">Counts the number of times the BCR period does not match 3564, any write to this register clears the counter</td> </tr> + <tr> + <td rowspan="2">0x8570</td> + <td rowspan="2">0</td> + <td rowspan="2">TTC_BCR_COUNTER</td> + <td class="name">CLEAR</td> + <td class="range">any</td> + <td class="type">T</td> + <td class="desc">Counts the number of times BCR is issued, any write to this register clears the counter</td> + </tr> + <tr> + <td class="name">VALUE</td> + <td class="range">31..0</td> + <td class="type">R</td> + <td class="desc">Counts the number of times BCR is issued, any write to this register clears the counter</td> + </tr> <tr> <td colspan="7" class="group">XOFF_BUSY Controls And Monitors</td> </tr> diff --git a/sources/templates/registers-4.10.yaml b/sources/templates/registers-4.10.yaml index 0af30e514d030f41d3be9740c8d7912fc56b7e09..3f83e3d5b96f47fa89dcdd86de89ae73e3606dcc 100755 --- a/sources/templates/registers-4.10.yaml +++ b/sources/templates/registers-4.10.yaml @@ -2019,7 +2019,19 @@ TTCBUSYControlsAndMonitors: - range: 31..0 type: R name: VALUE - + + - name: TTC_BCR_COUNTER + desc: Counts the number of times BCR is issued, any write to this register clears the counter + type: W + bitfield: + - range: any + type: T + value: 1 + name: CLEAR + - range: 31..0 + type: R + name: VALUE + TTC_DEC_CTRLMON: group: TDCM format_name: TTC_DEC_CTRLMON diff --git a/sources/templates/registers-5.0.html b/sources/templates/registers-5.0.html index 716f5171d0f0b3d34704e3851dcc71ff09911e0e..31598b2d93d88201d4411f345cd710c33eaa6df6 100644 --- a/sources/templates/registers-5.0.html +++ b/sources/templates/registers-5.0.html @@ -3117,6 +3117,21 @@ th { <td class="type">R</td> <td class="desc">Counts the number of times the BCR period does not match 3564, any write to this register clears the counter</td> </tr> + <tr> + <td rowspan="2">0x7570</td> + <td rowspan="2">0</td> + <td rowspan="2">TTC_BCR_COUNTER</td> + <td class="name">CLEAR</td> + <td class="range">any</td> + <td class="type">T</td> + <td class="desc">Counts the number of times BCR is issued, any write to this register clears the counter</td> + </tr> + <tr> + <td class="name">VALUE</td> + <td class="range">31..0</td> + <td class="type">R</td> + <td class="desc">Counts the number of times BCR is issued, any write to this register clears the counter</td> + </tr> <tr> <td colspan="7" class="group">XOFF_BUSY Controls And Monitors</td> </tr> diff --git a/sources/templates/registers-5.0.pdf b/sources/templates/registers-5.0.pdf index 8134c443396c2d1757025c40c19614d3e2dbd625..434fcf34a60852f44c09f6127c40f5e3dfb6baf6 100644 Binary files a/sources/templates/registers-5.0.pdf and b/sources/templates/registers-5.0.pdf differ diff --git a/sources/templates/registers-5.0.yaml b/sources/templates/registers-5.0.yaml index 95b706dc101479e16174503ca435c284caadf705..4ffb58235764ae705ecd5a6656e86a03ce9744b9 100644 --- a/sources/templates/registers-5.0.yaml +++ b/sources/templates/registers-5.0.yaml @@ -1753,6 +1753,18 @@ TTCBUSYControlsAndMonitors: type: R name: VALUE + - name: TTC_BCR_COUNTER + desc: Counts the number of times BCR is issued, any write to this register clears the counter + type: W + bitfield: + - range: any + type: T + value: 1 + name: CLEAR + - range: 31..0 + type: R + name: VALUE + TTC_DEC_CTRLMON: group: TDCM format_name: TTC_DEC_CTRLMON diff --git a/sources/templates/registers-diff-4.9-4.10.html b/sources/templates/registers-diff-4.9-4.10.html index 5e00ca621abe90df1f19bbc0ea215fa3d8c7b154..9f6ea270706ef519a269ec234f3e08f07dd8c204 100644 --- a/sources/templates/registers-diff-4.9-4.10.html +++ b/sources/templates/registers-diff-4.9-4.10.html @@ -2498,7 +2498,36 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="13">71*</td> + <td class="sequence" rowspan="3">71</td> + <td class="state changedAdded" rowspan="3">Added</td> + <td class="address changedTrue" rowspan="3">0x8570</td> + <td class="endpoints changedTrue" rowspan="3">0</td> + <td class="name changedTrue" colspan="7">TTC_BCR_COUNTER</td> + </tr> + + <tr> + <td rowspan="2"></td> + <td class="state changedAdded">Added</td> + <td class="field changedTrue">CLEAR</td> + <td class="range changedTrue">any</td> + <td class="type changedTrue">T</td> + <td class="desc changedTrue">Counts the number of times BCR is issued, any write to this register clears the counter</td> + <td class="value changedNone">1</td> + </tr> + <tr> + <td class="state changedAdded">Added</td> + <td class="field changedTrue">VALUE</td> + <td class="range changedTrue">31..0</td> + <td class="type changedTrue">R</td> + <td class="desc changedTrue">Counts the number of times BCR is issued, any write to this register clears the counter</td> + <td class="value changedNone">None</td> + </tr> + + <tr> + <td colspan="10" class="group"></td> + </tr> + <tr> + <td class="sequence" rowspan="13">72*</td> <td class="state changedChanged" rowspan="13">Changed</td> <td class="address changedNone" rowspan="13">0x8800</td> <td class="endpoints changedNone" rowspan="13">0, 1</td> @@ -2604,7 +2633,7 @@ th { </tr> <tr> - <td class="sequence">71*</td> + <td class="sequence">72*</td> <td class="state changedInto">Into</td> <td class="address changedFalse">0x8800</td> <td class="endpoints changedFalse">0, 1</td> @@ -2620,7 +2649,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="13">72*</td> + <td class="sequence" rowspan="13">73*</td> <td class="state changedChanged" rowspan="13">Changed</td> <td class="address changedNone" rowspan="13">0x8810</td> <td class="endpoints changedNone" rowspan="13">0, 1</td> @@ -2726,7 +2755,7 @@ th { </tr> <tr> - <td class="sequence">72*</td> + <td class="sequence">73*</td> <td class="state changedInto">Into</td> <td class="address changedFalse">0x8810</td> <td class="endpoints changedFalse">0, 1</td> @@ -2742,7 +2771,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="7">73*</td> + <td class="sequence" rowspan="7">74*</td> <td class="state changedChanged" rowspan="7">Changed</td> <td class="address changedNone" rowspan="7">0x8860</td> <td class="endpoints changedNone" rowspan="7">0, 1</td> @@ -2800,7 +2829,7 @@ th { </tr> <tr> - <td class="sequence" rowspan="5">73*</td> + <td class="sequence" rowspan="5">74*</td> <td class="state changedInto" rowspan="5">Into</td> <td class="address changedFalse" rowspan="5">0x8860</td> <td class="endpoints changedFalse" rowspan="5">0, 1</td> @@ -2845,7 +2874,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">74</td> + <td class="sequence">75</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8A20</td> <td class="endpoints changedTrue">0,1</td> @@ -2861,7 +2890,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">75</td> + <td class="sequence">76</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8A30</td> <td class="endpoints changedTrue">0,1</td> @@ -2877,7 +2906,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">76</td> + <td class="sequence">77</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8A40</td> <td class="endpoints changedTrue">0,1</td> @@ -2893,7 +2922,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">77</td> + <td class="sequence">78</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8A50</td> <td class="endpoints changedTrue">0,1</td> @@ -2909,7 +2938,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">78</td> + <td class="sequence">79</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8A60</td> <td class="endpoints changedTrue">0,1</td> @@ -2925,7 +2954,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">79</td> + <td class="sequence">80</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8A70</td> <td class="endpoints changedTrue">0,1</td> @@ -2941,7 +2970,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">80</td> + <td class="sequence">81</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8A80</td> <td class="endpoints changedTrue">0,1</td> @@ -2957,7 +2986,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">81</td> + <td class="sequence">82</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8A90</td> <td class="endpoints changedTrue">0,1</td> @@ -2973,7 +3002,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">82</td> + <td class="sequence">83</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8AA0</td> <td class="endpoints changedTrue">0,1</td> @@ -2989,7 +3018,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">83</td> + <td class="sequence">84</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8AB0</td> <td class="endpoints changedTrue">0,1</td> @@ -3005,7 +3034,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">84</td> + <td class="sequence">85</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8AC0</td> <td class="endpoints changedTrue">0,1</td> @@ -3021,7 +3050,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">85</td> + <td class="sequence">86</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8AD0</td> <td class="endpoints changedTrue">0,1</td> @@ -3037,7 +3066,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">86</td> + <td class="sequence">87</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8AE0</td> <td class="endpoints changedTrue">0,1</td> @@ -3053,7 +3082,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">87</td> + <td class="sequence">88</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8AF0</td> <td class="endpoints changedTrue">0,1</td> @@ -3069,7 +3098,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">88</td> + <td class="sequence">89</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8B00</td> <td class="endpoints changedTrue">0,1</td> @@ -3085,7 +3114,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">89</td> + <td class="sequence">90</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8B10</td> <td class="endpoints changedTrue">0,1</td> @@ -3101,7 +3130,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">90</td> + <td class="sequence">91</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8B20</td> <td class="endpoints changedTrue">0,1</td> @@ -3117,7 +3146,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">91</td> + <td class="sequence">92</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8B30</td> <td class="endpoints changedTrue">0,1</td> @@ -3133,7 +3162,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">92</td> + <td class="sequence">93</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8B40</td> <td class="endpoints changedTrue">0,1</td> @@ -3149,7 +3178,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">93</td> + <td class="sequence">94</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8B50</td> <td class="endpoints changedTrue">0,1</td> @@ -3165,7 +3194,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">94</td> + <td class="sequence">95</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8B60</td> <td class="endpoints changedTrue">0,1</td> @@ -3181,7 +3210,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">95</td> + <td class="sequence">96</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8B70</td> <td class="endpoints changedTrue">0,1</td> @@ -3197,7 +3226,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">96</td> + <td class="sequence">97</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8B80</td> <td class="endpoints changedTrue">0,1</td> @@ -3213,7 +3242,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">97</td> + <td class="sequence">98</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8B90</td> <td class="endpoints changedTrue">0,1</td> @@ -3229,7 +3258,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">98</td> + <td class="sequence">99</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8BA0</td> <td class="endpoints changedTrue">0,1</td> @@ -3245,7 +3274,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">99</td> + <td class="sequence">100</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8BB0</td> <td class="endpoints changedTrue">0,1</td> @@ -3261,7 +3290,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">100</td> + <td class="sequence">101</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8BC0</td> <td class="endpoints changedTrue">0,1</td> @@ -3277,7 +3306,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">101</td> + <td class="sequence">102</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8BD0</td> <td class="endpoints changedTrue">0,1</td> @@ -3293,7 +3322,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">102</td> + <td class="sequence">103</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8BE0</td> <td class="endpoints changedTrue">0,1</td> @@ -3309,7 +3338,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">103</td> + <td class="sequence">104</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8BF0</td> <td class="endpoints changedTrue">0,1</td> @@ -3325,7 +3354,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">104</td> + <td class="sequence">105</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8C00</td> <td class="endpoints changedTrue">0,1</td> @@ -3341,7 +3370,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">105</td> + <td class="sequence">106</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8C10</td> <td class="endpoints changedTrue">0,1</td> @@ -3357,7 +3386,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">106</td> + <td class="sequence">107</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8C20</td> <td class="endpoints changedTrue">0,1</td> @@ -3373,7 +3402,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">107</td> + <td class="sequence">108</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8C30</td> <td class="endpoints changedTrue">0,1</td> @@ -3389,7 +3418,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">108</td> + <td class="sequence">109</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8C40</td> <td class="endpoints changedTrue">0,1</td> @@ -3405,7 +3434,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">109</td> + <td class="sequence">110</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8C50</td> <td class="endpoints changedTrue">0,1</td> @@ -3421,7 +3450,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">110</td> + <td class="sequence">111</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8C60</td> <td class="endpoints changedTrue">0,1</td> @@ -3437,7 +3466,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">111</td> + <td class="sequence">112</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8C70</td> <td class="endpoints changedTrue">0,1</td> @@ -3453,7 +3482,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">112</td> + <td class="sequence">113</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8C80</td> <td class="endpoints changedTrue">0,1</td> @@ -3469,7 +3498,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">113</td> + <td class="sequence">114</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8C90</td> <td class="endpoints changedTrue">0,1</td> @@ -3485,7 +3514,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">114</td> + <td class="sequence">115</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8CA0</td> <td class="endpoints changedTrue">0,1</td> @@ -3501,7 +3530,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">115</td> + <td class="sequence">116</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8CB0</td> <td class="endpoints changedTrue">0,1</td> @@ -3517,7 +3546,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">116</td> + <td class="sequence">117</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8CC0</td> <td class="endpoints changedTrue">0,1</td> @@ -3533,7 +3562,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">117</td> + <td class="sequence">118</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8CD0</td> <td class="endpoints changedTrue">0,1</td> @@ -3549,7 +3578,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">118</td> + <td class="sequence">119</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8CE0</td> <td class="endpoints changedTrue">0,1</td> @@ -3565,7 +3594,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">119</td> + <td class="sequence">120</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8CF0</td> <td class="endpoints changedTrue">0,1</td> @@ -3581,7 +3610,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">120</td> + <td class="sequence">121</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8D00</td> <td class="endpoints changedTrue">0,1</td> @@ -3597,7 +3626,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">121</td> + <td class="sequence">122</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8D10</td> <td class="endpoints changedTrue">0,1</td> @@ -3613,7 +3642,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">122</td> + <td class="sequence">123</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8D20</td> <td class="endpoints changedTrue">0,1</td> @@ -3629,7 +3658,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">123</td> + <td class="sequence">124</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8D30</td> <td class="endpoints changedTrue">0,1</td> @@ -3645,7 +3674,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">124</td> + <td class="sequence">125</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8D40</td> <td class="endpoints changedTrue">0,1</td> @@ -3661,7 +3690,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">125</td> + <td class="sequence">126</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8D50</td> <td class="endpoints changedTrue">0,1</td> @@ -3677,7 +3706,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">126</td> + <td class="sequence">127</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8D60</td> <td class="endpoints changedTrue">0,1</td> @@ -3693,7 +3722,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">127</td> + <td class="sequence">128</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8D70</td> <td class="endpoints changedTrue">0,1</td> @@ -3709,7 +3738,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">128</td> + <td class="sequence">129</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8D80</td> <td class="endpoints changedTrue">0,1</td> @@ -3725,7 +3754,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">129</td> + <td class="sequence">130</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8D90</td> <td class="endpoints changedTrue">0,1</td> @@ -3741,7 +3770,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">130</td> + <td class="sequence">131</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8DA0</td> <td class="endpoints changedTrue">0,1</td> @@ -3757,7 +3786,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">131</td> + <td class="sequence">132</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8DB0</td> <td class="endpoints changedTrue">0,1</td> @@ -3773,7 +3802,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">132</td> + <td class="sequence">133</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8DC0</td> <td class="endpoints changedTrue">0,1</td> @@ -3789,7 +3818,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">133</td> + <td class="sequence">134</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8DD0</td> <td class="endpoints changedTrue">0,1</td> @@ -3805,7 +3834,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">134</td> + <td class="sequence">135</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8DE0</td> <td class="endpoints changedTrue">0,1</td> @@ -3821,7 +3850,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">135</td> + <td class="sequence">136</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8DF0</td> <td class="endpoints changedTrue">0,1</td> @@ -3837,7 +3866,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">136</td> + <td class="sequence">137</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8E00</td> <td class="endpoints changedTrue">0,1</td> @@ -3853,7 +3882,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">137</td> + <td class="sequence">138</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8E10</td> <td class="endpoints changedTrue">0,1</td> @@ -3869,7 +3898,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">138</td> + <td class="sequence">139</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8E20</td> <td class="endpoints changedTrue">0,1</td> @@ -3885,7 +3914,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">139</td> + <td class="sequence">140</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8E30</td> <td class="endpoints changedTrue">0,1</td> @@ -3901,7 +3930,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">140</td> + <td class="sequence">141</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8E40</td> <td class="endpoints changedTrue">0,1</td> @@ -3917,7 +3946,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">141</td> + <td class="sequence">142</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8E50</td> <td class="endpoints changedTrue">0,1</td> @@ -3933,7 +3962,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">142</td> + <td class="sequence">143</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8E60</td> <td class="endpoints changedTrue">0,1</td> @@ -3949,7 +3978,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">143</td> + <td class="sequence">144</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8E70</td> <td class="endpoints changedTrue">0,1</td> @@ -3965,7 +3994,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">144</td> + <td class="sequence">145</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8E80</td> <td class="endpoints changedTrue">0,1</td> @@ -3981,7 +4010,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">145</td> + <td class="sequence">146</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0x8E90</td> <td class="endpoints changedTrue">0,1</td> @@ -3997,7 +4026,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">146</td> + <td class="sequence">147</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0xA000</td> <td class="endpoints changedTrue">0</td> @@ -4013,7 +4042,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="3">147*</td> + <td class="sequence" rowspan="3">148*</td> <td class="state changedChanged" rowspan="3">Changed</td> <td class="address changedNone" rowspan="3">0xB800</td> <td class="endpoints changedNone" rowspan="3">0</td> @@ -4039,7 +4068,7 @@ th { </tr> <tr> - <td class="sequence" rowspan="3">147*</td> + <td class="sequence" rowspan="3">148*</td> <td class="state changedInto" rowspan="3">Into</td> <td class="address changedFalse" rowspan="3">0xB800</td> <td class="endpoints changedFalse" rowspan="3">0</td> @@ -4068,7 +4097,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="13">148*</td> + <td class="sequence" rowspan="13">149*</td> <td class="state changedChanged" rowspan="13">Changed</td> <td class="address changedNone" rowspan="13">0xB820</td> <td class="endpoints changedNone" rowspan="13">0</td> @@ -4174,7 +4203,7 @@ th { </tr> <tr> - <td class="sequence" rowspan="13">148*</td> + <td class="sequence" rowspan="13">149*</td> <td class="state changedInto" rowspan="13">Into</td> <td class="address changedFalse" rowspan="13">0xB820</td> <td class="endpoints changedFalse" rowspan="13">0</td> @@ -4283,7 +4312,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">149</td> + <td class="sequence">150</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0xB860</td> <td class="endpoints changedTrue">0</td> @@ -4299,7 +4328,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="4">150</td> + <td class="sequence" rowspan="4">151</td> <td class="state changedAdded" rowspan="4">Added</td> <td class="address changedTrue" rowspan="4">0xB870</td> <td class="endpoints changedTrue" rowspan="4">0</td> @@ -4336,7 +4365,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="4">151</td> + <td class="sequence" rowspan="4">152</td> <td class="state changedAdded" rowspan="4">Added</td> <td class="address changedTrue" rowspan="4">0xD000</td> <td class="endpoints changedTrue" rowspan="4">0,1</td> @@ -4373,7 +4402,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">152</td> + <td class="sequence">153</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0xD010</td> <td class="endpoints changedTrue">0,1</td> @@ -4389,7 +4418,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="10">153</td> + <td class="sequence" rowspan="10">154</td> <td class="state changedAdded" rowspan="10">Added</td> <td class="address changedTrue" rowspan="10">0xD020</td> <td class="endpoints changedTrue" rowspan="10">0,1</td> @@ -4474,7 +4503,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">154</td> + <td class="sequence">155</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0xD030</td> <td class="endpoints changedTrue">0,1</td> @@ -4490,7 +4519,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">155</td> + <td class="sequence" rowspan="5">156</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD040</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -4535,7 +4564,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">156</td> + <td class="sequence" rowspan="5">157</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD050</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -4580,7 +4609,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">157</td> + <td class="sequence" rowspan="5">158</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD060</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -4625,7 +4654,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">158</td> + <td class="sequence" rowspan="5">159</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD070</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -4670,7 +4699,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">159</td> + <td class="sequence" rowspan="5">160</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD080</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -4715,7 +4744,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="10">160</td> + <td class="sequence" rowspan="10">161</td> <td class="state changedAdded" rowspan="10">Added</td> <td class="address changedTrue" rowspan="10">0xD090</td> <td class="endpoints changedTrue" rowspan="10">0,1</td> @@ -4800,7 +4829,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">161</td> + <td class="sequence">162</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0xD0A0</td> <td class="endpoints changedTrue">0,1</td> @@ -4816,7 +4845,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">162</td> + <td class="sequence" rowspan="5">163</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD0B0</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -4861,7 +4890,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">163</td> + <td class="sequence" rowspan="5">164</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD0C0</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -4906,7 +4935,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">164</td> + <td class="sequence" rowspan="5">165</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD0D0</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -4951,7 +4980,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">165</td> + <td class="sequence" rowspan="5">166</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD0E0</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -4996,7 +5025,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">166</td> + <td class="sequence" rowspan="5">167</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD0F0</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -5041,7 +5070,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="10">167</td> + <td class="sequence" rowspan="10">168</td> <td class="state changedAdded" rowspan="10">Added</td> <td class="address changedTrue" rowspan="10">0xD100</td> <td class="endpoints changedTrue" rowspan="10">0,1</td> @@ -5126,7 +5155,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">168</td> + <td class="sequence">169</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0xD110</td> <td class="endpoints changedTrue">0,1</td> @@ -5142,7 +5171,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">169</td> + <td class="sequence" rowspan="5">170</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD120</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -5187,7 +5216,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">170</td> + <td class="sequence" rowspan="5">171</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD130</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -5232,7 +5261,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">171</td> + <td class="sequence" rowspan="5">172</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD140</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -5277,7 +5306,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">172</td> + <td class="sequence" rowspan="5">173</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD150</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -5322,7 +5351,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">173</td> + <td class="sequence" rowspan="5">174</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD160</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -5367,7 +5396,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="10">174</td> + <td class="sequence" rowspan="10">175</td> <td class="state changedAdded" rowspan="10">Added</td> <td class="address changedTrue" rowspan="10">0xD170</td> <td class="endpoints changedTrue" rowspan="10">0,1</td> @@ -5452,7 +5481,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">175</td> + <td class="sequence">176</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0xD180</td> <td class="endpoints changedTrue">0,1</td> @@ -5468,7 +5497,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">176</td> + <td class="sequence" rowspan="5">177</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD190</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -5513,7 +5542,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">177</td> + <td class="sequence" rowspan="5">178</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD1A0</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -5558,7 +5587,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">178</td> + <td class="sequence" rowspan="5">179</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD1B0</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -5603,7 +5632,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">179</td> + <td class="sequence" rowspan="5">180</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD1C0</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -5648,7 +5677,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">180</td> + <td class="sequence" rowspan="5">181</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD1D0</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -5693,7 +5722,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="4">181</td> + <td class="sequence" rowspan="4">182</td> <td class="state changedAdded" rowspan="4">Added</td> <td class="address changedTrue" rowspan="4">0xD1E0</td> <td class="endpoints changedTrue" rowspan="4">0,1</td> @@ -5730,7 +5759,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="4">182</td> + <td class="sequence" rowspan="4">183</td> <td class="state changedAdded" rowspan="4">Added</td> <td class="address changedTrue" rowspan="4">0xD1F0</td> <td class="endpoints changedTrue" rowspan="4">0,1</td> @@ -5767,7 +5796,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="4">183</td> + <td class="sequence" rowspan="4">184</td> <td class="state changedAdded" rowspan="4">Added</td> <td class="address changedTrue" rowspan="4">0xD200</td> <td class="endpoints changedTrue" rowspan="4">0,1</td> @@ -5804,7 +5833,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="4">184</td> + <td class="sequence" rowspan="4">185</td> <td class="state changedAdded" rowspan="4">Added</td> <td class="address changedTrue" rowspan="4">0xD210</td> <td class="endpoints changedTrue" rowspan="4">0,1</td> @@ -5841,7 +5870,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="10">185</td> + <td class="sequence" rowspan="10">186</td> <td class="state changedAdded" rowspan="10">Added</td> <td class="address changedTrue" rowspan="10">0xD220</td> <td class="endpoints changedTrue" rowspan="10">0,1</td> @@ -5926,7 +5955,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">186</td> + <td class="sequence">187</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0xD230</td> <td class="endpoints changedTrue">0,1</td> @@ -5942,7 +5971,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">187</td> + <td class="sequence" rowspan="5">188</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD240</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -5987,7 +6016,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">188</td> + <td class="sequence" rowspan="5">189</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD250</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -6032,7 +6061,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">189</td> + <td class="sequence" rowspan="5">190</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD260</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -6077,7 +6106,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">190</td> + <td class="sequence" rowspan="5">191</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD270</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -6122,7 +6151,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">191</td> + <td class="sequence" rowspan="5">192</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD280</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -6167,7 +6196,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="10">192</td> + <td class="sequence" rowspan="10">193</td> <td class="state changedAdded" rowspan="10">Added</td> <td class="address changedTrue" rowspan="10">0xD290</td> <td class="endpoints changedTrue" rowspan="10">0,1</td> @@ -6252,7 +6281,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">193</td> + <td class="sequence">194</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0xD2A0</td> <td class="endpoints changedTrue">0,1</td> @@ -6268,7 +6297,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">194</td> + <td class="sequence" rowspan="5">195</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD2B0</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -6313,7 +6342,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">195</td> + <td class="sequence" rowspan="5">196</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD2C0</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -6358,7 +6387,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">196</td> + <td class="sequence" rowspan="5">197</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD2D0</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -6403,7 +6432,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">197</td> + <td class="sequence" rowspan="5">198</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD2E0</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -6448,7 +6477,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">198</td> + <td class="sequence" rowspan="5">199</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD2F0</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -6493,7 +6522,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="10">199</td> + <td class="sequence" rowspan="10">200</td> <td class="state changedAdded" rowspan="10">Added</td> <td class="address changedTrue" rowspan="10">0xD300</td> <td class="endpoints changedTrue" rowspan="10">0,1</td> @@ -6578,7 +6607,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">200</td> + <td class="sequence">201</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0xD310</td> <td class="endpoints changedTrue">0,1</td> @@ -6594,7 +6623,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">201</td> + <td class="sequence" rowspan="5">202</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD320</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -6639,7 +6668,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">202</td> + <td class="sequence" rowspan="5">203</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD330</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -6684,7 +6713,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">203</td> + <td class="sequence" rowspan="5">204</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD340</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -6729,7 +6758,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">204</td> + <td class="sequence" rowspan="5">205</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD350</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -6774,7 +6803,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">205</td> + <td class="sequence" rowspan="5">206</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD360</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -6819,7 +6848,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="10">206</td> + <td class="sequence" rowspan="10">207</td> <td class="state changedAdded" rowspan="10">Added</td> <td class="address changedTrue" rowspan="10">0xD370</td> <td class="endpoints changedTrue" rowspan="10">0,1</td> @@ -6904,7 +6933,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">207</td> + <td class="sequence">208</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0xD380</td> <td class="endpoints changedTrue">0,1</td> @@ -6920,7 +6949,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">208</td> + <td class="sequence" rowspan="5">209</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD390</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -6965,7 +6994,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">209</td> + <td class="sequence" rowspan="5">210</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD3A0</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -7010,7 +7039,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">210</td> + <td class="sequence" rowspan="5">211</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD3B0</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -7055,7 +7084,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">211</td> + <td class="sequence" rowspan="5">212</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD3C0</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -7100,7 +7129,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">212</td> + <td class="sequence" rowspan="5">213</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD3D0</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -7145,7 +7174,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="4">213</td> + <td class="sequence" rowspan="4">214</td> <td class="state changedAdded" rowspan="4">Added</td> <td class="address changedTrue" rowspan="4">0xD3E0</td> <td class="endpoints changedTrue" rowspan="4">0,1</td> @@ -7182,7 +7211,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="4">214</td> + <td class="sequence" rowspan="4">215</td> <td class="state changedAdded" rowspan="4">Added</td> <td class="address changedTrue" rowspan="4">0xD3F0</td> <td class="endpoints changedTrue" rowspan="4">0,1</td> @@ -7219,7 +7248,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="4">215</td> + <td class="sequence" rowspan="4">216</td> <td class="state changedAdded" rowspan="4">Added</td> <td class="address changedTrue" rowspan="4">0xD400</td> <td class="endpoints changedTrue" rowspan="4">0,1</td> @@ -7256,7 +7285,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="4">216</td> + <td class="sequence" rowspan="4">217</td> <td class="state changedAdded" rowspan="4">Added</td> <td class="address changedTrue" rowspan="4">0xD410</td> <td class="endpoints changedTrue" rowspan="4">0,1</td> @@ -7293,7 +7322,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="10">217</td> + <td class="sequence" rowspan="10">218</td> <td class="state changedAdded" rowspan="10">Added</td> <td class="address changedTrue" rowspan="10">0xD420</td> <td class="endpoints changedTrue" rowspan="10">0,1</td> @@ -7378,7 +7407,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">218</td> + <td class="sequence">219</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0xD430</td> <td class="endpoints changedTrue">0,1</td> @@ -7394,7 +7423,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">219</td> + <td class="sequence" rowspan="5">220</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD440</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -7439,7 +7468,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">220</td> + <td class="sequence" rowspan="5">221</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD450</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -7484,7 +7513,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">221</td> + <td class="sequence" rowspan="5">222</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD460</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -7529,7 +7558,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">222</td> + <td class="sequence" rowspan="5">223</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD470</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -7574,7 +7603,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">223</td> + <td class="sequence" rowspan="5">224</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD480</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -7619,7 +7648,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="10">224</td> + <td class="sequence" rowspan="10">225</td> <td class="state changedAdded" rowspan="10">Added</td> <td class="address changedTrue" rowspan="10">0xD490</td> <td class="endpoints changedTrue" rowspan="10">0,1</td> @@ -7704,7 +7733,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">225</td> + <td class="sequence">226</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0xD4A0</td> <td class="endpoints changedTrue">0,1</td> @@ -7720,7 +7749,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">226</td> + <td class="sequence" rowspan="5">227</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD4B0</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -7765,7 +7794,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">227</td> + <td class="sequence" rowspan="5">228</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD4C0</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -7810,7 +7839,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">228</td> + <td class="sequence" rowspan="5">229</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD4D0</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -7855,7 +7884,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">229</td> + <td class="sequence" rowspan="5">230</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD4E0</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -7900,7 +7929,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">230</td> + <td class="sequence" rowspan="5">231</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD4F0</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -7945,7 +7974,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="10">231</td> + <td class="sequence" rowspan="10">232</td> <td class="state changedAdded" rowspan="10">Added</td> <td class="address changedTrue" rowspan="10">0xD500</td> <td class="endpoints changedTrue" rowspan="10">0,1</td> @@ -8030,7 +8059,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">232</td> + <td class="sequence">233</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0xD510</td> <td class="endpoints changedTrue">0,1</td> @@ -8046,7 +8075,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">233</td> + <td class="sequence" rowspan="5">234</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD520</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -8091,7 +8120,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">234</td> + <td class="sequence" rowspan="5">235</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD530</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -8136,7 +8165,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">235</td> + <td class="sequence" rowspan="5">236</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD540</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -8181,7 +8210,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">236</td> + <td class="sequence" rowspan="5">237</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD550</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -8226,7 +8255,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">237</td> + <td class="sequence" rowspan="5">238</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD560</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -8271,7 +8300,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="10">238</td> + <td class="sequence" rowspan="10">239</td> <td class="state changedAdded" rowspan="10">Added</td> <td class="address changedTrue" rowspan="10">0xD570</td> <td class="endpoints changedTrue" rowspan="10">0,1</td> @@ -8356,7 +8385,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">239</td> + <td class="sequence">240</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0xD580</td> <td class="endpoints changedTrue">0,1</td> @@ -8372,7 +8401,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">240</td> + <td class="sequence" rowspan="5">241</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD590</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -8417,7 +8446,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">241</td> + <td class="sequence" rowspan="5">242</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD5A0</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -8462,7 +8491,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">242</td> + <td class="sequence" rowspan="5">243</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD5B0</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -8507,7 +8536,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">243</td> + <td class="sequence" rowspan="5">244</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD5C0</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -8552,7 +8581,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">244</td> + <td class="sequence" rowspan="5">245</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD5D0</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -8597,7 +8626,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="4">245</td> + <td class="sequence" rowspan="4">246</td> <td class="state changedAdded" rowspan="4">Added</td> <td class="address changedTrue" rowspan="4">0xD5E0</td> <td class="endpoints changedTrue" rowspan="4">0,1</td> @@ -8634,7 +8663,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="4">246</td> + <td class="sequence" rowspan="4">247</td> <td class="state changedAdded" rowspan="4">Added</td> <td class="address changedTrue" rowspan="4">0xD5F0</td> <td class="endpoints changedTrue" rowspan="4">0,1</td> @@ -8671,7 +8700,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="4">247</td> + <td class="sequence" rowspan="4">248</td> <td class="state changedAdded" rowspan="4">Added</td> <td class="address changedTrue" rowspan="4">0xD600</td> <td class="endpoints changedTrue" rowspan="4">0,1</td> @@ -8708,7 +8737,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="4">248</td> + <td class="sequence" rowspan="4">249</td> <td class="state changedAdded" rowspan="4">Added</td> <td class="address changedTrue" rowspan="4">0xD610</td> <td class="endpoints changedTrue" rowspan="4">0,1</td> @@ -8745,7 +8774,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="10">249</td> + <td class="sequence" rowspan="10">250</td> <td class="state changedAdded" rowspan="10">Added</td> <td class="address changedTrue" rowspan="10">0xD620</td> <td class="endpoints changedTrue" rowspan="10">0,1</td> @@ -8830,7 +8859,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">250</td> + <td class="sequence">251</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0xD630</td> <td class="endpoints changedTrue">0,1</td> @@ -8846,7 +8875,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">251</td> + <td class="sequence" rowspan="5">252</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD640</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -8891,7 +8920,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">252</td> + <td class="sequence" rowspan="5">253</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD650</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -8936,7 +8965,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">253</td> + <td class="sequence" rowspan="5">254</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD660</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -8981,7 +9010,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">254</td> + <td class="sequence" rowspan="5">255</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD670</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -9026,7 +9055,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">255</td> + <td class="sequence" rowspan="5">256</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD680</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -9071,7 +9100,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="10">256</td> + <td class="sequence" rowspan="10">257</td> <td class="state changedAdded" rowspan="10">Added</td> <td class="address changedTrue" rowspan="10">0xD690</td> <td class="endpoints changedTrue" rowspan="10">0,1</td> @@ -9156,7 +9185,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">257</td> + <td class="sequence">258</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0xD6A0</td> <td class="endpoints changedTrue">0,1</td> @@ -9172,7 +9201,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">258</td> + <td class="sequence" rowspan="5">259</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD6B0</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -9217,7 +9246,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">259</td> + <td class="sequence" rowspan="5">260</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD6C0</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -9262,7 +9291,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">260</td> + <td class="sequence" rowspan="5">261</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD6D0</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -9307,7 +9336,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">261</td> + <td class="sequence" rowspan="5">262</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD6E0</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -9352,7 +9381,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">262</td> + <td class="sequence" rowspan="5">263</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD6F0</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -9397,7 +9426,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="10">263</td> + <td class="sequence" rowspan="10">264</td> <td class="state changedAdded" rowspan="10">Added</td> <td class="address changedTrue" rowspan="10">0xD700</td> <td class="endpoints changedTrue" rowspan="10">0,1</td> @@ -9482,7 +9511,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">264</td> + <td class="sequence">265</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0xD710</td> <td class="endpoints changedTrue">0,1</td> @@ -9498,7 +9527,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">265</td> + <td class="sequence" rowspan="5">266</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD720</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -9543,7 +9572,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">266</td> + <td class="sequence" rowspan="5">267</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD730</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -9588,7 +9617,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">267</td> + <td class="sequence" rowspan="5">268</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD740</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -9633,7 +9662,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">268</td> + <td class="sequence" rowspan="5">269</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD750</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -9678,7 +9707,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">269</td> + <td class="sequence" rowspan="5">270</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD760</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -9723,7 +9752,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="10">270</td> + <td class="sequence" rowspan="10">271</td> <td class="state changedAdded" rowspan="10">Added</td> <td class="address changedTrue" rowspan="10">0xD770</td> <td class="endpoints changedTrue" rowspan="10">0,1</td> @@ -9808,7 +9837,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">271</td> + <td class="sequence">272</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0xD780</td> <td class="endpoints changedTrue">0,1</td> @@ -9824,7 +9853,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">272</td> + <td class="sequence" rowspan="5">273</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD790</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -9869,7 +9898,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">273</td> + <td class="sequence" rowspan="5">274</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD7A0</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -9914,7 +9943,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">274</td> + <td class="sequence" rowspan="5">275</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD7B0</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -9959,7 +9988,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">275</td> + <td class="sequence" rowspan="5">276</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD7C0</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -10004,7 +10033,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="5">276</td> + <td class="sequence" rowspan="5">277</td> <td class="state changedAdded" rowspan="5">Added</td> <td class="address changedTrue" rowspan="5">0xD7D0</td> <td class="endpoints changedTrue" rowspan="5">0,1</td> @@ -10049,7 +10078,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="4">277</td> + <td class="sequence" rowspan="4">278</td> <td class="state changedAdded" rowspan="4">Added</td> <td class="address changedTrue" rowspan="4">0xD7E0</td> <td class="endpoints changedTrue" rowspan="4">0,1</td> @@ -10086,7 +10115,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="4">278</td> + <td class="sequence" rowspan="4">279</td> <td class="state changedAdded" rowspan="4">Added</td> <td class="address changedTrue" rowspan="4">0xD7F0</td> <td class="endpoints changedTrue" rowspan="4">0,1</td> @@ -10123,7 +10152,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="4">279</td> + <td class="sequence" rowspan="4">280</td> <td class="state changedAdded" rowspan="4">Added</td> <td class="address changedTrue" rowspan="4">0xD800</td> <td class="endpoints changedTrue" rowspan="4">0,1</td> @@ -10160,7 +10189,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="4">280</td> + <td class="sequence" rowspan="4">281</td> <td class="state changedAdded" rowspan="4">Added</td> <td class="address changedTrue" rowspan="4">0xD810</td> <td class="endpoints changedTrue" rowspan="4">0,1</td> @@ -10197,7 +10226,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">281</td> + <td class="sequence">282</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0xD820</td> <td class="endpoints changedTrue">0,1</td> @@ -10213,7 +10242,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">282</td> + <td class="sequence">283</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0xD830</td> <td class="endpoints changedTrue">0,1</td> @@ -10229,7 +10258,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">283</td> + <td class="sequence">284</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0xD840</td> <td class="endpoints changedTrue">0,1</td> @@ -10245,7 +10274,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="7">284</td> + <td class="sequence" rowspan="7">285</td> <td class="state changedAdded" rowspan="7">Added</td> <td class="address changedTrue" rowspan="7">0xF000</td> <td class="endpoints changedTrue" rowspan="7">0</td> @@ -10306,7 +10335,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence" rowspan="4">285</td> + <td class="sequence" rowspan="4">286</td> <td class="state changedAdded" rowspan="4">Added</td> <td class="address changedTrue" rowspan="4">0xF010</td> <td class="endpoints changedTrue" rowspan="4">0</td> @@ -10343,7 +10372,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">286</td> + <td class="sequence">287</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0xF020</td> <td class="endpoints changedTrue">0</td> @@ -10359,7 +10388,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">287</td> + <td class="sequence">288</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0xF030</td> <td class="endpoints changedTrue">0</td> @@ -10375,7 +10404,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">288</td> + <td class="sequence">289</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0xF040</td> <td class="endpoints changedTrue">0</td> @@ -10391,7 +10420,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">289</td> + <td class="sequence">290</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0xF050</td> <td class="endpoints changedTrue">0</td> @@ -10407,7 +10436,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">290</td> + <td class="sequence">291</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0xF060</td> <td class="endpoints changedTrue">0</td> @@ -10423,7 +10452,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">291</td> + <td class="sequence">292</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0xF070</td> <td class="endpoints changedTrue">0</td> @@ -10439,7 +10468,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">292</td> + <td class="sequence">293</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0xF080</td> <td class="endpoints changedTrue">0</td> @@ -10455,7 +10484,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">293</td> + <td class="sequence">294</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0xF090</td> <td class="endpoints changedTrue">0</td> @@ -10471,7 +10500,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">294</td> + <td class="sequence">295</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0xF0A0</td> <td class="endpoints changedTrue">0</td> @@ -10487,7 +10516,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">295</td> + <td class="sequence">296</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0xF0B0</td> <td class="endpoints changedTrue">0</td> @@ -10503,7 +10532,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">296</td> + <td class="sequence">297</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0xF0C0</td> <td class="endpoints changedTrue">0</td> @@ -10519,7 +10548,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">297</td> + <td class="sequence">298</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0xF0D0</td> <td class="endpoints changedTrue">0</td> @@ -10535,7 +10564,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">298</td> + <td class="sequence">299</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0xF0E0</td> <td class="endpoints changedTrue">0</td> @@ -10551,7 +10580,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">299</td> + <td class="sequence">300</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0xF0F0</td> <td class="endpoints changedTrue">0</td> @@ -10567,7 +10596,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">300</td> + <td class="sequence">301</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0xF100</td> <td class="endpoints changedTrue">0</td> @@ -10583,7 +10612,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">301</td> + <td class="sequence">302</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0xF110</td> <td class="endpoints changedTrue">0</td> @@ -10599,7 +10628,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">302</td> + <td class="sequence">303</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0xF120</td> <td class="endpoints changedTrue">0</td> @@ -10615,7 +10644,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">303</td> + <td class="sequence">304</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0xF130</td> <td class="endpoints changedTrue">0</td> @@ -10631,7 +10660,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">304</td> + <td class="sequence">305</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0xF800</td> <td class="endpoints changedTrue">0</td> @@ -10647,7 +10676,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">305</td> + <td class="sequence">306</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0xF810</td> <td class="endpoints changedTrue">0</td> @@ -10663,7 +10692,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">306</td> + <td class="sequence">307</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0xF820</td> <td class="endpoints changedTrue">0</td> @@ -10679,7 +10708,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">307</td> + <td class="sequence">308</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0xF830</td> <td class="endpoints changedTrue">0</td> @@ -10695,7 +10724,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">308</td> + <td class="sequence">309</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0xF840</td> <td class="endpoints changedTrue">0</td> @@ -10711,7 +10740,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">309</td> + <td class="sequence">310</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0xF850</td> <td class="endpoints changedTrue">0</td> @@ -10727,7 +10756,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">310</td> + <td class="sequence">311</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0xF860</td> <td class="endpoints changedTrue">0</td> @@ -10743,7 +10772,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">311</td> + <td class="sequence">312</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0xF870</td> <td class="endpoints changedTrue">0</td> @@ -10759,7 +10788,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">312</td> + <td class="sequence">313</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0xF880</td> <td class="endpoints changedTrue">0</td> @@ -10775,7 +10804,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">313</td> + <td class="sequence">314</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0xF890</td> <td class="endpoints changedTrue">0</td> @@ -10791,7 +10820,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">314</td> + <td class="sequence">315</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0xF8A0</td> <td class="endpoints changedTrue">0</td> @@ -10807,7 +10836,7 @@ th { <td colspan="10" class="group"></td> </tr> <tr> - <td class="sequence">315</td> + <td class="sequence">316</td> <td class="state changedAdded">Added</td> <td class="address changedTrue">0xF8B0</td> <td class="endpoints changedTrue">0</td> diff --git a/sources/templates/registers.pdf b/sources/templates/registers.pdf index d205f976e326197bf6f73ae7494be2338d256ade..1e960dacef093cab87e4e46228acb7bd822d8047 100644 Binary files a/sources/templates/registers.pdf and b/sources/templates/registers.pdf differ diff --git a/sources/templates/wupper.vhd b/sources/templates/wupper.vhd index 3be18d30219dd88a696a833a424cd35001084b59..d77f7d4aede94920b98de7d3613ac568295c94af 100644 --- a/sources/templates/wupper.vhd +++ b/sources/templates/wupper.vhd @@ -1,3 +1,49 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Andrea Borga +--! Israel Grayzman +--! Enrico Gamberini +--! RHabraken +--! Nayib Boukadida +--! William Wulff +--! Thei Wijnen +--! Frans Schreuder +--! Alessandro Thea +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. +-- *************************************************************************** +-- *************************************************************************** +-- *************************************************************************** +-- *************************************************************************** +-- *************************************************************************** +-- DO NOT EDIT THIS FILE +-- +-- This file was generated from template '../../sources/templates/wupper.vhd.template' +-- and register map ../../sources/templates/registers-5.0.yaml, version 5.0 +-- by the script 'wuppercodegen', version: 0.8.4, +-- using the following commandline: +-- +-- ../../WupperCodeGen/wuppercodegen/cli.py ../../sources/templates/registers-5.0.yaml ../../sources/templates/wupper.vhd.template ../../sources/templates/wupper.vhd +-- +-- Please do NOT edit this file, but edit the source file at '../../sources/templates/wupper.vhd.template' +-- +-- *************************************************************************** +-- *************************************************************************** +-- *************************************************************************** +-- *************************************************************************** +-- *************************************************************************** + --!------------------------------------------------------------------------------ --! @@ -31,18 +77,6 @@ --! ------------------------------------------------------------------------------ --! Virtex7 PCIe Gen3 DMA Core --! ---! \copyright GNU LGPL License ---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br> ---! This library is free software; you can redistribute it and/or ---! modify it under the terms of the GNU Lesser General Public ---! License as published by the Free Software Foundation; either ---! version 3.0 of the License, or (at your option) any later version. ---! This library is distributed in the hope that it will be useful, ---! but WITHOUT ANY WARRANTY; without even the implied warranty of ---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU ---! Lesser General Public License for more details.<br> ---! You should have received a copy of the GNU Lesser General Public ---! License along with this library. --! -- --! @brief ieee diff --git a/sources/templates/wupper.vhd.template b/sources/templates/wupper.vhd.template index 5f67e2db8c978c2e7de1e3bed34efcda809d6546..942891fc15dd4218f61f975f13e9c8379f5e1bac 100644 --- a/sources/templates/wupper.vhd.template +++ b/sources/templates/wupper.vhd.template @@ -1,3 +1,28 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Andrea Borga +--! Israel Grayzman +--! Enrico Gamberini +--! RHabraken +--! Nayib Boukadida +--! William Wulff +--! Thei Wijnen +--! Frans Schreuder +--! Alessandro Thea +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. +{{ tree.warning|vhdl_comment }} --!------------------------------------------------------------------------------ --! @@ -31,18 +56,6 @@ --! ------------------------------------------------------------------------------ --! Virtex7 PCIe Gen3 DMA Core --! ---! \copyright GNU LGPL License ---! Copyright (c) Nikhef, Amsterdam, All rights reserved. <br> ---! This library is free software; you can redistribute it and/or ---! modify it under the terms of the GNU Lesser General Public ---! License as published by the Free Software Foundation; either ---! version 3.0 of the License, or (at your option) any later version. ---! This library is distributed in the hope that it will be useful, ---! but WITHOUT ANY WARRANTY; without even the implied warranty of ---! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU ---! Lesser General Public License for more details.<br> ---! You should have received a copy of the GNU Lesser General Public ---! License along with this library. --! -- --! @brief ieee diff --git a/sources/ttc/ttc_busy/BusyVirtualElink.vhd b/sources/ttc/ttc_busy/BusyVirtualElink.vhd index 2c8c392e3ddd4bbaf5e5c5738c3c8ef762e1d12b..f38b5f6427691da7db89f59320e131e34e16f0b3 100644 --- a/sources/ttc/ttc_busy/BusyVirtualElink.vhd +++ b/sources/ttc/ttc_busy/BusyVirtualElink.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library ieee; use ieee.std_logic_1164.all; diff --git a/sources/ttc/ttc_busy/TTCToHostVirtualElink.vhd b/sources/ttc/ttc_busy/TTCToHostVirtualElink.vhd index 28a5edf2e5d585a1f5f793bc52bfc5833f6a25b3..30919cf561bcd1f6993cce00b9a652d53b4966a9 100644 --- a/sources/ttc/ttc_busy/TTCToHostVirtualElink.vhd +++ b/sources/ttc/ttc_busy/TTCToHostVirtualElink.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library ieee; use ieee.std_logic_1164.all; diff --git a/sources/ttc/ttc_busy/ttc_busy_limit_timer.vhd b/sources/ttc/ttc_busy/ttc_busy_limit_timer.vhd index 1f590a1cb9c302374a6f08e42b410f96354af58c..7aecc21cfc6bbf06526ab0fd21dc024e218aee67 100644 --- a/sources/ttc/ttc_busy/ttc_busy_limit_timer.vhd +++ b/sources/ttc/ttc_busy/ttc_busy_limit_timer.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Soo Ryu +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ------------------------------------------------------------------------------- -- Argonne National Laboratory ------------------------------------------------------------------------------- diff --git a/sources/ttc/ttc_busy/ttc_busy_limiter.vhd b/sources/ttc/ttc_busy/ttc_busy_limiter.vhd index 1dba06a351269d0de98abac5299ce08fd24123cf..24504bd152c0a026092618b12b508b9dbeb6414e 100644 --- a/sources/ttc/ttc_busy/ttc_busy_limiter.vhd +++ b/sources/ttc/ttc_busy/ttc_busy_limiter.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Soo Ryu +--! Frans Schreuder +--! Alexander Paramonov +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ------------------------------------------------------------------------------- -- Argonne National Laboratory ------------------------------------------------------------------------------- diff --git a/sources/ttc/ttc_busy/ttc_busy_or.vhd b/sources/ttc/ttc_busy/ttc_busy_or.vhd index 5d0ecce3e85607ca442aa67fefe77b59f4cf4e05..786ebfb6b6bc169fca9737a8b91f530c3591db9f 100644 --- a/sources/ttc/ttc_busy/ttc_busy_or.vhd +++ b/sources/ttc/ttc_busy/ttc_busy_or.vhd @@ -1,3 +1,23 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Soo Ryu +--! Alexander Paramonov +--! Frans Schreuder +--! Thei Wijnen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ------------------------------------------------------------------------------- -- Argonne National Laboratory ------------------------------------------------------------------------------- diff --git a/sources/ttc/ttc_busy/ttc_busy_package.vhd b/sources/ttc/ttc_busy/ttc_busy_package.vhd index 381c4c9508bb444d3990d88e764d4a30ef1cdcea..32e3697d40cdb8664caaf5e1fa643f804f6c84a1 100644 --- a/sources/ttc/ttc_busy/ttc_busy_package.vhd +++ b/sources/ttc/ttc_busy/ttc_busy_package.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Soo Ryu +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -- Author: John Anderson ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- diff --git a/sources/ttc/ttc_decoder/TTC_hamming_decoder_alme.vhd b/sources/ttc/ttc_decoder/TTC_hamming_decoder_alme.vhd index a964746994ca3e58283560b03eefabfbb0e0b639..76c854d42915dfb90148702845178cbd20d901aa 100644 --- a/sources/ttc/ttc_decoder/TTC_hamming_decoder_alme.vhd +++ b/sources/ttc/ttc_decoder/TTC_hamming_decoder_alme.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ------------------------------------------------------------------------------- -- Title : Serial B Communication Module -- Project : RCU Trigger Receiver diff --git a/sources/ttc/ttc_decoder/cdr2a_b_clk.vhd b/sources/ttc/ttc_decoder/cdr2a_b_clk.vhd index 92970787ad40124c1f3ade261a73fe5f3c781018..f36a4308ed4a0eaec8e48d137bd69ce93dc0de9c 100644 --- a/sources/ttc/ttc_decoder/cdr2a_b_clk.vhd +++ b/sources/ttc/ttc_decoder/cdr2a_b_clk.vhd @@ -1,3 +1,24 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Soo Ryu +--! Mesfin Gebyehu +--! Alexander Paramonov +--! Thei Wijnen +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --=================================================================================================-- --==================================== Module Information =========================================-- --=================================================================================================-- diff --git a/sources/ttc/ttc_decoder/pll_160MHz.vhd b/sources/ttc/ttc_decoder/pll_160MHz.vhd index 1949d1155fe45d3092d7b49bf5eae70bbd561952..f419621b35967718fe3812f63b54698e5b9521dc 100644 --- a/sources/ttc/ttc_decoder/pll_160MHz.vhd +++ b/sources/ttc/ttc_decoder/pll_160MHz.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + -- file: dcm_replacement.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. diff --git a/sources/ttc/ttc_decoder/ttc_decoder_core.vhd b/sources/ttc/ttc_decoder/ttc_decoder_core.vhd index 53d7855e4fb3e4ff979c07e8487994faa3d93cc5..4047aceae68da45a8c93504b730b332605fd8a89 100644 --- a/sources/ttc/ttc_decoder/ttc_decoder_core.vhd +++ b/sources/ttc/ttc_decoder/ttc_decoder_core.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Soo Ryu +--! Frans Schreuder +--! Alexander Paramonov +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + --=================================================================================================-- --==================================== Module Information =========================================-- --=================================================================================================-- diff --git a/sources/ttc/ttc_decoder/ttc_fmc_wrapper_xilinx.vhd b/sources/ttc/ttc_decoder/ttc_fmc_wrapper_xilinx.vhd index 674c0d232a4c95388d2cf3ddf9be6aa2eac5d3ef..e9632a52c2776a1708bd23bae262267db51cfadf 100644 --- a/sources/ttc/ttc_decoder/ttc_fmc_wrapper_xilinx.vhd +++ b/sources/ttc/ttc_decoder/ttc_fmc_wrapper_xilinx.vhd @@ -1,3 +1,29 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Soo Ryu +--! Israel Grayzman +--! Kai Chen +--! Ricardo Luz +--! Thei Wijnen +--! Alessandra Camplani +--! Ohad Shaked +--! Alexander Paramonov +--! Ali Skaf +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + library ieee; use ieee.std_logic_1164.all; --use ieee.std_logic_arith.all; @@ -209,6 +235,10 @@ signal TTYPE_cntr_reset_0, TTYPE_cntr_reset_1 : std_logic; signal BCR_MISMATCH_cntr_reset_0, BCR_MISMATCH_cntr_reset_1 : std_logic; signal BCR_period : std_logic_vector(11 downto 0):= x"000"; +signal TTC_BCR_COUNTER : std_logic_vector(31 downto 0) := x"00000000"; --counts BCR pulses +signal TTC_BCR_COUNTER_reset : std_logic := '0'; --retimed reset from the register. rising edge is used to reset the counter +signal TTC_BCR_COUNTER_reset_d : std_logic := '0'; + --FIFO for Trigger type synchronization component TTCtoHostData_fwft is PORT ( @@ -291,7 +321,7 @@ begin register_map_ttc_monitor.TTC_ECR_MONITOR.VALUE <= ECR_counter; --32b Counts the number of ECRs received from the TTC system register_map_ttc_monitor.TTC_TTYPE_MONITOR.VALUE <= TTYPE_counter; --32b Counts the number of TType received from the TTC system register_map_ttc_monitor.TTC_BCR_PERIODICITY_MONITOR.VALUE <= BCR_MISMATCH_counter; --32b Counts the number of times the BCR period does not match 3564 - + register_map_ttc_monitor.TTC_BCR_COUNTER.VALUE <= TTC_BCR_COUNTER; --32b counter for BCRs from TTC ToHostFFMon: process (clk40) begin @@ -382,6 +412,27 @@ begin + + --BCR MONITOR + -- Counts the number of BCR pulses from TTC + BCR_CNT: process (local_ttc_clk) + begin + if (rising_edge(local_ttc_clk)) then --40 MHz clock + TTC_BCR_COUNTER_reset <= to_sl(register_map_control.TTC_BCR_COUNTER.CLEAR); + TTC_BCR_COUNTER_reset_d <= TTC_BCR_COUNTER_reset; + + if (TTC_BCR_COUNTER_reset_d = '0' and TTC_BCR_COUNTER_reset = '1') then -- the counter is reset on the rising edge of the register + TTC_BCR_COUNTER <= (others=>'0'); + elsif (brc_b_40 = '1') then --check if BCR is arriving on time + TTC_BCR_COUNTER <= TTC_BCR_COUNTER + 1; --the resigter will overflow. + end if; + + end if; --clock + end process; + + + + TTC_EMU_SEL <= '0' when register_map_control.TTC_EMU.SEL = "0" else '1'; --local TTC clock diff --git a/sources/ttc/ttc_emulator.vhd b/sources/ttc/ttc_emulator.vhd index 3671b5e70ec52e8f7ec1c2bcea547a74523dc722..2d51a6c73843e19c00edea6cded030cd0bdfebff 100644 --- a/sources/ttc/ttc_emulator.vhd +++ b/sources/ttc/ttc_emulator.vhd @@ -1,3 +1,22 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Andrea Borga +--! Frans Schreuder +--! Thei Wijnen +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: -- Engineer: diff --git a/sources/ttc/ttc_fmc_top.vhd b/sources/ttc/ttc_fmc_top.vhd index a234f3e1177835dcad125943ecd171b8e683d9ce..3ecc738cdefe134e7cf99c28d6854612015eb331 100644 --- a/sources/ttc/ttc_fmc_top.vhd +++ b/sources/ttc/ttc_fmc_top.vhd @@ -1,3 +1,20 @@ +--! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/). +--! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration. +--! Authors: +--! Frans Schreuder +--! +--! Licensed under the Apache License, Version 2.0 (the "License"); +--! you may not use this file except in compliance with the License. +--! You may obtain a copy of the License at +--! +--! http://www.apache.org/licenses/LICENSE-2.0 +--! +--! Unless required by applicable law or agreed to in writing, software +--! distributed under the License is distributed on an "AS IS" BASIS, +--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +--! See the License for the specific language governing permissions and +--! limitations under the License. + ---------------------------------------------------------------------------------- -- Company: -- Engineer: