diff --git a/scripts/AddLicenseHeaders/AddLicenseHeaders.sh b/scripts/AddLicenseHeaders/AddLicenseHeaders.sh
index f427bf56b3ba95b6cb3a3d7cd5622e79804340a9..224fc63adfc39b651288d961c3c2b0043690e941 100755
--- a/scripts/AddLicenseHeaders/AddLicenseHeaders.sh
+++ b/scripts/AddLicenseHeaders/AddLicenseHeaders.sh
@@ -1,4 +1,21 @@
 #!/bin/bash
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 
 
 
diff --git a/scripts/AddLicenseHeaders/AddLicenseHeaders.sh.sh b/scripts/AddLicenseHeaders/AddLicenseHeaders.sh.sh
index 323101bddd1a3da8a86c7ffddd7ab501ae824d44..a5dd70d37efc291de5c8514156e91ac048ae55af 100755
--- a/scripts/AddLicenseHeaders/AddLicenseHeaders.sh.sh
+++ b/scripts/AddLicenseHeaders/AddLicenseHeaders.sh.sh
@@ -1,4 +1,21 @@
 #!/bin/bash
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 
 
 
diff --git a/scripts/AddLicenseHeaders/AddLicenseHeaders.v.sh b/scripts/AddLicenseHeaders/AddLicenseHeaders.v.sh
index 61338bccc8908acc820e117cfd3bc880f395ad19..4defae6207717b1f854490c6a43750efb8b0fd5a 100755
--- a/scripts/AddLicenseHeaders/AddLicenseHeaders.v.sh
+++ b/scripts/AddLicenseHeaders/AddLicenseHeaders.v.sh
@@ -1,4 +1,21 @@
 #!/bin/bash
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 
 
 
diff --git a/scripts/FELIX_fullmode_top/FLX709_FULLMODE_import_vivado.tcl b/scripts/FELIX_fullmode_top/FLX709_FULLMODE_import_vivado.tcl
index 3b7a5af52eca92227de9b39802fd585143f4c439..957cd4e8ef0cfe2b17f074e8c1a73f7117e585d3 100644
--- a/scripts/FELIX_fullmode_top/FLX709_FULLMODE_import_vivado.tcl
+++ b/scripts/FELIX_fullmode_top/FLX709_FULLMODE_import_vivado.tcl
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #
 #  File import script for the FELIX hdl Vivado project
 #  Board: VC709
diff --git a/scripts/FELIX_fullmode_top/FLX711_FULLMODE_import_vivado.tcl b/scripts/FELIX_fullmode_top/FLX711_FULLMODE_import_vivado.tcl
index 4f479d8e4fdac17eb5b1473b7223af68b1df0402..10174f03748025bc53e5c462c107889806624311 100644
--- a/scripts/FELIX_fullmode_top/FLX711_FULLMODE_import_vivado.tcl
+++ b/scripts/FELIX_fullmode_top/FLX711_FULLMODE_import_vivado.tcl
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #
 #  File import script for the FELIX hdl Vivado project
 #  Board: BNL711
diff --git a/scripts/FELIX_fullmode_top/FLX712_FULLMODE_import_vivado.tcl b/scripts/FELIX_fullmode_top/FLX712_FULLMODE_import_vivado.tcl
index 2daab77bcc547c98bf70e6a1e8668a0343d5cabc..f4c833f030c5e03cc832c80491ccbe2ad795de2b 100644
--- a/scripts/FELIX_fullmode_top/FLX712_FULLMODE_import_vivado.tcl
+++ b/scripts/FELIX_fullmode_top/FLX712_FULLMODE_import_vivado.tcl
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #
 #  File import script for the FELIX hdl Vivado project
 #  Board: BNL712
diff --git a/scripts/FELIX_fullmode_top/ci-full-vc709-si5324.sh b/scripts/FELIX_fullmode_top/ci-full-vc709-si5324.sh
index 70f4580d9b6de3d93e188935dd92162aa56c8de9..afdc63fc690a02d342b85ded89923c1e73fe2c7c 100755
--- a/scripts/FELIX_fullmode_top/ci-full-vc709-si5324.sh
+++ b/scripts/FELIX_fullmode_top/ci-full-vc709-si5324.sh
@@ -1,4 +1,21 @@
 #!/bin/bash
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 
 source ../FELIX_top/ci-common.sh
 
diff --git a/scripts/FELIX_fullmode_top/ci-full-vc709.sh b/scripts/FELIX_fullmode_top/ci-full-vc709.sh
index 84a6f77f27edb0cd987ca05cef3bfbb727e0e42c..e411c122ae6fddbf3e5bcf2c5b99e6df7458a1c3 100755
--- a/scripts/FELIX_fullmode_top/ci-full-vc709.sh
+++ b/scripts/FELIX_fullmode_top/ci-full-vc709.sh
@@ -1,4 +1,21 @@
 #!/bin/bash
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 
 source ../FELIX_top/ci-common.sh
 
diff --git a/scripts/FELIX_fullmode_top/ci.sh b/scripts/FELIX_fullmode_top/ci.sh
index a8493545f5b79654c7716573d49e2cdf7ca1cee7..1efe3d187f33852ee8c085a7723db594b5659923 100755
--- a/scripts/FELIX_fullmode_top/ci.sh
+++ b/scripts/FELIX_fullmode_top/ci.sh
@@ -1,4 +1,21 @@
 #!/bin/bash
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 
 source ../FELIX_top/ci-common.sh
 
diff --git a/scripts/FELIX_fullmode_top/daily.sh b/scripts/FELIX_fullmode_top/daily.sh
index c91dd9c2c200792913fd43ffeca7ef1ea10c33ca..8efb465fdc8dbf64632f691a4009753f0c8ed934 100755
--- a/scripts/FELIX_fullmode_top/daily.sh
+++ b/scripts/FELIX_fullmode_top/daily.sh
@@ -1,4 +1,22 @@
 #!/bin/bash
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               RHabraken
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 git pull 
 GITVERSION=$(git describe --tags)
 if [ -f "lastbuilt.txt" ]
diff --git a/scripts/FELIX_fullmode_top/do_implementation_BNL711.tcl b/scripts/FELIX_fullmode_top/do_implementation_BNL711.tcl
index 72027d4ca1e8451063d56be4c58c91d000c2cd5d..9f6f7b752ffec421651103dda7501dbef1157f5b 100644
--- a/scripts/FELIX_fullmode_top/do_implementation_BNL711.tcl
+++ b/scripts/FELIX_fullmode_top/do_implementation_BNL711.tcl
@@ -1,3 +1,28 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Kai Chen
+#               Weihao Wu
+#               Andrea Borga
+#               RHabraken
+#               Mesfin Gebyehu
+#               Enrico Gamberini
+#               Frans Schreuder
+#               William Wulff
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 source ../helper/do_implementation_pre.tcl
 
 set GENERATE_FM_WRAP true
diff --git a/scripts/FELIX_fullmode_top/do_implementation_BNL711_DUNE.tcl b/scripts/FELIX_fullmode_top/do_implementation_BNL711_DUNE.tcl
index c04eba4974f0a50327da2d0b2660d5b8631bd0a8..cc8b3ff5f9796b497802186d406c10c5c8025e94 100644
--- a/scripts/FELIX_fullmode_top/do_implementation_BNL711_DUNE.tcl
+++ b/scripts/FELIX_fullmode_top/do_implementation_BNL711_DUNE.tcl
@@ -1,3 +1,28 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Kai Chen
+#               Weihao Wu
+#               Andrea Borga
+#               RHabraken
+#               Mesfin Gebyehu
+#               Enrico Gamberini
+#               Frans Schreuder
+#               William Wulff
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 # Implementation script for BNL711 Fullmode (DUNE configuration)
 
 # Get defaults
diff --git a/scripts/FELIX_fullmode_top/do_implementation_BNL712.tcl b/scripts/FELIX_fullmode_top/do_implementation_BNL712.tcl
index 3b26e3db9f8343302549a1b9985a85c6e58bc6cc..e3392bfb20073ddc693d3b84598861cf4e48e4ba 100644
--- a/scripts/FELIX_fullmode_top/do_implementation_BNL712.tcl
+++ b/scripts/FELIX_fullmode_top/do_implementation_BNL712.tcl
@@ -1,3 +1,26 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Kai Chen
+#               Weihao Wu
+#               Andrea Borga
+#               Enrico Gamberini
+#               Frans Schreuder
+#               William Wulff
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 source ../helper/do_implementation_pre.tcl
 
 set GENERATE_FM_WRAP true
diff --git a/scripts/FELIX_fullmode_top/do_implementation_BNL712_DUNE.tcl b/scripts/FELIX_fullmode_top/do_implementation_BNL712_DUNE.tcl
index df1f8e4d0c7273b93b68b210132dfc4103a81462..12f78d15168bdf497d2e553a0daa610f8c4417a9 100644
--- a/scripts/FELIX_fullmode_top/do_implementation_BNL712_DUNE.tcl
+++ b/scripts/FELIX_fullmode_top/do_implementation_BNL712_DUNE.tcl
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               William Wulff
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 # Implementation script for BNL712 Fullmode (DUNE configuration)
 
 # Get defaults
diff --git a/scripts/FELIX_fullmode_top/do_implementation_HTG710.tcl b/scripts/FELIX_fullmode_top/do_implementation_HTG710.tcl
index 584a96a1adb3d079a36c227b93d85596aac0910e..46181b117a93a39de6892296ffe0ff454159f65a 100644
--- a/scripts/FELIX_fullmode_top/do_implementation_HTG710.tcl
+++ b/scripts/FELIX_fullmode_top/do_implementation_HTG710.tcl
@@ -1,3 +1,22 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Andrea Borga
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 source ../helper/do_implementation_pre.tcl
 
 set GENERATE_FM_WRAP true
diff --git a/scripts/FELIX_fullmode_top/do_implementation_VC709.tcl b/scripts/FELIX_fullmode_top/do_implementation_VC709.tcl
index 4d9a4626ed238170faa3d7e02789af2e125f9dc7..127f4e9365ae1b75c9a27df2ab0255d3695809dc 100644
--- a/scripts/FELIX_fullmode_top/do_implementation_VC709.tcl
+++ b/scripts/FELIX_fullmode_top/do_implementation_VC709.tcl
@@ -1,3 +1,23 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Andrea Borga
+#               William Wulff
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 source ../helper/do_implementation_pre.tcl
 
 set GENERATE_FM_WRAP true
diff --git a/scripts/FELIX_fullmode_top/do_implementation_VC709_si5324.tcl b/scripts/FELIX_fullmode_top/do_implementation_VC709_si5324.tcl
index e9c897d9ffb16f3fdbf07c5f438038b7172f1b2c..cf2eef34ac56ef46c1e1377161a918947ff96e93 100644
--- a/scripts/FELIX_fullmode_top/do_implementation_VC709_si5324.tcl
+++ b/scripts/FELIX_fullmode_top/do_implementation_VC709_si5324.tcl
@@ -1,3 +1,23 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Andrea Borga
+#               William Wulff
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 source ../helper/do_implementation_pre.tcl
 
 set GENERATE_FM_WRAP true
diff --git a/scripts/FELIX_fullmode_top/source_import_fmwrapper_core.tcl b/scripts/FELIX_fullmode_top/source_import_fmwrapper_core.tcl
index bc070e2d4ef46543d552322795541f5b489cdd24..1ab24a67ba51081e3202e5095c9980fdf1e60b1f 100644
--- a/scripts/FELIX_fullmode_top/source_import_fmwrapper_core.tcl
+++ b/scripts/FELIX_fullmode_top/source_import_fmwrapper_core.tcl
@@ -1,3 +1,23 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Kai Chen
+#               Andrea Borga
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #
 #   File import script for the FELIX HDL project
 #   imports files for the GBT core
diff --git a/scripts/FELIX_fullmode_top/source_import_fmwrapper_core_bnl711.tcl b/scripts/FELIX_fullmode_top/source_import_fmwrapper_core_bnl711.tcl
index bc3474c32ec19c146c3471740ecbb247bf87a1e1..99d10ebcf01804601946acfa21f916c9ba0facc1 100644
--- a/scripts/FELIX_fullmode_top/source_import_fmwrapper_core_bnl711.tcl
+++ b/scripts/FELIX_fullmode_top/source_import_fmwrapper_core_bnl711.tcl
@@ -1,3 +1,24 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Julia Narevicius
+#               Kai Chen
+#               Andrea Borga
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #
 #   File import script for the FELIX HDL project
 #   imports files for the GBT core
diff --git a/scripts/FELIX_fullmode_top/vivado_import_felix.tcl b/scripts/FELIX_fullmode_top/vivado_import_felix.tcl
index ecb4e7c2ab9a28534fb0207ebb474bcc8421f454..ff97e06ab59765795f3fb4f011c1e40fe6bbd6d8 100644
--- a/scripts/FELIX_fullmode_top/vivado_import_felix.tcl
+++ b/scripts/FELIX_fullmode_top/vivado_import_felix.tcl
@@ -1,3 +1,24 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Weihao Wu
+#               Andrea Borga
+#               RHabraken
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #
 #  File import script for the FELIX hdl Vivado project
 #  Board: VC709
diff --git a/scripts/FELIX_fullmode_top/vivado_import_felix_bnl711.tcl b/scripts/FELIX_fullmode_top/vivado_import_felix_bnl711.tcl
index b0a4f9a4bcf35ceb2418acedc26407f14924255d..f251b399ad1d69b91c572ab294f7f1790c342964 100644
--- a/scripts/FELIX_fullmode_top/vivado_import_felix_bnl711.tcl
+++ b/scripts/FELIX_fullmode_top/vivado_import_felix_bnl711.tcl
@@ -1,3 +1,27 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Andrea Borga
+#               Julia Narevicius
+#               Kai Chen
+#               Weihao Wu
+#               Enrico Gamberini
+#               RHabraken
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #
 #  File import script for the FELIX hdl Vivado project
 #  Board: BNL712
diff --git a/scripts/FELIX_top/FELIX_import_sigasi.tcl b/scripts/FELIX_top/FELIX_import_sigasi.tcl
index fee27ac393317d96b5dba5faf94d71d7a84b8244..2862f9f156ae8c2e36988ce1ec31336ab92dc400 100644
--- a/scripts/FELIX_top/FELIX_import_sigasi.tcl
+++ b/scripts/FELIX_top/FELIX_import_sigasi.tcl
@@ -1,3 +1,22 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+#               Mesfin Gebyehu
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #
 #	File import script for the FELIX hdl project
 #
diff --git a/scripts/FELIX_top/FLX709_GBT_import_vivado.tcl b/scripts/FELIX_top/FLX709_GBT_import_vivado.tcl
index bd132f040fbaad6b683bf0d86b5b08f6c05a489b..99afb65ed4b57a45cba72d6844e85bdc6c83b948 100755
--- a/scripts/FELIX_top/FLX709_GBT_import_vivado.tcl
+++ b/scripts/FELIX_top/FLX709_GBT_import_vivado.tcl
@@ -1,3 +1,23 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Elena Zhivun
+#               Frans Schreuder
+#               Ohad Shaked
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #
 #	File import script for the FELIX hdl project
 #
diff --git a/scripts/FELIX_top/FLX711_GBT_import_vivado.tcl b/scripts/FELIX_top/FLX711_GBT_import_vivado.tcl
index 09bb41572f6b8abbf67e575f1abc8a2d57110465..be8285dacdb98776c006c9899459e368c5886398 100644
--- a/scripts/FELIX_top/FLX711_GBT_import_vivado.tcl
+++ b/scripts/FELIX_top/FLX711_GBT_import_vivado.tcl
@@ -1,3 +1,22 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+#               Elena Zhivun
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #
 #  File import script for the FELIX hdl Vivado project
 #  Board: BNL711
diff --git a/scripts/FELIX_top/FLX712_FELIG_import_vivado.tcl b/scripts/FELIX_top/FLX712_FELIG_import_vivado.tcl
index b72312f232c61c4ea776345c7d82a3c6940ed491..f702edbf3c434116140a74ccf7750920e90fbcf0 100644
--- a/scripts/FELIX_top/FLX712_FELIG_import_vivado.tcl
+++ b/scripts/FELIX_top/FLX712_FELIG_import_vivado.tcl
@@ -1,3 +1,23 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               mtrovato
+#               Frans Schreuder
+#               Ricardo Luz
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #
 #  File import script for the FELIX hdl Vivado project
 #  Board: BNL712
diff --git a/scripts/FELIX_top/FLX712_GBT_import_vivado.tcl b/scripts/FELIX_top/FLX712_GBT_import_vivado.tcl
index 4bb63d53ec2b89aff22e58a45410cc8f460eeacd..10f397dc5a03f664c2b6b3ad2fd92d138f1e427c 100644
--- a/scripts/FELIX_top/FLX712_GBT_import_vivado.tcl
+++ b/scripts/FELIX_top/FLX712_GBT_import_vivado.tcl
@@ -1,3 +1,22 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+#               Elena Zhivun
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #
 #  File import script for the FELIX hdl Vivado project
 #  Board: BNL712
diff --git a/scripts/FELIX_top/ci-common.sh b/scripts/FELIX_top/ci-common.sh
index dfce1020555c11a2eb86033b95d50154d959abc0..d5371f8cd826331d616bba91df1466c2f000652d 100755
--- a/scripts/FELIX_top/ci-common.sh
+++ b/scripts/FELIX_top/ci-common.sh
@@ -1,4 +1,21 @@
 #!/bin/sh
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 if [ -f /localstore/et/Xilinx/Vivado/2020.1/settings64.sh ]; then
     #Vivado at Nikhef machines, is installed in /localstore
     export XILINXD_LICENSE_FILE="@192.16.199.39"
diff --git a/scripts/FELIX_top/ci-gbt-24ch-semistatic.sh b/scripts/FELIX_top/ci-gbt-24ch-semistatic.sh
index 49f392d49de775f80ff2e1779e99c3011cfc612e..3ecc35d43cdd5140556ff08e5cfb68517e828094 100755
--- a/scripts/FELIX_top/ci-gbt-24ch-semistatic.sh
+++ b/scripts/FELIX_top/ci-gbt-24ch-semistatic.sh
@@ -1,4 +1,21 @@
 #!/bin/bash
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 
 source ./ci-common.sh
 
diff --git a/scripts/FELIX_top/ci-gbt-8ch.sh b/scripts/FELIX_top/ci-gbt-8ch.sh
index 2a95bcc086b4e1b98fcf60db8dc493af194952e9..99d7b2adf7593ea87387ba1cea03284927489136 100755
--- a/scripts/FELIX_top/ci-gbt-8ch.sh
+++ b/scripts/FELIX_top/ci-gbt-8ch.sh
@@ -1,4 +1,21 @@
 #!/bin/bash
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 
 source ./ci-common.sh
 
diff --git a/scripts/FELIX_top/ci-gbt-vc709-si5324.sh b/scripts/FELIX_top/ci-gbt-vc709-si5324.sh
index 816441efe72f4488012bcf43acc2b3b725438e56..3b66caf4a1905e5caacd1a3af93d09890fd083c7 100755
--- a/scripts/FELIX_top/ci-gbt-vc709-si5324.sh
+++ b/scripts/FELIX_top/ci-gbt-vc709-si5324.sh
@@ -1,4 +1,22 @@
 #!/bin/bash
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Elena Zhivun
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 
 source ./ci-common.sh
 
diff --git a/scripts/FELIX_top/ci-gbt-vc709.sh b/scripts/FELIX_top/ci-gbt-vc709.sh
index bbcb5ecfa2a2ae3d8efbace632cd691c272e745f..82e76d4e30fd8538c1709366df250df8420bfcd8 100755
--- a/scripts/FELIX_top/ci-gbt-vc709.sh
+++ b/scripts/FELIX_top/ci-gbt-vc709.sh
@@ -1,4 +1,22 @@
 #!/bin/bash
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Elena Zhivun
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 
 source ./ci-common.sh
 
diff --git a/scripts/FELIX_top/ci-ltdb-48ch.sh b/scripts/FELIX_top/ci-ltdb-48ch.sh
index 458b0ee7f67e9752d1e61878d0478752b51e95e0..72f1c6277641c29bf1914a5eaa098a0d8014e4f7 100755
--- a/scripts/FELIX_top/ci-ltdb-48ch.sh
+++ b/scripts/FELIX_top/ci-ltdb-48ch.sh
@@ -1,4 +1,21 @@
 #!/bin/bash
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 
 source ./ci-common.sh
  
diff --git a/scripts/FELIX_top/ci_felig.sh b/scripts/FELIX_top/ci_felig.sh
index 2d63e12026e7791a6509013a01e523e32fc8770a..a542f0bbf80317d3f5f161cec80f97ef5d57f500 100755
--- a/scripts/FELIX_top/ci_felig.sh
+++ b/scripts/FELIX_top/ci_felig.sh
@@ -1,4 +1,21 @@
 #!/bin/bash
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 
 if [ -f /localstore/et/Xilinx/Vivado/2020.1/settings64.sh ]; then
     #Vivado at Nikhef machines, is installed in /localstore
diff --git a/scripts/FELIX_top/daily.sh b/scripts/FELIX_top/daily.sh
index d52374ec294e4877adb3f92324cafcc1b49f9c64..88f2c1a3763463a0c7841733decd7930ef4b2c29 100755
--- a/scripts/FELIX_top/daily.sh
+++ b/scripts/FELIX_top/daily.sh
@@ -1,4 +1,22 @@
 #!/bin/bash
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               RHabraken
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 git pull
 GITVERSION=$(git describe --tags)
 if [ -f "lastbuilt.txt" ]
diff --git a/scripts/FELIX_top/do_implementation_BNL711.tcl b/scripts/FELIX_top/do_implementation_BNL711.tcl
index 45930f7f98740bbbab268a7cddbe9f156b0107bf..8bda2227f160f78a5a5a7afc82ce700427979d30 100644
--- a/scripts/FELIX_top/do_implementation_BNL711.tcl
+++ b/scripts/FELIX_top/do_implementation_BNL711.tcl
@@ -1,3 +1,25 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Andrea Borga
+#               Kai Chen
+#               Israel Grayzman
+#               RHabraken
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 source ../helper/do_implementation_pre.tcl
 #Uncomment in order to stop after synthesis, so ILA probes can be added.
 #set STOP_TO_ADD_ILA 1
diff --git a/scripts/FELIX_top/do_implementation_BNL711_8CH.tcl b/scripts/FELIX_top/do_implementation_BNL711_8CH.tcl
index 5e6f12bb0768a1eb7282d0507955d237a7fd17c4..713d022379a2f377ece719cfd19070cbcf0b4300 100644
--- a/scripts/FELIX_top/do_implementation_BNL711_8CH.tcl
+++ b/scripts/FELIX_top/do_implementation_BNL711_8CH.tcl
@@ -1,3 +1,24 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Andrea Borga
+#               Israel Grayzman
+#               Kai Chen
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 source ../helper/do_implementation_pre.tcl
 #Uncomment in order to stop after synthesis, so ILA probes can be added.
 #set STOP_TO_ADD_ILA 1
diff --git a/scripts/FELIX_top/do_implementation_BNL711_ltdb_24ch.tcl b/scripts/FELIX_top/do_implementation_BNL711_ltdb_24ch.tcl
index bee16185e7f8a8d7ad09174755b15bef87aa839d..a536f09af1a4bd4927f4a6e7fc4d452bbc2357d0 100644
--- a/scripts/FELIX_top/do_implementation_BNL711_ltdb_24ch.tcl
+++ b/scripts/FELIX_top/do_implementation_BNL711_ltdb_24ch.tcl
@@ -1,3 +1,24 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Andrea Borga
+#               Kai Chen
+#               Israel Grayzman
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 source ../helper/do_implementation_pre.tcl
 #Uncomment in order to stop after synthesis, so ILA probes can be added.
 #set STOP_TO_ADD_ILA 1
diff --git a/scripts/FELIX_top/do_implementation_BNL712.tcl b/scripts/FELIX_top/do_implementation_BNL712.tcl
index 7bec2fe735b31e2cd7acc89e732afdfbd33df512..35357492d08a00af7065238e3a1c25a0c337652e 100644
--- a/scripts/FELIX_top/do_implementation_BNL712.tcl
+++ b/scripts/FELIX_top/do_implementation_BNL712.tcl
@@ -1,3 +1,26 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Andrea Borga
+#               Kai Chen
+#               Weihao Wu
+#               RHabraken
+#               Frans Schreuder
+#               Israel Grayzman
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 source ../helper/do_implementation_pre.tcl
 
 #set STOP_TO_ADD_ILA to 1 in order to stop after synthesis phase and add an ILA
diff --git a/scripts/FELIX_top/do_implementation_BNL712_8CH.tcl b/scripts/FELIX_top/do_implementation_BNL712_8CH.tcl
index 813b407728d7f18b9d31b7becbe45cd28b15d440..6a0ecfe2828355dc7d0adf88f69fb42da40fa15a 100644
--- a/scripts/FELIX_top/do_implementation_BNL712_8CH.tcl
+++ b/scripts/FELIX_top/do_implementation_BNL712_8CH.tcl
@@ -1,3 +1,25 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Andrea Borga
+#               Israel Grayzman
+#               Kai Chen
+#               RHabraken
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 source ../helper/do_implementation_pre.tcl
 #Uncomment in order to stop after synthesis, so ILA probes can be added.
 #set STOP_TO_ADD_ILA 1
diff --git a/scripts/FELIX_top/do_implementation_BNL712_FELIG.tcl b/scripts/FELIX_top/do_implementation_BNL712_FELIG.tcl
index d3ac7aab683ddd6dd479cd019a1e150abc415a7d..5b1f8b9b6b308769175d88a8e8f7b6c5db393406 100644
--- a/scripts/FELIX_top/do_implementation_BNL712_FELIG.tcl
+++ b/scripts/FELIX_top/do_implementation_BNL712_FELIG.tcl
@@ -1,3 +1,29 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Andrea Borga
+#               Kai Chen
+#               Weihao Wu
+#               RHabraken
+#               Frans Schreuder
+#               Israel Grayzman
+#               Shelfali Saxena
+#               mtrovato
+#               Ricardo Luz
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #to be adapted to FELIG
 source ../helper/do_implementation_pre.tcl
 
diff --git a/scripts/FELIX_top/do_implementation_BNL712_ltdb_48ch.tcl b/scripts/FELIX_top/do_implementation_BNL712_ltdb_48ch.tcl
index c1d945e7fe577e0ff0334dcd9fbb37679baf5e96..8c0d2e9692c021c16519ffb101b1af39adb7280c 100644
--- a/scripts/FELIX_top/do_implementation_BNL712_ltdb_48ch.tcl
+++ b/scripts/FELIX_top/do_implementation_BNL712_ltdb_48ch.tcl
@@ -1,3 +1,24 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Andrea Borga
+#               Kai Chen
+#               Israel Grayzman
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 source ../helper/do_implementation_pre.tcl
 #Uncomment in order to stop after synthesis, so ILA probes can be added.
 #set STOP_TO_ADD_ILA 1
diff --git a/scripts/FELIX_top/do_implementation_BNL712_strips_2ch_debug.tcl b/scripts/FELIX_top/do_implementation_BNL712_strips_2ch_debug.tcl
index 48af90dcd94ab0e22bb8842c8a5bb48363002a31..a77ef37979d521d82df33350741bb186776d296e 100644
--- a/scripts/FELIX_top/do_implementation_BNL712_strips_2ch_debug.tcl
+++ b/scripts/FELIX_top/do_implementation_BNL712_strips_2ch_debug.tcl
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Elena Zhivun
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 # This script converts all FromHost 4-bit elinks
 # into the ITk Strips LCB/R3L1 links
 
diff --git a/scripts/FELIX_top/do_implementation_BNL712_strips_4ch.tcl b/scripts/FELIX_top/do_implementation_BNL712_strips_4ch.tcl
index d2e205fc699f6b0f70ea78f261aeb5c884cea29f..35acc9a72b646e19a06476923f2043e6c60c02b6 100755
--- a/scripts/FELIX_top/do_implementation_BNL712_strips_4ch.tcl
+++ b/scripts/FELIX_top/do_implementation_BNL712_strips_4ch.tcl
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Elena Zhivun
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 # This script converts all FromHost 4-bit elinks
 # into the ITk Strips LCB/R3L1 links
 
diff --git a/scripts/FELIX_top/do_implementation_HTG710.tcl b/scripts/FELIX_top/do_implementation_HTG710.tcl
index a2c0fdb9d87b8de4961fda19c4bc6ec4d280f09f..e0995e9eede02218d93d5e2124cebfa1f34a15ca 100644
--- a/scripts/FELIX_top/do_implementation_HTG710.tcl
+++ b/scripts/FELIX_top/do_implementation_HTG710.tcl
@@ -1,3 +1,22 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Andrea Borga
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 source ../helper/do_implementation_pre.tcl
 ## General settings
 # -- set to true in order to generate the GBT links
diff --git a/scripts/FELIX_top/do_implementation_VC709.tcl b/scripts/FELIX_top/do_implementation_VC709.tcl
index 3b43a99bbf7c4a3d75bf060ad5d3ab386aa8ffb2..d54d13bf7edc5cd7367288724dcfcea07016887d 100755
--- a/scripts/FELIX_top/do_implementation_VC709.tcl
+++ b/scripts/FELIX_top/do_implementation_VC709.tcl
@@ -1,3 +1,26 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Andrea Borga
+#               Soo Ryu
+#               RHabraken
+#               Israel Grayzman
+#               Frans Schreuder
+#               Ohad Shaked
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 source ../helper/do_implementation_pre.tcl
 #Uncomment in order to stop after synthesis, so ILA probes can be added.
 #set STOP_TO_ADD_ILA 1
diff --git a/scripts/FELIX_top/do_implementation_VC709_si5324.tcl b/scripts/FELIX_top/do_implementation_VC709_si5324.tcl
index eedbfd7121ea8f65ebda41784761ff3402b6bb38..801dd67c3e5e1f43617f48470d4a9d664b416961 100644
--- a/scripts/FELIX_top/do_implementation_VC709_si5324.tcl
+++ b/scripts/FELIX_top/do_implementation_VC709_si5324.tcl
@@ -1,3 +1,26 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Andrea Borga
+#               Soo Ryu
+#               RHabraken
+#               Israel Grayzman
+#               Ohad Shaked
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 source ../helper/do_implementation_pre.tcl
 #Uncomment in order to stop after synthesis, so ILA probes can be added.
 #set STOP_TO_ADD_ILA 1
diff --git a/scripts/FELIX_top/do_implementation_VC709_strips.tcl b/scripts/FELIX_top/do_implementation_VC709_strips.tcl
index f0ac99a2e14dc06cd8291a9d71534bdf117260a3..56f8ee15f7aa9f60152b7db4903114b38b4430fa 100755
--- a/scripts/FELIX_top/do_implementation_VC709_strips.tcl
+++ b/scripts/FELIX_top/do_implementation_VC709_strips.tcl
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Elena Zhivun
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 # This script will generate firmware version compatible with ITk strips
 
 source ../helper/do_implementation_pre.tcl
diff --git a/scripts/FELIX_top/do_implementation_VC709_strips_2ch_debug.tcl b/scripts/FELIX_top/do_implementation_VC709_strips_2ch_debug.tcl
index 4d151e8efe7be1245622595eded2769a13562653..dcc128502d71c60040598db1316d40d89d8e2ade 100644
--- a/scripts/FELIX_top/do_implementation_VC709_strips_2ch_debug.tcl
+++ b/scripts/FELIX_top/do_implementation_VC709_strips_2ch_debug.tcl
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Elena Zhivun
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 # This script will generate firmware version compatible with ITk strips
 
 source ../helper/do_implementation_pre.tcl
diff --git a/scripts/FELIX_top/strips_build_bnl712.sh b/scripts/FELIX_top/strips_build_bnl712.sh
index adf6e9d85a46488e9104aee041f3e13bcf6037d8..bf0cd16798201ccf050f79a27dba746fe31a1ee7 100755
--- a/scripts/FELIX_top/strips_build_bnl712.sh
+++ b/scripts/FELIX_top/strips_build_bnl712.sh
@@ -1,4 +1,22 @@
 #!/bin/bash
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Elena Zhivun
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 
 source ./ci-common.sh
 
diff --git a/scripts/FELIX_top/strips_build_vc709.sh b/scripts/FELIX_top/strips_build_vc709.sh
index f1087188ecc831a9039c7904762227042e45066d..b6c1a4cba453f8cdc85ba56ae4e9483f52488b0f 100755
--- a/scripts/FELIX_top/strips_build_vc709.sh
+++ b/scripts/FELIX_top/strips_build_vc709.sh
@@ -1,4 +1,22 @@
 #!/bin/bash
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Elena Zhivun
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 
 source ./ci-common.sh
 vivado -mode batch -nojournal -nolog -notrace -source FLX709_GBT_import_vivado.tcl
diff --git a/scripts/FMEmu_top/FLX711_FMEMU_import_vivado.tcl b/scripts/FMEmu_top/FLX711_FMEMU_import_vivado.tcl
index 20ac9a3679b06ea0341be184e2e3218da3aea407..7c1614b54d38c204f36826fb611b2c821e493333 100644
--- a/scripts/FMEmu_top/FLX711_FMEMU_import_vivado.tcl
+++ b/scripts/FMEmu_top/FLX711_FMEMU_import_vivado.tcl
@@ -1,3 +1,22 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Mesfin Gebyehu
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #
 #  File import script for the FMEmu hdl Vivado project
 #  Board: BNL711
diff --git a/scripts/FMEmu_top/FLX712_FMEMU_import_vivado.tcl b/scripts/FMEmu_top/FLX712_FMEMU_import_vivado.tcl
index 6d136b6e208d0febe5abd9fbeba9cf5d723b96b5..f7009ac9ba48b01cae23696b38e801c8fe25112d 100644
--- a/scripts/FMEmu_top/FLX712_FMEMU_import_vivado.tcl
+++ b/scripts/FMEmu_top/FLX712_FMEMU_import_vivado.tcl
@@ -1,3 +1,22 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Mesfin Gebyehu
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #
 #  File import script for the FMEmu hdl Vivado project
 #  Board: BNL712
diff --git a/scripts/FMEmu_top/FMEMU_import_sigasi.tcl b/scripts/FMEmu_top/FMEMU_import_sigasi.tcl
index 35c5914dfa9c772efe198bd179c4b57f6191fe3e..229ecfc28aa2a87b81c289a8c3b76e37a41545ae 100644
--- a/scripts/FMEmu_top/FMEMU_import_sigasi.tcl
+++ b/scripts/FMEmu_top/FMEMU_import_sigasi.tcl
@@ -1,3 +1,22 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+#               Mesfin Gebyehu
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #
 #  File import script for the FELIX hdl Vivado project
 #  Board: BNL712
diff --git a/scripts/FMEmu_top/ci.sh b/scripts/FMEmu_top/ci.sh
index a3cb5131b8e8d8c3d115ac34e23f5f337802832a..24d21c1de32fc6d96eb1496fa00810c510bad5e2 100755
--- a/scripts/FMEmu_top/ci.sh
+++ b/scripts/FMEmu_top/ci.sh
@@ -1,4 +1,21 @@
 #!/bin/bash
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 
 source ../FELIX_top/ci-common.sh
 
diff --git a/scripts/FMEmu_top/do_implementation_BNL711_EMU.tcl b/scripts/FMEmu_top/do_implementation_BNL711_EMU.tcl
index 0b8f50c4d5924935e9e62975d791bbe5bfa464be..c978534fae25958ea2cec7b943ffa80098b8e9cd 100644
--- a/scripts/FMEmu_top/do_implementation_BNL711_EMU.tcl
+++ b/scripts/FMEmu_top/do_implementation_BNL711_EMU.tcl
@@ -1,3 +1,26 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Kai Chen
+#               Weihao Wu
+#               Andrea Borga
+#               Frans Schreuder
+#               Enrico Gamberini
+#               Mesfin Gebyehu
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 source ../helper/do_implementation_pre.tcl
 #Uncomment in order to stop after synthesis, so ILA probes can be added.
 #set STOP_TO_ADD_ILA 1
diff --git a/scripts/FMEmu_top/do_implementation_BNL712_EMU.tcl b/scripts/FMEmu_top/do_implementation_BNL712_EMU.tcl
index 4e2a4f27f0ca4207d48229b552dd73149809d078..4b564f56ed62a41a9331532ab55d3dbb6f901d3c 100755
--- a/scripts/FMEmu_top/do_implementation_BNL712_EMU.tcl
+++ b/scripts/FMEmu_top/do_implementation_BNL712_EMU.tcl
@@ -1,3 +1,26 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Kai Chen
+#               Weihao Wu
+#               Andrea Borga
+#               Frans Schreuder
+#               Enrico Gamberini
+#               Mesfin Gebyehu
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 source ../helper/do_implementation_pre.tcl
 #Uncomment in order to stop after synthesis, so ILA probes can be added.
 #set STOP_TO_ADD_ILA 1
diff --git a/scripts/FMEmu_top/do_implementation_VC709.tcl b/scripts/FMEmu_top/do_implementation_VC709.tcl
index c12bd206a362fdc163f6f3624d69db340d318ada..4b6e61e06aaba4aa1bc29a9190a4add332a5629d 100644
--- a/scripts/FMEmu_top/do_implementation_VC709.tcl
+++ b/scripts/FMEmu_top/do_implementation_VC709.tcl
@@ -1,3 +1,24 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Andrea Borga
+#               Soo Ryu
+#               Frans Schreuder
+#               RHabraken
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 source ../helper/do_implementation_pre.tcl
 ## General settings
 # -- set to true in order to generate the GBT links
diff --git a/scripts/FMEmu_top/vivado_import_felix.tcl b/scripts/FMEmu_top/vivado_import_felix.tcl
index ac17648ab3be4e996ed83b131c5d371529be99f1..26b44e668dddd6699fa7fa8fa50ad9c8f0396fc5 100644
--- a/scripts/FMEmu_top/vivado_import_felix.tcl
+++ b/scripts/FMEmu_top/vivado_import_felix.tcl
@@ -1,3 +1,24 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Andrea Borga
+#               Julia Narevicius
+#               Frans Schreuder
+#               RHabraken
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #
 #	File import script for the FELIX hdl project
 #  Modified for FMEmu_top
diff --git a/scripts/FM_UserExample/do_implementation_VC707.tcl b/scripts/FM_UserExample/do_implementation_VC707.tcl
index f2250a5375cae9c5ad457d1f322b671887b37e7f..20760420e5730c2fc02d21b066ca982ed3ae8b77 100644
--- a/scripts/FM_UserExample/do_implementation_VC707.tcl
+++ b/scripts/FM_UserExample/do_implementation_VC707.tcl
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 set IMPL_RUN [get_runs impl*]
 set SYNTH_RUN [get_runs synth*]
 set scriptdir [pwd]
diff --git a/scripts/FM_UserExample/do_implementation_VC709.tcl b/scripts/FM_UserExample/do_implementation_VC709.tcl
index 04d23d2306074a13d3876f8a940b628ca2e03813..66cdf3c8777d1d6daea8fff2a7efb5b9f13a4dd3 100644
--- a/scripts/FM_UserExample/do_implementation_VC709.tcl
+++ b/scripts/FM_UserExample/do_implementation_VC709.tcl
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 set IMPL_RUN [get_runs impl*]
 set SYNTH_RUN [get_runs synth*]
 set scriptdir [pwd]
diff --git a/scripts/FM_UserExample/vivado_import_felix_VC707.tcl b/scripts/FM_UserExample/vivado_import_felix_VC707.tcl
index 4d2ea35c8e6ff1619922c7d3a5a98bd58b8c9827..0a36922319790342be4429a221a8112977311789 100644
--- a/scripts/FM_UserExample/vivado_import_felix_VC707.tcl
+++ b/scripts/FM_UserExample/vivado_import_felix_VC707.tcl
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #
 #	File import script for the FELIX hdl project
 #
diff --git a/scripts/FM_UserExample/vivado_import_felix_VC709.tcl b/scripts/FM_UserExample/vivado_import_felix_VC709.tcl
index fb88c63615bc9d550235dbae75745244d1fcc224..4c15faaedbda27bb7195e58c583f29fd66085836 100644
--- a/scripts/FM_UserExample/vivado_import_felix_VC709.tcl
+++ b/scripts/FM_UserExample/vivado_import_felix_VC709.tcl
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #
 #	File import script for the FELIX hdl project
 #
diff --git a/scripts/MROD_top/EMU/FLX712_MROD_EMU_implement_vivado.tcl b/scripts/MROD_top/EMU/FLX712_MROD_EMU_implement_vivado.tcl
index b1e1ee0de67bb32991faf6184b94d659133656a0..dcf0d54e95246c122c788742ef0075a4a251f890 100644
--- a/scripts/MROD_top/EMU/FLX712_MROD_EMU_implement_vivado.tcl
+++ b/scripts/MROD_top/EMU/FLX712_MROD_EMU_implement_vivado.tcl
@@ -1,3 +1,28 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Andrea Borga
+#               Kai Chen
+#               Weihao Wu
+#               RHabraken
+#               Israel Grayzman
+#               Frans Schreuder
+#               Rene
+#               Thei Wijnen
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 # FLX712_MROD_EMU_implement_vivado.tcl
 
 source ../helper/do_implementation_pre.tcl
diff --git a/scripts/MROD_top/EMU/FLX712_MROD_EMU_import_vivado.tcl b/scripts/MROD_top/EMU/FLX712_MROD_EMU_import_vivado.tcl
index e6b0eafd83391f9c7ddfb8af140e9a795226fb87..4bfc12c5e943fe44f90894ec483da925ad39bde8 100644
--- a/scripts/MROD_top/EMU/FLX712_MROD_EMU_import_vivado.tcl
+++ b/scripts/MROD_top/EMU/FLX712_MROD_EMU_import_vivado.tcl
@@ -1,3 +1,22 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Rene
+#               Thei Wijnen
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 # FLX712_MROD_EMU_import_vivado.tcl
 #
 #  File import script for the FELIX hdl Vivado project
diff --git a/scripts/MROD_top/EMU/felix_mrod_blocks_fileset_EMU.tcl b/scripts/MROD_top/EMU/felix_mrod_blocks_fileset_EMU.tcl
index f6ba431f063e6cc029ab59baebdc30ae17a04935..b9879fe6d542408cb52ce5a4b50e6c834c472b89 100644
--- a/scripts/MROD_top/EMU/felix_mrod_blocks_fileset_EMU.tcl
+++ b/scripts/MROD_top/EMU/felix_mrod_blocks_fileset_EMU.tcl
@@ -1,3 +1,22 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Rene
+#               Thei Wijnen
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 # felix_mrod_blocks_fileset_EMU.tcl 
 
 set VHDL_FILES [concat $VHDL_FILES \
diff --git a/scripts/MROD_top/EMU/felix_mrod_toplevel_fileset_EMU.tcl b/scripts/MROD_top/EMU/felix_mrod_toplevel_fileset_EMU.tcl
index 813836294b998be40abe26308ad13088141df28b..86d6884fc128c9b3fd70d2fb9cfa18c3a0171b5c 100644
--- a/scripts/MROD_top/EMU/felix_mrod_toplevel_fileset_EMU.tcl
+++ b/scripts/MROD_top/EMU/felix_mrod_toplevel_fileset_EMU.tcl
@@ -1,3 +1,22 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Rene
+#               Thei Wijnen
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 # felix_mrod_toplevel_fileset_EMU.tcl
 
 set VHDL_FILES_KU [concat $VHDL_FILES_KU \
diff --git a/scripts/MROD_top/FLX712_MROD_implement_vivado.tcl b/scripts/MROD_top/FLX712_MROD_implement_vivado.tcl
index f48f97cc8bedf0df481eb89eb0060b9bc8a86e09..ae09c74b413d81cc91b8fd68a3893551291aeeda 100644
--- a/scripts/MROD_top/FLX712_MROD_implement_vivado.tcl
+++ b/scripts/MROD_top/FLX712_MROD_implement_vivado.tcl
@@ -1,3 +1,28 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Andrea Borga
+#               Kai Chen
+#               Weihao Wu
+#               RHabraken
+#               Israel Grayzman
+#               Frans Schreuder
+#               Thei Wijnen
+#               Rene
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 # FLX712_MROD_implement_vivado.tcl
 
 source ../helper/do_implementation_pre.tcl
diff --git a/scripts/MROD_top/FLX712_MROD_import_vivado.tcl b/scripts/MROD_top/FLX712_MROD_import_vivado.tcl
index b51af7d5c17b9a2724391edff0fc6c18bc95fbb1..c4a064fca8d880b28ed60b43ba007a4aa0a5959f 100644
--- a/scripts/MROD_top/FLX712_MROD_import_vivado.tcl
+++ b/scripts/MROD_top/FLX712_MROD_import_vivado.tcl
@@ -1,3 +1,23 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+#               Rene
+#               Thei Wijnen
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 # FLX712_MROD_import_vivado.tcl
 #
 #  File import script for the FELIX hdl Vivado project
diff --git a/scripts/MROD_top/ci.sh b/scripts/MROD_top/ci.sh
index 189883d0052fd273c8dd308f2a869d57d3dc9147..bb3b0e49df2f46ab1365ae89765a20c515c14733 100755
--- a/scripts/MROD_top/ci.sh
+++ b/scripts/MROD_top/ci.sh
@@ -1,4 +1,21 @@
 #!/bin/bash
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 
 if [ -f /localstore/et/Xilinx/Vivado/2020.1/settings64.sh ]; then
     #Vivado at Nikhef machines, is installed in /localstore
diff --git a/scripts/MROD_top/daily.sh b/scripts/MROD_top/daily.sh
index c31a9944e10a40fdc170dc212f06d125e09cc542..9ab01891169c451ba6495f8a78df721dbe7faeeb 100755
--- a/scripts/MROD_top/daily.sh
+++ b/scripts/MROD_top/daily.sh
@@ -1,4 +1,24 @@
 #!/bin/bash
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+#               RHabraken
+#               Thei Wijnen
+#               Rene
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 git pull
 
 GITVERSION=$(git describe --tags)
diff --git a/scripts/felixUserSupport/copy_FULLmodeInterface_sources.tcl b/scripts/felixUserSupport/copy_FULLmodeInterface_sources.tcl
index 8955e56989b66e28203912f65db358889946d1e5..5347905077f00bb51ae55ebdf2348e229daa1660 100644
--- a/scripts/felixUserSupport/copy_FULLmodeInterface_sources.tcl
+++ b/scripts/felixUserSupport/copy_FULLmodeInterface_sources.tcl
@@ -1,3 +1,22 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Julia Narevicius
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #
 #	project sources copy script for FullModeUserInterface
 #   
diff --git a/scripts/felixUserSupport/copy_elinkInterface_sources.tcl b/scripts/felixUserSupport/copy_elinkInterface_sources.tcl
index 89d92c7677fcb11fc1ecdc55c220a6badf76e4ff..2b363cf8c11398a0f8f34a6c50798d737864089d 100755
--- a/scripts/felixUserSupport/copy_elinkInterface_sources.tcl
+++ b/scripts/felixUserSupport/copy_elinkInterface_sources.tcl
@@ -1,4 +1,23 @@
 #!/usr/bin/tclsh
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Julia Narevicius
+#               Israel Grayzman
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #	project sources copy script for elinkInterface
 #   
 #	
diff --git a/scripts/felixUserSupport/create_tar_FULLmodeInterfaceSources.sh b/scripts/felixUserSupport/create_tar_FULLmodeInterfaceSources.sh
index 26cdadecd23c83374c956475c3ac0e24b9ad4fd9..33ebeffb73ed1b0b40b7ab1a12f3e08b89b725a4 100755
--- a/scripts/felixUserSupport/create_tar_FULLmodeInterfaceSources.sh
+++ b/scripts/felixUserSupport/create_tar_FULLmodeInterfaceSources.sh
@@ -1,4 +1,23 @@
 #!/bin/bash
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Mark Donszelmann
+#               Frans Schreuder
+#               RHabraken
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 set -e
 #
 #	create examples tar.gz file
diff --git a/scripts/felixUserSupport/create_tar_elinkInterfaceSources.sh b/scripts/felixUserSupport/create_tar_elinkInterfaceSources.sh
index 8460e0db59681d8d76dde35de6b5413b89509e7b..d24edbabd67275b65cc25ca7131473d12e062cd6 100755
--- a/scripts/felixUserSupport/create_tar_elinkInterfaceSources.sh
+++ b/scripts/felixUserSupport/create_tar_elinkInterfaceSources.sh
@@ -1,4 +1,22 @@
 #!/bin/bash
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Mark Donszelmann
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 set -e
 #
 #	create examples tar.gz file
diff --git a/scripts/felixUserSupport/vivado_project_gen_elinkInterface.tcl b/scripts/felixUserSupport/vivado_project_gen_elinkInterface.tcl
index 2d3518ea7903c03203333a54eb9e83d84eef25a3..732fce9bfccbf3ba8a024b365dbceb8453ad118e 100644
--- a/scripts/felixUserSupport/vivado_project_gen_elinkInterface.tcl
+++ b/scripts/felixUserSupport/vivado_project_gen_elinkInterface.tcl
@@ -1,3 +1,22 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Julia Narevicius
+#               Israel Grayzman
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #
 #	File import script for the FELIX hdl project
 #   generates project for stand alone elinkInterface 
diff --git a/scripts/filesets/UVVM_fileset.tcl b/scripts/filesets/UVVM_fileset.tcl
index f93864b177603a9ef38cb2887cc1288b7f12ce3a..555ac5f2db9c28fa5cfa4bd6e68336bde30cc4af 100644
--- a/scripts/filesets/UVVM_fileset.tcl
+++ b/scripts/filesets/UVVM_fileset.tcl
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 set SIM_FILES [concat $SIM_FILES \
   UVVMExample/sim/vip_egr/egr_vvc.vhd \
   UVVMExample/sim/vip_egr/vvc_methods_pkg.vhd \
diff --git a/scripts/filesets/centralRouter_fileset.tcl b/scripts/filesets/centralRouter_fileset.tcl
index 943ef895afc562a35a0642cbd63a2820d0e575b4..d0e2c8b138baa69779782c8c37ede737cbe5ffd8 100644
--- a/scripts/filesets/centralRouter_fileset.tcl
+++ b/scripts/filesets/centralRouter_fileset.tcl
@@ -1,3 +1,24 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Israel Grayzman
+#               Marius Wensing
+#               Ohad Shaked
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 set VHDL_FILES [concat $VHDL_FILES \
   packages/centralRouter_package.vhd \
   centralRouter/8b10_dec.vhd \
diff --git a/scripts/filesets/crfm_fileset.tcl b/scripts/filesets/crfm_fileset.tcl
index 59c63b7db0737b88e77f08b8e40d699937a151a8..a0a4450c484710b07b22a7c019fcb1bc7fc2a2c5 100644
--- a/scripts/filesets/crfm_fileset.tcl
+++ b/scripts/filesets/crfm_fileset.tcl
@@ -1,3 +1,22 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Marius Wensing
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 set VHDL_FILES [concat $VHDL_FILES \
   templates/pcie_package.vhd \
   packages/FELIX_package.vhd \
diff --git a/scripts/filesets/felig_fileset.tcl b/scripts/filesets/felig_fileset.tcl
index 1800f343abf0ff51a6134da559847a47e60605a1..fa3d5a442e3594738d7ed8dbe0ed664b81581fd0 100644
--- a/scripts/filesets/felig_fileset.tcl
+++ b/scripts/filesets/felig_fileset.tcl
@@ -1,3 +1,24 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Shelfali Saxena
+#               mtrovato
+#               Frans Schreuder
+#               Ricardo Luz
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 set VHDL_FILES [concat $VHDL_FILES \
   feligHG710/data_generator/elink_data_emulator.vhd \
   feligHG710/data_generator/elink_packet_generator.vhd \
diff --git a/scripts/filesets/felix_mrod_blocks_fileset.tcl b/scripts/filesets/felix_mrod_blocks_fileset.tcl
index ba2464ea7e46c97e77ca42af5b887ce1347b9e81..ffed779d8f8de785b9ab567b3a56e921b7eeecd6 100644
--- a/scripts/filesets/felix_mrod_blocks_fileset.tcl
+++ b/scripts/filesets/felix_mrod_blocks_fileset.tcl
@@ -1,3 +1,22 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Rene
+#               Thei Wijnen
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 # felix_mrod_blocks_fileset.tcl 
 
 set VHDL_FILES [concat $VHDL_FILES \
diff --git a/scripts/filesets/felix_mrod_crfm_fileset.tcl b/scripts/filesets/felix_mrod_crfm_fileset.tcl
index d48c56eaa54b1db5d10eec9a744d341ce4ff07d6..27c09b16070c528f565eb1b99dacce438966c7a2 100644
--- a/scripts/filesets/felix_mrod_crfm_fileset.tcl
+++ b/scripts/filesets/felix_mrod_crfm_fileset.tcl
@@ -1,3 +1,23 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Rene
+#               Thei Wijnen
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 # felix_mrod_crfm_fileset.tcl 
 
 set VHDL_FILES [concat $VHDL_FILES \
diff --git a/scripts/filesets/felix_mrod_housekeeping_fileset.tcl b/scripts/filesets/felix_mrod_housekeeping_fileset.tcl
index 31d0d777157998c79fb7d528227a79952ac67f23..eadfad52c4a3395ce7bcbd24f3026c9e8eb77d89 100644
--- a/scripts/filesets/felix_mrod_housekeeping_fileset.tcl
+++ b/scripts/filesets/felix_mrod_housekeeping_fileset.tcl
@@ -1,3 +1,23 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+#               Rene
+#               Thei Wijnen
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #felix_mrod_housekeeping_fileset.tcl
 
 set VHDL_FILES [concat $VHDL_FILES \
diff --git a/scripts/filesets/felix_mrod_toplevel_fileset.tcl b/scripts/filesets/felix_mrod_toplevel_fileset.tcl
index fd21e0e3c5bc9ac9656bf31b05d9c41f3f9aeb41..df971684146b09e66cedb0d180b68f99089fd48a 100644
--- a/scripts/filesets/felix_mrod_toplevel_fileset.tcl
+++ b/scripts/filesets/felix_mrod_toplevel_fileset.tcl
@@ -1,3 +1,22 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Thei Wijnen
+#               Rene
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 # felix_mrod_toplevel_fileset.tcl
 
 set VHDL_FILES_KU [concat $VHDL_FILES_KU \
diff --git a/scripts/filesets/felix_mrod_wupper_fileset.tcl b/scripts/filesets/felix_mrod_wupper_fileset.tcl
index 881edb760b55b0adcc87bff345d1b0347d8a8781..ecdc943ce9eec910f44005f1e1f4412a243de52a 100644
--- a/scripts/filesets/felix_mrod_wupper_fileset.tcl
+++ b/scripts/filesets/felix_mrod_wupper_fileset.tcl
@@ -1,3 +1,24 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Thei Wijnen
+#               mtrovato
+#               Frans Schreuder
+#               Rene
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 # felix_mrod_wupper_fileset.tcl
 
 set VHDL_FILES [concat $VHDL_FILES \
diff --git a/scripts/filesets/fmemu_fileset.tcl b/scripts/filesets/fmemu_fileset.tcl
index 10acb01189aa1c5473c41b47a14de11bf3fa184b..1012949d945db80049d02b23ab5c3f5ea89af004 100755
--- a/scripts/filesets/fmemu_fileset.tcl
+++ b/scripts/filesets/fmemu_fileset.tcl
@@ -1,3 +1,22 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Mesfin Gebyehu
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 # ----------------------------------------------------------
 #FM EMU .vhd & .xci files
 # ----------------------------------------------------------
diff --git a/scripts/filesets/fmemu_top_fileset.tcl b/scripts/filesets/fmemu_top_fileset.tcl
index b5c9037e72f5c7fbc5fec27078c9c78735cff393..e4ef970f3ff705cbc0ea5ca31c33ae2b67f7214b 100755
--- a/scripts/filesets/fmemu_top_fileset.tcl
+++ b/scripts/filesets/fmemu_top_fileset.tcl
@@ -1,3 +1,22 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+#               Mesfin Gebyehu
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #set VHDL_FILES_V7 [concat $VHDL_FILES_V7 \
 #  FullModeEmulator/FMEmu_top_vc709.vhd]
   
diff --git a/scripts/filesets/fullmode_emulator_fileset.tcl b/scripts/filesets/fullmode_emulator_fileset.tcl
index 5a30a913659415d58ce1762e7bee4db5fc142e44..673f55172bb620e502314617621e046232559254 100644
--- a/scripts/filesets/fullmode_emulator_fileset.tcl
+++ b/scripts/filesets/fullmode_emulator_fileset.tcl
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 set VHDL_FILES [concat $VHDL_FILES \
   FullModeDataEmulator/FullModeDataEmulator.vhd]
 
diff --git a/scripts/filesets/fullmode_fanout_fileset.tcl b/scripts/filesets/fullmode_fanout_fileset.tcl
index 71a798e1b70f7067c9e67bc95e171637e5cb9a74..970c2d7af5555442d53d5918a7e3fac31922b8e3 100644
--- a/scripts/filesets/fullmode_fanout_fileset.tcl
+++ b/scripts/filesets/fullmode_fanout_fileset.tcl
@@ -1,2 +1,20 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 set VHDL_FILES [concat $VHDL_FILES \
   FanoutSelectors/fromfrontend_fanout_selector_FM.vhd]
diff --git a/scripts/filesets/fullmode_gbt_core_fileset.tcl b/scripts/filesets/fullmode_gbt_core_fileset.tcl
index 7705101b017466224fb79069490a9f08f419c386..3482bb731b595454d1d6170f66694d20df2bb27c 100644
--- a/scripts/filesets/fullmode_gbt_core_fileset.tcl
+++ b/scripts/filesets/fullmode_gbt_core_fileset.tcl
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 set VHDL_FILES [concat $VHDL_FILES \
   packages/FELIX_gbt_package.vhd \
   GBT/gbt_code/gbt_tx_encoder_FELIX.vhd \
diff --git a/scripts/filesets/fullmode_toplevel_fileset.tcl b/scripts/filesets/fullmode_toplevel_fileset.tcl
index b1ce275033f9b48b47ce87765264ada4970d264f..e638439844b955a6e4621fa723dd6b3e3a205d9d 100644
--- a/scripts/filesets/fullmode_toplevel_fileset.tcl
+++ b/scripts/filesets/fullmode_toplevel_fileset.tcl
@@ -1,3 +1,22 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+#               Rene
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 set VHDL_FILES_V7 [concat $VHDL_FILES_V7 \
   FelixTop/felix_fullmode_top.vhd]
   
diff --git a/scripts/filesets/gbt_core_fileset.tcl b/scripts/filesets/gbt_core_fileset.tcl
index 1d4e88bcf390eb5539144e45859865b232411a1e..c086a7203e4b33ae58a3b74361a69db5288f1268 100644
--- a/scripts/filesets/gbt_core_fileset.tcl
+++ b/scripts/filesets/gbt_core_fileset.tcl
@@ -1,3 +1,23 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Mesfin Gebyehu
+#               mtrovato
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 set VHDL_FILES [concat $VHDL_FILES \
   packages/FELIX_gbt_package.vhd \
   GBT/gbt_code/FELIX_GBT_RXSLIDE_FSM.vhd \
diff --git a/scripts/filesets/gbt_emulator_fileset.tcl b/scripts/filesets/gbt_emulator_fileset.tcl
index 29a840d6018c6f4cde8c998855fecaa81375881f..b411ee8d188c97e780ceefd14b2c8a6901914ac8 100644
--- a/scripts/filesets/gbt_emulator_fileset.tcl
+++ b/scripts/filesets/gbt_emulator_fileset.tcl
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 set VHDL_FILES [concat $VHDL_FILES \
   GBTlinksDataEmulator/GBTdataEmulator.vhd]
 
diff --git a/scripts/filesets/gbt_fanout_fileset.tcl b/scripts/filesets/gbt_fanout_fileset.tcl
index 26d67f5061ce3fab634b787a5d475d97ab2133a0..418e2bf8756fe5d1640e45ceeadfb822430bf5a8 100644
--- a/scripts/filesets/gbt_fanout_fileset.tcl
+++ b/scripts/filesets/gbt_fanout_fileset.tcl
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 set VHDL_FILES [concat $VHDL_FILES \
   FanoutSelectors/downstream_fanout_selector.vhd \
   FanoutSelectors/upstream_fanout_selector.vhd]
diff --git a/scripts/filesets/gbt_toplevel_fileset.tcl b/scripts/filesets/gbt_toplevel_fileset.tcl
index 833aedc46f0b27379f71119f5077cec9579aa538..4cc22f8251fa2963a2a5ce9b9442f3be29dceca0 100644
--- a/scripts/filesets/gbt_toplevel_fileset.tcl
+++ b/scripts/filesets/gbt_toplevel_fileset.tcl
@@ -1,3 +1,24 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Kai Chen
+#               Shelfali Saxena
+#               mtrovato
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 set VHDL_FILES_V7 [concat $VHDL_FILES_V7 \
   FelixTop/felix_top.vhd]
   
diff --git a/scripts/filesets/housekeeping_felig_fileset.tcl b/scripts/filesets/housekeeping_felig_fileset.tcl
index 349395fd12a4f5cf55e136b7cc8a974f9550a88e..4eab12bd46b7d705d48079a026ea77e2c264a6cf 100644
--- a/scripts/filesets/housekeeping_felig_fileset.tcl
+++ b/scripts/filesets/housekeeping_felig_fileset.tcl
@@ -1,3 +1,22 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               mtrovato
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 set VHDL_FILES [concat $VHDL_FILES \
   shared/card_type_specific_ios.vhd \
   shared/xadc_drp.vhd \
diff --git a/scripts/filesets/housekeeping_fileset.tcl b/scripts/filesets/housekeeping_fileset.tcl
index 35d53506fd7f7baf7d0e01b73b8d1ddf940eea43..f621b8a68dd66a4b4115ca9a7ebe45800978824f 100644
--- a/scripts/filesets/housekeeping_fileset.tcl
+++ b/scripts/filesets/housekeeping_fileset.tcl
@@ -1,3 +1,22 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               mtrovato
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 set VHDL_FILES [concat $VHDL_FILES \
   shared/card_type_specific_ios.vhd \
   shared/xadc_drp.vhd \
diff --git a/scripts/filesets/itk_strips_fileset.tcl b/scripts/filesets/itk_strips_fileset.tcl
index 5da1e6b5a2e36c3c919d91a60427a7eb09a6dd47..bd80bf9a3eec7469e0e65a1805a2a696e80639e2 100644
--- a/scripts/filesets/itk_strips_fileset.tcl
+++ b/scripts/filesets/itk_strips_fileset.tcl
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Elena Zhivun
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 set VHDL_FILES [concat $VHDL_FILES \
   ItkStrip/EPROC_OUT4_itk_strip_lcb_phase1.vhd \
   ItkStrip/l0a_frame_generator.vhd \
diff --git a/scripts/filesets/ttc_decoder_fileset.tcl b/scripts/filesets/ttc_decoder_fileset.tcl
index 216272cb384483efbf06714b7cd4c06ef951d784..95d4cf164bba09dcb1b3ae491b657c7699f1d4c6 100644
--- a/scripts/filesets/ttc_decoder_fileset.tcl
+++ b/scripts/filesets/ttc_decoder_fileset.tcl
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 set VHDL_FILES [concat $VHDL_FILES \
   ttc/ttc_decoder/ttc_decoder_core.vhd \
   ttc/ttc_decoder/cdr2a_b_clk.vhd \
diff --git a/scripts/filesets/ttc_emulator_fileset.tcl b/scripts/filesets/ttc_emulator_fileset.tcl
index 9fe1e8b91ed96626e6e18aeb2e6b29d26f08d5c5..396fe4a33f4ee7668cae74810bb7242a13fec30a 100644
--- a/scripts/filesets/ttc_emulator_fileset.tcl
+++ b/scripts/filesets/ttc_emulator_fileset.tcl
@@ -1,4 +1,25 @@
 
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Israel Grayzman
+#               Frans Schreuder
+#               Thei Wijnen
+#               Ali Skaf
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
+
 set VHDL_FILES [concat $VHDL_FILES \
   TTCdataEmulator/TTC_Emulator.vhd \
   TTCdataEmulator/delay_chain.vhd \
diff --git a/scripts/filesets/wupper_fileset.tcl b/scripts/filesets/wupper_fileset.tcl
index bfc1513797eebd67a4114c7de91b7a73252e50fc..c6fff300199ddf37ded3d0082d46d79361c547ab 100644
--- a/scripts/filesets/wupper_fileset.tcl
+++ b/scripts/filesets/wupper_fileset.tcl
@@ -1,3 +1,24 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Rene
+#               Thei Wijnen
+#               mtrovato
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 set VHDL_FILES [concat $VHDL_FILES \
   templates/pcie_package.vhd \
   templates/dma_control.vhd \
diff --git a/scripts/helper/IG_do_implementation_post.tcl b/scripts/helper/IG_do_implementation_post.tcl
index c4bc5e369c17283202b4bd537b1819e1412ce808..3d4088e43420af331f855c1bb8ed0a463505fd9a 100644
--- a/scripts/helper/IG_do_implementation_post.tcl
+++ b/scripts/helper/IG_do_implementation_post.tcl
@@ -1,3 +1,24 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Israel Grayzman
+#               Frans Schreuder
+#               RHabraken
+#               Elena Zhivun
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #############################################################################################
 # in order to use this script to insert an ila, rename the file and remove the prefix "IG_" #
 #############################################################################################
diff --git a/scripts/helper/clear_filesets.tcl b/scripts/helper/clear_filesets.tcl
index 3a7e0372d30e17b247019e59edf29f0c1d5d8a4f..d544c4b4cdc292d0dc9ec9a76abc9dfec2dc5d30 100644
--- a/scripts/helper/clear_filesets.tcl
+++ b/scripts/helper/clear_filesets.tcl
@@ -1,3 +1,23 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Thei Wijnen
+#               mtrovato
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 set XCI_FILES ""
 set VHDL_FILES ""
 set VERILOG_FILES ""
diff --git a/scripts/helper/do_implementation_finish.tcl b/scripts/helper/do_implementation_finish.tcl
index c3ff961e6c80d82638c7e2dbdca8651cddf4fb93..4a6be9650246065cbc2751fce5b8b029efabf6eb 100644
--- a/scripts/helper/do_implementation_finish.tcl
+++ b/scripts/helper/do_implementation_finish.tcl
@@ -1,3 +1,31 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Andrea Borga
+#               Marius Wensing
+#               Israel Grayzman
+#               Enrico Gamberini
+#               RHabraken
+#               Mesfin Gebyehu
+#               William Wulff
+#               Elena Zhivun
+#               Rene
+#               Thei Wijnen
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #reset_run $IMPL_RUN
 launch_runs $IMPL_RUN -jobs $CORES
 #launch_runs $IMPL_RUN  -to_step write_bitstream
diff --git a/scripts/helper/do_implementation_post.tcl b/scripts/helper/do_implementation_post.tcl
index 050b9e5199613d390f80fa0d89a02d6a113ab3e6..3891ce6f5495b6aa016a2765a3b17a4b42dcdccd 100644
--- a/scripts/helper/do_implementation_post.tcl
+++ b/scripts/helper/do_implementation_post.tcl
@@ -1,3 +1,36 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Andrea Borga
+#               Marius Wensing
+#               Enrico Gamberini
+#               RHabraken
+#               Israel Grayzman
+#               Mesfin Gebyehu
+#               Kai Chen
+#               Shelfali Saxena
+#               William Wulff
+#               mtrovato
+#               Elena Zhivun
+#               Thei Wijnen
+#               Ricardo Luz
+#               Rene
+#               Ohad Shaked
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #file: do_implementation_post.tcl
 
 set GIT_HASH [exec git rev-parse HEAD]
diff --git a/scripts/helper/do_implementation_pre.tcl b/scripts/helper/do_implementation_pre.tcl
index f00e27d6a4e99d86950bf061379d20e138fe3a81..d0bc312b3cb7cf3fbecca1a8b75a22ccee4cb4b6 100644
--- a/scripts/helper/do_implementation_pre.tcl
+++ b/scripts/helper/do_implementation_pre.tcl
@@ -1,3 +1,24 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               William Wulff
+#               Elena Zhivun
+#               Thei Wijnen
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #do_implementation_pre.tcl
 #some defaults:
 
diff --git a/scripts/helper/questa_import_generic.tcl b/scripts/helper/questa_import_generic.tcl
index abc302b981e06232fbe7a23bf3e5aa4f9bcf5322..c3fcbabe7523d88c602440a36ec90a3e5847ce0b 100644
--- a/scripts/helper/questa_import_generic.tcl
+++ b/scripts/helper/questa_import_generic.tcl
@@ -1,3 +1,22 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Elena Zhivun
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 set scriptdir [pwd]
 set firmware_dir $scriptdir/../../
 
diff --git a/scripts/helper/sigasi_import_generic.tcl b/scripts/helper/sigasi_import_generic.tcl
index a2f1c9f7abf6ef6356c3e938aa4da28e7fe14b11..6f8d53678d4ca684a9661de3dc6c919ad12aa777 100644
--- a/scripts/helper/sigasi_import_generic.tcl
+++ b/scripts/helper/sigasi_import_generic.tcl
@@ -1,3 +1,22 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Mesfin Gebyehu
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 # Set the supportfiles directory path
 set scriptdir [pwd]
 #Download SigasiProjectCreator from https://github.com/sigasi/SigasiProjectCreator 
diff --git a/scripts/helper/vivado_import_generic.tcl b/scripts/helper/vivado_import_generic.tcl
index 1a89614bb2719714102551f0a48ae967d9c125ec..73eee539ff6d22a93d47700d07bc80eb5e3ca36a 100644
--- a/scripts/helper/vivado_import_generic.tcl
+++ b/scripts/helper/vivado_import_generic.tcl
@@ -1,3 +1,24 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Thei Wijnen
+#               mtrovato
+#               Frans Schreuder
+#               Ohad Shaked
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 # Set the supportfiles directory path
 set scriptdir [pwd]
 set firmware_dir $scriptdir/../../
diff --git a/scripts/helper/vivado_set_severity.tcl b/scripts/helper/vivado_set_severity.tcl
index 55d386d85af5e7dffffde95b41f44290fe03d3f6..25a6bc0a7015cfb7aca67068981fa4cce7b5547c 100644
--- a/scripts/helper/vivado_set_severity.tcl
+++ b/scripts/helper/vivado_set_severity.tcl
@@ -1,3 +1,22 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Thei Wijnen
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #Issue's that are rebranded
 
 #NULL port ignored
diff --git a/scripts/old_scripts/FELIX_top/FLX709_GBT_import_vivado.tcl b/scripts/old_scripts/FELIX_top/FLX709_GBT_import_vivado.tcl
index ad1157f97a290f4b8c22290e805ca44d9200322b..9e8ba4f808d8d6426df5839d9170b6c214c1d2bc 100644
--- a/scripts/old_scripts/FELIX_top/FLX709_GBT_import_vivado.tcl
+++ b/scripts/old_scripts/FELIX_top/FLX709_GBT_import_vivado.tcl
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #
 #	File import script for the FELIX hdl project
 #
diff --git a/scripts/old_scripts/FELIX_top/FLX711_GBT_import_vivado.tcl b/scripts/old_scripts/FELIX_top/FLX711_GBT_import_vivado.tcl
index c088074ebe2e9c672be5efe60a98bac9d5d19eb9..958e00d8514066c345e40388fa9767a2b4945dac 100644
--- a/scripts/old_scripts/FELIX_top/FLX711_GBT_import_vivado.tcl
+++ b/scripts/old_scripts/FELIX_top/FLX711_GBT_import_vivado.tcl
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #
 #  File import script for the FELIX hdl Vivado project
 #  Board: BNL711
diff --git a/scripts/old_scripts/FELIX_top/FLX712_GBT_import_vivado.tcl b/scripts/old_scripts/FELIX_top/FLX712_GBT_import_vivado.tcl
index cf25614c3d9d948f10247c0159cabb5b5b9760f0..4b2fc12d9b6ff51faf6f32aa9dfb6e22bdf6c61b 100644
--- a/scripts/old_scripts/FELIX_top/FLX712_GBT_import_vivado.tcl
+++ b/scripts/old_scripts/FELIX_top/FLX712_GBT_import_vivado.tcl
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #
 #  File import script for the FELIX hdl Vivado project
 #  Board: BNL712
diff --git a/scripts/old_scripts/FELIX_top/daily.sh b/scripts/old_scripts/FELIX_top/daily.sh
index 3225809f7e146fe39976a1ddb6643086f6e385f6..2df6120c804dd5856b071b26efebda1b265430d7 100755
--- a/scripts/old_scripts/FELIX_top/daily.sh
+++ b/scripts/old_scripts/FELIX_top/daily.sh
@@ -1,4 +1,22 @@
 #!/bin/bash
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               RHabraken
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 git pull origin master
 GITVERSION=$(git describe --tags)
 if [ -f "lastbuilt.txt" ]
diff --git a/scripts/old_scripts/FELIX_top/dailycleanup.sh b/scripts/old_scripts/FELIX_top/dailycleanup.sh
index 10d28365d11bc6d9808fe55e4b8ff6c33b7b7fce..76c59093b52c623b5d5c56ae2b68e47a855c0741 100755
--- a/scripts/old_scripts/FELIX_top/dailycleanup.sh
+++ b/scripts/old_scripts/FELIX_top/dailycleanup.sh
@@ -1,2 +1,19 @@
 #!/bin/bash
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 find /mnt/atlas-felix/Shared\ Documents/DailyBitfiles/ -type f -mtime +15 -print | xargs -I {} mv {} /afs/cern.ch/work/f/fschreud/public/felix_bitfiles/
diff --git a/scripts/old_scripts/FELIX_top/do_implementation_BNL711.tcl b/scripts/old_scripts/FELIX_top/do_implementation_BNL711.tcl
index 26260fa8cb137e8b23dce94df693121457388e49..b07f6915dd50335417776ebfda62811edf0fd209 100644
--- a/scripts/old_scripts/FELIX_top/do_implementation_BNL711.tcl
+++ b/scripts/old_scripts/FELIX_top/do_implementation_BNL711.tcl
@@ -1,3 +1,25 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Andrea Borga
+#               Kai Chen
+#               Israel Grayzman
+#               RHabraken
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 source ../helper/do_implementation_pre.tcl
 #### When different GTH IP are reused for multiple, conflict may happen and cause pin mapping in .xdc is not followed. So disable GTH IP inside LOC
 #if {[get_property is_enabled [get_files  ${HDLDIR}/Projects/felix_top_ultrascale/felix_top_ultrascale.srcs/sources_1/ip/KCU_NORXBUF_PCS_CPLL_1CH/synth/KCU_NORXBUF_PCS_CPLL_1CH.xdc]]} {
diff --git a/scripts/old_scripts/FELIX_top/do_implementation_BNL711_8CH.tcl b/scripts/old_scripts/FELIX_top/do_implementation_BNL711_8CH.tcl
index 6ad1b32b15de07ab752098536b26d7ec49d2bc60..604a2bed5cb68cb4062cdc62e19f970bf3224baf 100644
--- a/scripts/old_scripts/FELIX_top/do_implementation_BNL711_8CH.tcl
+++ b/scripts/old_scripts/FELIX_top/do_implementation_BNL711_8CH.tcl
@@ -1,3 +1,24 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Andrea Borga
+#               Israel Grayzman
+#               Kai Chen
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 source ../helper/do_implementation_pre.tcl
 #### When different GTH IP are reused for multiple, conflict may happen and cause pin mapping in .xdc is not followed. So disable GTH IP inside LOC
 #if {[get_property is_enabled [get_files  ${HDLDIR}/Projects/felix_top_ultrascale/felix_top_ultrascale.srcs/sources_1/ip/KCU_NORXBUF_PCS_CPLL_1CH/synth/KCU_NORXBUF_PCS_CPLL_1CH.xdc]]} {
diff --git a/scripts/old_scripts/FELIX_top/do_implementation_BNL712.tcl b/scripts/old_scripts/FELIX_top/do_implementation_BNL712.tcl
index fa2d24559cc48e5eb539629fc1b0e4c0197111a3..c650831012da2c5e39952ac54bfa8b0b81a63fd7 100644
--- a/scripts/old_scripts/FELIX_top/do_implementation_BNL712.tcl
+++ b/scripts/old_scripts/FELIX_top/do_implementation_BNL712.tcl
@@ -1,3 +1,26 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Andrea Borga
+#               Kai Chen
+#               Weihao Wu
+#               RHabraken
+#               Israel Grayzman
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 source ../helper/do_implementation_pre.tcl
 #### When different GTH IP are reused for multiple, conflict may happen and cause pin mapping in .xdc is not followed. So disable GTH IP inside LOC
 #if {[get_property is_enabled [get_files  ${HDLDIR}/Projects/felix_top_ultrascale/felix_top_ultrascale.srcs/sources_1/ip/KCU_NORXBUF_PCS_CPLL_1CH/synth/KCU_NORXBUF_PCS_CPLL_1CH.xdc]]} {
diff --git a/scripts/old_scripts/FELIX_top/do_implementation_BNL712_8CH.tcl b/scripts/old_scripts/FELIX_top/do_implementation_BNL712_8CH.tcl
index b62b8c8bad3c76e08f5d439ad178c5861c91f45a..e601752514e26fc0395e52936884951a09ce5326 100644
--- a/scripts/old_scripts/FELIX_top/do_implementation_BNL712_8CH.tcl
+++ b/scripts/old_scripts/FELIX_top/do_implementation_BNL712_8CH.tcl
@@ -1,3 +1,25 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Andrea Borga
+#               Israel Grayzman
+#               Kai Chen
+#               RHabraken
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 source ../helper/do_implementation_pre.tcl
 #### When different GTH IP are reused for multiple, conflict may happen and cause pin mapping in .xdc is not followed. So disable GTH IP inside LOC
 #if {[get_property is_enabled [get_files  ${HDLDIR}/Projects/felix_top_ultrascale/felix_top_ultrascale.srcs/sources_1/ip/KCU_NORXBUF_PCS_CPLL_1CH/synth/KCU_NORXBUF_PCS_CPLL_1CH.xdc]]} {
diff --git a/scripts/old_scripts/FELIX_top/do_implementation_HTG710.tcl b/scripts/old_scripts/FELIX_top/do_implementation_HTG710.tcl
index a2c0fdb9d87b8de4961fda19c4bc6ec4d280f09f..e0995e9eede02218d93d5e2124cebfa1f34a15ca 100644
--- a/scripts/old_scripts/FELIX_top/do_implementation_HTG710.tcl
+++ b/scripts/old_scripts/FELIX_top/do_implementation_HTG710.tcl
@@ -1,3 +1,22 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Andrea Borga
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 source ../helper/do_implementation_pre.tcl
 ## General settings
 # -- set to true in order to generate the GBT links
diff --git a/scripts/old_scripts/FELIX_top/do_implementation_VC709.tcl b/scripts/old_scripts/FELIX_top/do_implementation_VC709.tcl
index f9eca4e8d9388437ddb0279c3631ea20af6d2c74..a2246d67652cd74067dffa30a77cf3ecdce02560 100644
--- a/scripts/old_scripts/FELIX_top/do_implementation_VC709.tcl
+++ b/scripts/old_scripts/FELIX_top/do_implementation_VC709.tcl
@@ -1,3 +1,25 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Andrea Borga
+#               Soo Ryu
+#               RHabraken
+#               Israel Grayzman
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 source ../helper/do_implementation_pre.tcl
 ## General settings
 # -- set to true in order to generate the GBT links
diff --git a/scripts/old_scripts/MROD_top/do_implementation_BNL711.tcl b/scripts/old_scripts/MROD_top/do_implementation_BNL711.tcl
index 62202c21d0342b0f47d25a701b3c92a82a1c7102..c182cb695fda3d9218b92176ddda53a611633529 100644
--- a/scripts/old_scripts/MROD_top/do_implementation_BNL711.tcl
+++ b/scripts/old_scripts/MROD_top/do_implementation_BNL711.tcl
@@ -1,3 +1,28 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Kai Chen
+#               Weihao Wu
+#               Andrea Borga
+#               Frans Schreuder
+#               Mesfin Gebyehu
+#               Enrico Gamberini
+#               RHabraken
+#               Thei Wijnen
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 source ../helper/do_implementation_pre.tcl
 #these cores have some internal constraints that are wrong, so we disable them if not already disabled and then launch their synthesis runs
 #if {[get_property is_enabled [get_files  ${HDLDIR}/Projects/felix_fullmode_top_ultrascale/felix_fullmode_top_ultrascale.srcs/sources_1/ip/pcie3_ultrascale_7038/ip_0/synth/pcie3_ultrascale_7038_gt.xdc]]} {
diff --git a/scripts/old_scripts/MROD_top/do_implementation_BNL712.tcl b/scripts/old_scripts/MROD_top/do_implementation_BNL712.tcl
index 0466922d899541f382ff169078f84c97abf635d8..ad4cf445c1deb9764c9c0e72b86b18e83f91d5fa 100644
--- a/scripts/old_scripts/MROD_top/do_implementation_BNL712.tcl
+++ b/scripts/old_scripts/MROD_top/do_implementation_BNL712.tcl
@@ -1,3 +1,27 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Kai Chen
+#               Weihao Wu
+#               Andrea Borga
+#               Frans Schreuder
+#               Enrico Gamberini
+#               RHabraken
+#               Thei Wijnen
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 source ../helper/do_implementation_pre.tcl
 #these cores have some internal constraints that are wrong, so we disable them if not already disabled and then launch their synthesis runs
 #if {[get_property is_enabled [get_files  ${HDLDIR}/Projects/felix_fullmode_top_ultrascale/felix_fullmode_top_ultrascale.srcs/sources_1/ip/pcie3_ultrascale_7038/ip_0/synth/pcie3_ultrascale_7038_gt.xdc]]} {
diff --git a/scripts/old_scripts/MROD_top/do_implementation_mrod_bnl711.tcl b/scripts/old_scripts/MROD_top/do_implementation_mrod_bnl711.tcl
index 73cb3d41d3433ebfe0ec8346f820a9f59899d120..51772717a341027f4332ade817093e01ccb1a3d2 100644
--- a/scripts/old_scripts/MROD_top/do_implementation_mrod_bnl711.tcl
+++ b/scripts/old_scripts/MROD_top/do_implementation_mrod_bnl711.tcl
@@ -1,3 +1,28 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Kai Chen
+#               Weihao Wu
+#               Andrea Borga
+#               Frans Schreuder
+#               Mesfin Gebyehu
+#               Enrico Gamberini
+#               RHabraken
+#               Thei Wijnen
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 # file: do_implementation_mrod_bnl711.tcl
 #
 #       Implementation script for the FELIX VHDL project
diff --git a/scripts/old_scripts/MROD_top/do_implementation_mrod_bnl712.tcl b/scripts/old_scripts/MROD_top/do_implementation_mrod_bnl712.tcl
index efed5ceba39c117c9e7298fbfe86020de0fba6c4..59426a470312f00a8baed3d44697a1a147511f50 100644
--- a/scripts/old_scripts/MROD_top/do_implementation_mrod_bnl712.tcl
+++ b/scripts/old_scripts/MROD_top/do_implementation_mrod_bnl712.tcl
@@ -1,3 +1,29 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Kai Chen
+#               Weihao Wu
+#               Andrea Borga
+#               Frans Schreuder
+#               Mesfin Gebyehu
+#               Enrico Gamberini
+#               RHabraken
+#               Rene
+#               Thei Wijnen
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 # file: do_implementation_mrod_bnl712.tcl
 #
 #       Implementation script for the FELIX VHDL project
diff --git a/scripts/old_scripts/MROD_top/source_import_crfm_felixmrod.tcl b/scripts/old_scripts/MROD_top/source_import_crfm_felixmrod.tcl
index 2853b94574b5169e096a202a323bd34e847fe54b..79188c8f979780c0c91cd17e54072b9150552053 100644
--- a/scripts/old_scripts/MROD_top/source_import_crfm_felixmrod.tcl
+++ b/scripts/old_scripts/MROD_top/source_import_crfm_felixmrod.tcl
@@ -1,3 +1,25 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Julia Narevicius
+#               Enrico Gamberini
+#               Frans Schreuder
+#               Rene
+#               Thei Wijnen
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #
 #
 puts "INFO: Reading and importing centralRouterFullMode sources..."
diff --git a/scripts/old_scripts/MROD_top/source_import_fmwrapper_core_bnl711.tcl b/scripts/old_scripts/MROD_top/source_import_fmwrapper_core_bnl711.tcl
index bc3474c32ec19c146c3471740ecbb247bf87a1e1..c76fa00c00c39157e17b439beb3c15b118d413ea 100644
--- a/scripts/old_scripts/MROD_top/source_import_fmwrapper_core_bnl711.tcl
+++ b/scripts/old_scripts/MROD_top/source_import_fmwrapper_core_bnl711.tcl
@@ -1,3 +1,26 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Julia Narevicius
+#               Kai Chen
+#               Andrea Borga
+#               Frans Schreuder
+#               RHabraken
+#               Thei Wijnen
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #
 #   File import script for the FELIX HDL project
 #   imports files for the GBT core
diff --git a/scripts/old_scripts/MROD_top/vivado_implement_mrod_bnl712.tcl b/scripts/old_scripts/MROD_top/vivado_implement_mrod_bnl712.tcl
index 81dbe10ecc84dcb6a07032097bbf9a4b4cd0eb17..901a8aaf56fc2ca3ea85164bbf36cf1bc0f26cc8 100644
--- a/scripts/old_scripts/MROD_top/vivado_implement_mrod_bnl712.tcl
+++ b/scripts/old_scripts/MROD_top/vivado_implement_mrod_bnl712.tcl
@@ -1,3 +1,28 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Kai Chen
+#               Weihao Wu
+#               Andrea Borga
+#               Frans Schreuder
+#               Mesfin Gebyehu
+#               Enrico Gamberini
+#               RHabraken
+#               Thei Wijnen
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 # file: do_implementation_mrod_bnl712.tcl
 #
 #       Implementation script for the FELIX VHDL project
diff --git a/scripts/old_scripts/MROD_top/vivado_import_mrod_bnl711.tcl b/scripts/old_scripts/MROD_top/vivado_import_mrod_bnl711.tcl
index cca4f8f3438e39bbf8470e7e0dd3a87cc3cdcb45..9f3663e9b9c7c96e54152fcd15c5601569d0eae9 100644
--- a/scripts/old_scripts/MROD_top/vivado_import_mrod_bnl711.tcl
+++ b/scripts/old_scripts/MROD_top/vivado_import_mrod_bnl711.tcl
@@ -1,3 +1,29 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Andrea Borga
+#               Julia Narevicius
+#               Kai Chen
+#               Weihao Wu
+#               Frans Schreuder
+#               Enrico Gamberini
+#               RHabraken
+#               Rene
+#               Thei Wijnen
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 # file: vivado_import_mrod_bnl711.tcl
 #
 #	File import script for the FELIX hdl project
diff --git a/scripts/old_scripts/MROD_top/vivado_import_mrod_bnl712.tcl b/scripts/old_scripts/MROD_top/vivado_import_mrod_bnl712.tcl
index ed03e0edf1c0c6bbcfba38144c4882ab6f970bac..8ff33e233deae08dafbc312558ec52fe0faf8822 100644
--- a/scripts/old_scripts/MROD_top/vivado_import_mrod_bnl712.tcl
+++ b/scripts/old_scripts/MROD_top/vivado_import_mrod_bnl712.tcl
@@ -1,3 +1,29 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Andrea Borga
+#               Julia Narevicius
+#               Kai Chen
+#               Weihao Wu
+#               Frans Schreuder
+#               Enrico Gamberini
+#               RHabraken
+#               Rene
+#               Thei Wijnen
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 # file: vivado_import_mrod_bnl712.tcl
 #
 #	File import script for the FELIX hdl project
diff --git a/scripts/old_scripts/MROD_top/wvivado_implement_mrod_bnl712.tcl b/scripts/old_scripts/MROD_top/wvivado_implement_mrod_bnl712.tcl
index 09b386eac011ec3e8228935d6baf9e83de8ddca9..a226b7976fbda9f79f51beed778df47f44506e91 100644
--- a/scripts/old_scripts/MROD_top/wvivado_implement_mrod_bnl712.tcl
+++ b/scripts/old_scripts/MROD_top/wvivado_implement_mrod_bnl712.tcl
@@ -1,3 +1,29 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Kai Chen
+#               Weihao Wu
+#               Andrea Borga
+#               Frans Schreuder
+#               Mesfin Gebyehu
+#               Enrico Gamberini
+#               RHabraken
+#               Rene
+#               Thei Wijnen
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 # file: do_implementation_mrod_bnl712.tcl
 #
 #       Implementation script for the FELIX VHDL project
diff --git a/scripts/old_scripts/MROD_top/wvivado_import_mrod_bnl712.tcl b/scripts/old_scripts/MROD_top/wvivado_import_mrod_bnl712.tcl
index c65b542ce12eced07957a619b2d045cf91e054a1..1760033a33a210619b38f60176a676de35dca38b 100644
--- a/scripts/old_scripts/MROD_top/wvivado_import_mrod_bnl712.tcl
+++ b/scripts/old_scripts/MROD_top/wvivado_import_mrod_bnl712.tcl
@@ -1,3 +1,29 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Andrea Borga
+#               Julia Narevicius
+#               Kai Chen
+#               Weihao Wu
+#               Frans Schreuder
+#               Enrico Gamberini
+#               RHabraken
+#               Rene
+#               Thei Wijnen
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 # file: vivado_import_mrod_bnl712.tcl
 #
 #	File import script for the FELIX hdl project
diff --git a/scripts/old_scripts/OpenCores/compare_opencores.sh b/scripts/old_scripts/OpenCores/compare_opencores.sh
index a224a04846ebed87cefad04f2d0c923b4730eb07..61309bebbafd8044ceafbda0a80c2f4c54fa34aa 100755
--- a/scripts/old_scripts/OpenCores/compare_opencores.sh
+++ b/scripts/old_scripts/OpenCores/compare_opencores.sh
@@ -1,4 +1,22 @@
 #!/bin/bash
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               RHabraken
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 SAVEDIR=$(pwd)
 source ./oc_dir
 
diff --git a/scripts/old_scripts/Wupper/do_implementation_HTG710.tcl b/scripts/old_scripts/Wupper/do_implementation_HTG710.tcl
index 9b2cdd5dc3ef1e37d153501b3286e02896b863fd..0377b2d2c0a5fca9a53c3e51d1e650ce4275f19b 100644
--- a/scripts/old_scripts/Wupper/do_implementation_HTG710.tcl
+++ b/scripts/old_scripts/Wupper/do_implementation_HTG710.tcl
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 set IMPL_RUN [get_runs impl*]
 set SYNTH_RUN [get_runs synth*]
 set PROJECT_NAME "pcie_dma_top_HTG"
diff --git a/scripts/old_scripts/Wupper/do_implementation_VC709.tcl b/scripts/old_scripts/Wupper/do_implementation_VC709.tcl
index d99f4839a178cba1d26d8b76439c077ca54e54c3..d864fb936ab3473a3744ca6e061f466ba9071d60 100644
--- a/scripts/old_scripts/Wupper/do_implementation_VC709.tcl
+++ b/scripts/old_scripts/Wupper/do_implementation_VC709.tcl
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 set IMPL_RUN [get_runs impl*]
 set SYNTH_RUN [get_runs synth*]
 set PROJECT_NAME "pcie_dma_top_VC709"
diff --git a/scripts/old_scripts/Wupper/vivado_import.tcl b/scripts/old_scripts/Wupper/vivado_import.tcl
index 8d3c37f323bfc44c7b6d97c1f39944127d0a8c2c..37d85a677ebebd3a76ffbc0de4071e08c7b13159 100644
--- a/scripts/old_scripts/Wupper/vivado_import.tcl
+++ b/scripts/old_scripts/Wupper/vivado_import.tcl
@@ -1,3 +1,22 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               RHabraken
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #
 #	File import script for the FELIX hdl project
 #
diff --git a/scripts/old_scripts/centralRouter/IG_source_import_cr.tcl b/scripts/old_scripts/centralRouter/IG_source_import_cr.tcl
index 65617a988c4519301f50a68263f1c2e7a6b4c8b6..e604d475abc2bb0b887a5d38d40c8a5785bc40c2 100644
--- a/scripts/old_scripts/centralRouter/IG_source_import_cr.tcl
+++ b/scripts/old_scripts/centralRouter/IG_source_import_cr.tcl
@@ -1,3 +1,22 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Israel Grayzman
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #
 #
 puts "INFO: Reading and importing centralRouter sources..."
diff --git a/scripts/old_scripts/centralRouter/do_implementation.tcl b/scripts/old_scripts/centralRouter/do_implementation.tcl
index 278670f853224974096777e5f7c7975dbfba0828..b81c76407f258509b8187e03db043614ddfb54be 100644
--- a/scripts/old_scripts/centralRouter/do_implementation.tcl
+++ b/scripts/old_scripts/centralRouter/do_implementation.tcl
@@ -1,3 +1,22 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Julia Narevicius
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 # ----------------------------------------------------------
 #
 #          PROJECT IMPLEMENTATION SCRIPT
diff --git a/scripts/old_scripts/centralRouter/eproc_downgrade.tcl b/scripts/old_scripts/centralRouter/eproc_downgrade.tcl
index bea93472343589f9e6cf24a6687a156a1f7b4052..f1f74bb3ef429c3c9427f4d772fd717000e6d8bb 100644
--- a/scripts/old_scripts/centralRouter/eproc_downgrade.tcl
+++ b/scripts/old_scripts/centralRouter/eproc_downgrade.tcl
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 # Set the supportfiles directory path
 set scriptdir [pwd]
 set firmware_dir $scriptdir/../../
diff --git a/scripts/old_scripts/centralRouter/eproc_upgrade.tcl b/scripts/old_scripts/centralRouter/eproc_upgrade.tcl
index 258c65ccff4a76da8c82fced45a3126a9a85f747..ed68aed1ab38a549afe59870f5352cd7787b0235 100644
--- a/scripts/old_scripts/centralRouter/eproc_upgrade.tcl
+++ b/scripts/old_scripts/centralRouter/eproc_upgrade.tcl
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 # Set the supportfiles directory path
 set scriptdir [pwd]
 set firmware_dir $scriptdir/../../
diff --git a/scripts/old_scripts/centralRouter/source_import_cr.tcl b/scripts/old_scripts/centralRouter/source_import_cr.tcl
index 84961aa7d24768d363d64d7a5cf41dac4dba002f..1446e93c0e163d8bd12577a735ea8b3a28dd0f55 100644
--- a/scripts/old_scripts/centralRouter/source_import_cr.tcl
+++ b/scripts/old_scripts/centralRouter/source_import_cr.tcl
@@ -1,3 +1,23 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Julia Narevicius
+#               Israel Grayzman
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #
 #
 puts "INFO: Reading and importing centralRouter sources..."
diff --git a/scripts/old_scripts/centralRouter/source_import_cr_bnl711.tcl b/scripts/old_scripts/centralRouter/source_import_cr_bnl711.tcl
index 1a3fe72268b322a066fe7aa4c48e3bd81deb1772..5129b69152ce4c8ba721fd1204ed3b1c7673c062 100644
--- a/scripts/old_scripts/centralRouter/source_import_cr_bnl711.tcl
+++ b/scripts/old_scripts/centralRouter/source_import_cr_bnl711.tcl
@@ -1,3 +1,23 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Julia Narevicius
+#               Israel Grayzman
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #
 #
 puts "INFO: Reading and importing centralRouter sources..."
diff --git a/scripts/old_scripts/centralRouter/source_import_cr_files.tcl b/scripts/old_scripts/centralRouter/source_import_cr_files.tcl
index 804578926275b878374e9e3dd559c60b0929dfc3..f32984aa6403a9e925d6b974cfb6721b07066446 100644
--- a/scripts/old_scripts/centralRouter/source_import_cr_files.tcl
+++ b/scripts/old_scripts/centralRouter/source_import_cr_files.tcl
@@ -1,3 +1,23 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Julia Narevicius
+#               Israel Grayzman
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 read_vhdl -library work $proj_sources_dir/8b10_dec.vhd
 read_vhdl -library work $proj_sources_dir/8b10_dec_wrap.vhd
 read_vhdl -library work $proj_sources_dir/BLOCK_WORD_COUNTER.vhd
diff --git a/scripts/old_scripts/centralRouter/source_import_emu.tcl b/scripts/old_scripts/centralRouter/source_import_emu.tcl
index 5baf94a008632af98c218e58a6d1802eb9bf7a30..cc0c8742688b781a9791f626c2c30866f4af2873 100644
--- a/scripts/old_scripts/centralRouter/source_import_emu.tcl
+++ b/scripts/old_scripts/centralRouter/source_import_emu.tcl
@@ -1,3 +1,22 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Julia Narevicius
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #
 #
 puts "INFO: Reading and importing GBTlinksDataEmulator sources..."
diff --git a/scripts/old_scripts/centralRouter/vivado_import_felix.tcl b/scripts/old_scripts/centralRouter/vivado_import_felix.tcl
index f9b97ca3981e871183ea43867ae9a8c92eb89272..d41d910878bdce03b0a5f77784627388d32de55b 100644
--- a/scripts/old_scripts/centralRouter/vivado_import_felix.tcl
+++ b/scripts/old_scripts/centralRouter/vivado_import_felix.tcl
@@ -1,3 +1,23 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Julia Narevicius
+#               Israel Grayzman
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #
 #	File import script for the FELIX hdl project
 #   generates project for stand alone centralRouter 
diff --git a/scripts/old_scripts/centralRouterFullMode/source_import_crfm.tcl b/scripts/old_scripts/centralRouterFullMode/source_import_crfm.tcl
index de8913889393b15ae1d2d671060919662c533447..c0284a646b844c440e21cab17445f5cb198633e6 100644
--- a/scripts/old_scripts/centralRouterFullMode/source_import_crfm.tcl
+++ b/scripts/old_scripts/centralRouterFullMode/source_import_crfm.tcl
@@ -1,3 +1,24 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Julia Narevicius
+#               Enrico Gamberini
+#               Frans Schreuder
+#               Filiberto Bonini
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #
 #
 puts "INFO: Reading and importing centralRouterFullMode sources..."
diff --git a/scripts/old_scripts/centralRouterFullMode/source_import_fmemu.tcl b/scripts/old_scripts/centralRouterFullMode/source_import_fmemu.tcl
index 969758f506d6721e8bd29da44dd4f576fe9f83c8..c5379c3358baee7844858f6c26dce1cf426072f0 100644
--- a/scripts/old_scripts/centralRouterFullMode/source_import_fmemu.tcl
+++ b/scripts/old_scripts/centralRouterFullMode/source_import_fmemu.tcl
@@ -1,3 +1,22 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Julia Narevicius
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #
 #
 puts "INFO: Reading and importing FullModeDataEmulator sources..."
diff --git a/scripts/old_scripts/centralRouterFullMode/vivado_import_felix.tcl b/scripts/old_scripts/centralRouterFullMode/vivado_import_felix.tcl
index 0640c40362590f46145ea22ecace7a861a004872..1ea76c32bb5bc67b2f9e7137ff526e067db892aa 100644
--- a/scripts/old_scripts/centralRouterFullMode/vivado_import_felix.tcl
+++ b/scripts/old_scripts/centralRouterFullMode/vivado_import_felix.tcl
@@ -1,3 +1,22 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Julia Narevicius
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #
 #	File import script for the FELIX hdl project
 #   generates project for stand alone centralRouter in Full Mode <------------- !!
diff --git a/scripts/old_scripts/gbt_top/source_import_gbt_core.tcl b/scripts/old_scripts/gbt_top/source_import_gbt_core.tcl
index 3715220bc4daf8446e3e202b435601baf91342e6..4076ffcfb8e4e43acead334d4aac26388cfa73a8 100644
--- a/scripts/old_scripts/gbt_top/source_import_gbt_core.tcl
+++ b/scripts/old_scripts/gbt_top/source_import_gbt_core.tcl
@@ -1,3 +1,23 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Kai Chen
+#               Weihao Wu
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #
 #   File import script for the FELIX HDL project
 #   imports files for the GBT core
diff --git a/scripts/old_scripts/gbt_top/source_import_gbt_core_bnl711.tcl b/scripts/old_scripts/gbt_top/source_import_gbt_core_bnl711.tcl
index 9471eb689a740b67123285e803586e1af5db0805..794b1c484bd4ac7aacc2dbe819b8bb51bc86c81e 100644
--- a/scripts/old_scripts/gbt_top/source_import_gbt_core_bnl711.tcl
+++ b/scripts/old_scripts/gbt_top/source_import_gbt_core_bnl711.tcl
@@ -1,3 +1,23 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Julia Narevicius
+#               Kai Chen
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #
 #   File import script for the FELIX HDL project
 #   imports files for the GBT core
diff --git a/scripts/old_scripts/gbt_top/source_import_gbt_core_fullmode.tcl b/scripts/old_scripts/gbt_top/source_import_gbt_core_fullmode.tcl
index 6c1b77cb6e53536047f2a7e7f015fd0b2cd0d446..03e569ce8ba48e088812e881c0513034c4e8c1e4 100644
--- a/scripts/old_scripts/gbt_top/source_import_gbt_core_fullmode.tcl
+++ b/scripts/old_scripts/gbt_top/source_import_gbt_core_fullmode.tcl
@@ -1,3 +1,24 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Kai Chen
+#               Weihao Wu
+#               Andrea Borga
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #
 #   File import script for the FELIX HDL project
 #   imports files for the GBT core
diff --git a/scripts/old_scripts/gbt_top/source_import_gbt_core_fullmode_bnl711.tcl b/scripts/old_scripts/gbt_top/source_import_gbt_core_fullmode_bnl711.tcl
index 11f302c9ca590035660f50900f1e0f7c643b2c7f..ce84aff38df112f87faa26b6c60399c639f1a223 100644
--- a/scripts/old_scripts/gbt_top/source_import_gbt_core_fullmode_bnl711.tcl
+++ b/scripts/old_scripts/gbt_top/source_import_gbt_core_fullmode_bnl711.tcl
@@ -1,3 +1,24 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Kai Chen
+#               Andrea Borga
+#               Weihao Wu
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #
 #   File import script for the FELIX HDL project
 #   imports files for the GBT core
diff --git a/scripts/old_scripts/gbt_top/source_import_gbt_core_low_latency_version.tcl b/scripts/old_scripts/gbt_top/source_import_gbt_core_low_latency_version.tcl
index 0d96b1acf1bf82fd09205a405b370c038a4389cd..7efe041d5f4d33f99cb080d4d3c074a467a094ec 100644
--- a/scripts/old_scripts/gbt_top/source_import_gbt_core_low_latency_version.tcl
+++ b/scripts/old_scripts/gbt_top/source_import_gbt_core_low_latency_version.tcl
@@ -1,3 +1,22 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Kai Chen
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #
 #   File import script for the FELIX HDL project
 #   imports files for the GBT core
diff --git a/scripts/old_scripts/ttc_top/source_import_ttc_decoder.tcl b/scripts/old_scripts/ttc_top/source_import_ttc_decoder.tcl
index 179d3c6dd508eebea77f6becfc746ff153be0a0c..2ccc0a76b39dfae1ee6f14ffbc518efeff478e99 100644
--- a/scripts/old_scripts/ttc_top/source_import_ttc_decoder.tcl
+++ b/scripts/old_scripts/ttc_top/source_import_ttc_decoder.tcl
@@ -1,3 +1,23 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Soo Ryu
+#               Alexander Paramonov
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #
 # Vivado (TM) v2014.4 (64-bit)
 #
diff --git a/scripts/old_scripts/ttc_top/vivado_import_felix.tcl b/scripts/old_scripts/ttc_top/vivado_import_felix.tcl
index ef54dd6ee4cc40a809acda677a419b33c5f6aa4a..b732ab598753890265b4e0eb8640167d0b26afc5 100644
--- a/scripts/old_scripts/ttc_top/vivado_import_felix.tcl
+++ b/scripts/old_scripts/ttc_top/vivado_import_felix.tcl
@@ -1,3 +1,22 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Soo Ryu
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #
 # Vivado (TM) v2014.4 (64-bit)
 #
diff --git a/simulation/CRFM/backpressure.do b/simulation/CRFM/backpressure.do
index 24bcc962aaa265474f0f98c6c69142a700a42c5a..88ecada1eec09abf85ebc987000976f9444dc5f3 100644
--- a/simulation/CRFM/backpressure.do
+++ b/simulation/CRFM/backpressure.do
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 run 10 us
 force -freeze sim:/crfm_toptest/th_xoff_i 1 0
 run 179 us
diff --git a/simulation/CRFM/project.do b/simulation/CRFM/project.do
index 8f5bad8aecd9b4f4de7edaad787e5fd915af7d88..52497ae43baad9e5a2fa4d2159b384520469e4da 100644
--- a/simulation/CRFM/project.do
+++ b/simulation/CRFM/project.do
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 set projectEnv [project env]
 if { [string length $projectEnv]==0} {
 	puts "no project open"
diff --git a/simulation/CRFM/start.do b/simulation/CRFM/start.do
index 52433448071c994441fb86103a1e844c8bedefc2..a847d1c1ac4e97fe970ed3777c81ed56f63223c7 100644
--- a/simulation/CRFM/start.do
+++ b/simulation/CRFM/start.do
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 restart -force
 force -freeze sim:/crfm_toptest/clk40_in 1 0, 0 {12500 ps} -r 25ns
 force -freeze sim:/crfm_toptest/appreg_clk 1 0, 0 {12000 ps} -r 24ns
diff --git a/simulation/CRFM/wave.do b/simulation/CRFM/wave.do
index fec326d212e113c3e9970ff0834dc9a0696e55f1..a4dca8f8da4b06de1ec18c14325afd830ebfdfc3 100644
--- a/simulation/CRFM/wave.do
+++ b/simulation/CRFM/wave.do
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 onerror {resume}
 quietly WaveActivateNextPane {} 0
 add wave -noupdate /crfm_toptest/clk40_in
diff --git a/simulation/FELIX_Top/GBT_FULL_import_questa.tcl b/simulation/FELIX_Top/GBT_FULL_import_questa.tcl
index 35579635e5710429df8bf33f8f3c25867d870b69..c114d06b74568ddc7844839619204f081a40b7e7 100644
--- a/simulation/FELIX_Top/GBT_FULL_import_questa.tcl
+++ b/simulation/FELIX_Top/GBT_FULL_import_questa.tcl
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 source ../../scripts/helper/clear_filesets.tcl
 
 set XIL_PROJECTS "FLX712_FULLMODE FLX712_GBT"
diff --git a/simulation/FELIX_Top/VSim_Functional.tcl b/simulation/FELIX_Top/VSim_Functional.tcl
index a00a1e8d4e50e90ca59f569c9c811597a5c16363..123ebccf42ec1cb3cb0b64e7912b182d8ad52b1f 100755
--- a/simulation/FELIX_Top/VSim_Functional.tcl
+++ b/simulation/FELIX_Top/VSim_Functional.tcl
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 ### start simulation
 
 vsim -t ps -novopt +notimingchecks -L unisim work.felix_top
diff --git a/simulation/FELIX_Top/external_editor.tcl b/simulation/FELIX_Top/external_editor.tcl
index 2065f7f66c84b694fb42414e15cc9c24436748b0..7dff4974892ce3ba7d86e406db9457a5a44c5196 100644
--- a/simulation/FELIX_Top/external_editor.tcl
+++ b/simulation/FELIX_Top/external_editor.tcl
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
  proc external_editor {filename linenumber} {
    exec geany -l $linenumber $filename &
  }
diff --git a/simulation/FELIX_Top/project.do b/simulation/FELIX_Top/project.do
index 31e1c5af050c4ea80d8fdace4e85c5087683cad6..497f64f88521c6c63cde4030367d75c72d2ccd45 100644
--- a/simulation/FELIX_Top/project.do
+++ b/simulation/FELIX_Top/project.do
@@ -1,3 +1,22 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+#               RHabraken
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 set projectEnv [project env]
 if { [string length $projectEnv]==0} {
 	puts "no project open"
diff --git a/simulation/FELIX_Top/start.do b/simulation/FELIX_Top/start.do
index bef1c4c1f234638e3a9b7aa23d3170928dbd5574..c959b71f5e3bfcfa8ed471dde7a7336fe9b3ec94 100644
--- a/simulation/FELIX_Top/start.do
+++ b/simulation/FELIX_Top/start.do
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 ##################################################################
 ### Functions declaration
 ## -- start
diff --git a/simulation/FELIX_Top/wave.do b/simulation/FELIX_Top/wave.do
index 0a44dcee1251abe6b6a131b1b23ec50b8cbe85a5..3f9a7c9263e5730895928d7efc704f46febb7882 100644
--- a/simulation/FELIX_Top/wave.do
+++ b/simulation/FELIX_Top/wave.do
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 onerror {resume}
 quietly WaveActivateNextPane {} 0
 add wave -noupdate -expand -group fifoDriver /tb_centralrouter_top/uut/cr0/thFMdataManagers(0)/thFMdmN/chFIFOdriver/clk40
diff --git a/simulation/FMEmu/do_vivado_simulation.tcl b/simulation/FMEmu/do_vivado_simulation.tcl
index 68ebd66634bb422dceafb0dff48678973110d57a..ee24fb1ef01f24720819c14e7787e5ab0c6d091d 100755
--- a/simulation/FMEmu/do_vivado_simulation.tcl
+++ b/simulation/FMEmu/do_vivado_simulation.tcl
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Mesfin Gebyehu
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 save_wave_config {/data/et/mgebyehu/FMEmu_MRM4.5/firmware/Projects/FMEmu_BNL711/FMEmu_BNL711.sim/sim_1/FMEmu_top_bnl711_tb_behav.wcfg}
 close_sim -force
 launch_simulation
diff --git a/simulation/FMEmu/start2.do b/simulation/FMEmu/start2.do
index 80ea688b830147282efcd6d95cbfcc6b0e645213..8ada3ef9515c4f5ae821977b814e495183a9f5bd 100644
--- a/simulation/FMEmu/start2.do
+++ b/simulation/FMEmu/start2.do
@@ -1,4 +1,24 @@
 
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Rene Habraken
+#               RHabraken
+#               Mesfin Gebyehu
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
+
 ##################################################################
 ### Compile
 
diff --git a/simulation/FMEmu/wave2.do b/simulation/FMEmu/wave2.do
index 467e98ec83393a669a63c1529d890cc75987cf72..ea789958d4f6b2b6c8ecb2131085815edc919843 100644
--- a/simulation/FMEmu/wave2.do
+++ b/simulation/FMEmu/wave2.do
@@ -1,3 +1,23 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Rene Habraken
+#               RHabraken
+#               Mesfin Gebyehu
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 onerror {resume}
 quietly WaveActivateNextPane {} 0
 add wave -noupdate /felix_fullmode_top_tb/u7/RST
diff --git a/simulation/GBT/project.do b/simulation/GBT/project.do
index 880067089c613a505c653f49664702b901f5faa1..34eba7bf25512efc0ba15f36c763bf5572491931 100644
--- a/simulation/GBT/project.do
+++ b/simulation/GBT/project.do
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 project addfile ../../sources/GBT/gth_code/gth_usrclk_gen.vhd
 
 project addfile ../../sources/GBT/gth_code/cpll4p8g4ch/gth_quad_4p8g_cpll_manual_gt.vhd
diff --git a/simulation/MROD_top_sim/ForceSignals.tcl b/simulation/MROD_top_sim/ForceSignals.tcl
index 738d6330de7910cf5374a20b80fb209d1253471f..2eb1f245fefe32962979f6f392a2caa572dd95be 100644
--- a/simulation/MROD_top_sim/ForceSignals.tcl
+++ b/simulation/MROD_top_sim/ForceSignals.tcl
@@ -1,3 +1,22 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Rene
+#               Thei Wijnen
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 relaunch_sim
 
 add_force {/felix_mrod_top/u11/u10/TRXloopback} -radix bin {1 0ns}
diff --git a/simulation/TTC_emulator/force_on_signals.do b/simulation/TTC_emulator/force_on_signals.do
index 1d774ab5f52af3f10268fe911ec0f5e1594654f3..90614348b41d8fc84aaa73b82c174928f7d499c2 100644
--- a/simulation/TTC_emulator/force_on_signals.do
+++ b/simulation/TTC_emulator/force_on_signals.do
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Alessandra Camplani
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 force -freeze sim:/ttc_emulator_tb/dut/en 1 0
 
 force -freeze sim:/ttc_emulator_tb/dut/input_ecr_period 0 {0 ns}
diff --git a/simulation/TTC_emulator/force_on_signals_wrapper.do b/simulation/TTC_emulator/force_on_signals_wrapper.do
index ae8ffb0604334f05c7448fd09542f42a80acd172..f779d3c01a10296c0eadeda1916a9b66ce7b76fe 100644
--- a/simulation/TTC_emulator/force_on_signals_wrapper.do
+++ b/simulation/TTC_emulator/force_on_signals_wrapper.do
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Alessandra Camplani
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 force -freeze sim:/fmc_wrapper_tb_foremu/dut/TTCEmu/register_map_control.TTC_EMU.SEL 1'h1 0
 force -freeze sim:/fmc_wrapper_tb_foremu/dut/TTCEmu/register_map_control.TTC_EMU.ENA 1'h1 0
 
diff --git a/simulation/TTC_emulator/wave.do b/simulation/TTC_emulator/wave.do
index 5b0460d2e868ffcc82e90a1c570005af32f9dc0e..1a37b2b1d2ac105a1dbe3afc8049e508e20064f0 100644
--- a/simulation/TTC_emulator/wave.do
+++ b/simulation/TTC_emulator/wave.do
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Alessandra Camplani
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 onerror {resume}
 quietly WaveActivateNextPane {} 0
 add wave -noupdate /ttc_emulator_tb/Clock
diff --git a/simulation/TTC_emulator/wave_wrapper.do b/simulation/TTC_emulator/wave_wrapper.do
index 947ddcc439514a225587eaf9eb5c9f979bb06e63..c7b16a9cfb6dbd68d706c7e9fa064f6b99699f52 100644
--- a/simulation/TTC_emulator/wave_wrapper.do
+++ b/simulation/TTC_emulator/wave_wrapper.do
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Alessandra Camplani
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 onerror {resume}
 quietly WaveActivateNextPane {} 0
 add wave -noupdate /fmc_wrapper_tb_foremu/dut/TTCEmu/Clock
diff --git a/simulation/UVVMExample/FULLModeToHost_import_questa.tcl b/simulation/UVVMExample/FULLModeToHost_import_questa.tcl
index 3d8467d779e3ab09b6ceb7ba4c34e84543963230..21ba70b6cca3425de9eb7820639eda51d94a29aa 100644
--- a/simulation/UVVMExample/FULLModeToHost_import_questa.tcl
+++ b/simulation/UVVMExample/FULLModeToHost_import_questa.tcl
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 source ../../scripts/helper/clear_filesets.tcl
 
 set XIL_PROJECTS "FLX712_FULLMODE FLX712_GBT"
diff --git a/simulation/UVVMExample/GBT_FULL_import_questa.tcl b/simulation/UVVMExample/GBT_FULL_import_questa.tcl
index 740fcc6b2f384f74ca91876598d9eb6cb2dde0e3..6346df7127f28359ee478bdb4a45876274b4ad62 100644
--- a/simulation/UVVMExample/GBT_FULL_import_questa.tcl
+++ b/simulation/UVVMExample/GBT_FULL_import_questa.tcl
@@ -1,3 +1,22 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+#               Elena Zhivun
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 source ../../scripts/helper/clear_filesets.tcl
 
 set XIL_PROJECTS "FLX712_FULLMODE FLX712_GBT"
diff --git a/simulation/UVVMExample/Wupper_import_questa.tcl b/simulation/UVVMExample/Wupper_import_questa.tcl
index 794ffc4cf4f92f1992e3e88815540082d95c947f..37bd4a24935633080d6cd833b7fb0602ea42d8f2 100644
--- a/simulation/UVVMExample/Wupper_import_questa.tcl
+++ b/simulation/UVVMExample/Wupper_import_questa.tcl
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 source ../../scripts/helper/clear_filesets.tcl
 
 set XIL_PROJECTS "FLX712_FELIX"
diff --git a/simulation/UVVMExample/ci-FULLModeToHost.do b/simulation/UVVMExample/ci-FULLModeToHost.do
index 4be138c9277206c2a2cc98b715fc6bad26e93a81..7679f94de8f4259e59f944b0115c0a39e955906d 100644
--- a/simulation/UVVMExample/ci-FULLModeToHost.do
+++ b/simulation/UVVMExample/ci-FULLModeToHost.do
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 source ./FULLModeToHost_import_questa.tcl
 vsim -voptargs="+acc" work.FULLModeToHost_tb work.glbl
 add wave -group top -position insertpoint sim:/fullmodetohost_tb/*
diff --git a/simulation/UVVMExample/ci-Wupper.do b/simulation/UVVMExample/ci-Wupper.do
index 3a880c71830d2396127d0487c9acdee6d0a81951..ae6b5183d95f20e8d2cb5a4ae87de5e6d358f79d 100644
--- a/simulation/UVVMExample/ci-Wupper.do
+++ b/simulation/UVVMExample/ci-Wupper.do
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 source ./Wupper_import_questa.tcl
 vsim -t 1ps -voptargs="+acc" work.Wupper_tb  work.glbl
 run -all
diff --git a/simulation/UVVMExample/ci-centralRouter.do b/simulation/UVVMExample/ci-centralRouter.do
index ff653b5c0bdaf9259e8f487904fdc24905891cc2..e5ec56f78a0dad666ab17bc9a92c7c3abe454df2 100644
--- a/simulation/UVVMExample/ci-centralRouter.do
+++ b/simulation/UVVMExample/ci-centralRouter.do
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 source ./GBT_FULL_import_questa.tcl
 vsim -voptargs=+acc work.centralRouter_tb
 
diff --git a/simulation/UVVMExample/ci-crc20.do b/simulation/UVVMExample/ci-crc20.do
index 242e4afa4b8e147a7fa3eaee175624a687d9b78c..f02d412d549d554610b561b2c8801d6aaeced010 100644
--- a/simulation/UVVMExample/ci-crc20.do
+++ b/simulation/UVVMExample/ci-crc20.do
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 source ./GBT_FULL_import_questa.tcl
 vsim work.crc20_tb(func)
 run -all
diff --git a/simulation/UVVMExample/ci-egroup.do b/simulation/UVVMExample/ci-egroup.do
index 0b04bc72459be0ea988f82aa0dbb51e8fbc2f3a1..ce9808b691082761b4b377a5d234936a2b0531da 100644
--- a/simulation/UVVMExample/ci-egroup.do
+++ b/simulation/UVVMExample/ci-egroup.do
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 source ./GBT_FULL_import_questa.tcl
 vsim -voptargs=+acc work.egroup_tb(arch)
 add wave -group CD_COUNTER -position insertpoint sim:/egroup_tb/i_test_harness/DUT/PATH_FIFO_DRIVERs(0)/FDn/Module_enable/SCDataMANAGER_inst/g_trunc/CD_COUNTER_inst/*
diff --git a/simulation/UVVMExample/ci-gui.sh b/simulation/UVVMExample/ci-gui.sh
index f1af8486438165611b1c202822c32e2b92cbdadf..653a851c44bffe9442efea70ba6ed1157374bcc7 100755
--- a/simulation/UVVMExample/ci-gui.sh
+++ b/simulation/UVVMExample/ci-gui.sh
@@ -1,4 +1,23 @@
 #!/bin/bash
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Elena Zhivun
+#               Frans Schreuder
+#               Ohad Shaked
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #Installation path and license server at Nikhef. For other institutes, please try another location.
 echo "free -h"
 free -h
diff --git a/simulation/UVVMExample/ci-strips.do b/simulation/UVVMExample/ci-strips.do
index bebe5f48ef1d6ae2ed3929d9a53262a16faf6918..a1ae154cdfa79a143969aa6cdf83ffc167ab29f2 100755
--- a/simulation/UVVMExample/ci-strips.do
+++ b/simulation/UVVMExample/ci-strips.do
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Elena Zhivun
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 source ./GBT_FULL_import_questa.tcl
 
 set strips_tb {tb_bypass_frame_aggregator \
diff --git a/simulation/UVVMExample/ci-validate_8b10b.do b/simulation/UVVMExample/ci-validate_8b10b.do
index 7faf0db611102597206c299dddc87820154db8a3..6828b16d93f9ca50cdd5ca0fdaa3d2fbee16c8a9 100644
--- a/simulation/UVVMExample/ci-validate_8b10b.do
+++ b/simulation/UVVMExample/ci-validate_8b10b.do
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Ohad Shaked
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 source ./GBT_FULL_import_questa.tcl
 vsim -voptargs="+acc" work.validate_8b10b_tb work.glbl
 add wave -group top sim:/validate_8b10b_tb/*
diff --git a/simulation/UVVMExample/ci-validate_wrap_EncDec_8b10b.do b/simulation/UVVMExample/ci-validate_wrap_EncDec_8b10b.do
index a93c32c1438c28d6b2f112f1dc89eb7fdc4f3adf..ead5736c6199d30bcf7c16db4699014aada12602 100644
--- a/simulation/UVVMExample/ci-validate_wrap_EncDec_8b10b.do
+++ b/simulation/UVVMExample/ci-validate_wrap_EncDec_8b10b.do
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Ohad Shaked
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 source ./GBT_FULL_import_questa.tcl
 vsim -voptargs="+acc" work.validate_wrap_8b10b_tb work.glbl
 add wave -group top sim:/validate_wrap_8b10b_tb/*
diff --git a/simulation/UVVMExample/ci.sh b/simulation/UVVMExample/ci.sh
index f6df446ad8b9f8c42564bb9d7211349debe20b2b..654d4e980a8aa18c69dc199a5ada3cd943c57411 100755
--- a/simulation/UVVMExample/ci.sh
+++ b/simulation/UVVMExample/ci.sh
@@ -1,4 +1,23 @@
 #!/bin/bash
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Elena Zhivun
+#               Frans Schreuder
+#               Ohad Shaked
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #Installation path and license server at Nikhef. For other institutes, please try another location.
 echo "free -h"
 free -h
diff --git a/simulation/UVVMExample/external_editor.tcl b/simulation/UVVMExample/external_editor.tcl
index 2065f7f66c84b694fb42414e15cc9c24436748b0..7dff4974892ce3ba7d86e406db9457a5a44c5196 100644
--- a/simulation/UVVMExample/external_editor.tcl
+++ b/simulation/UVVMExample/external_editor.tcl
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
  proc external_editor {filename linenumber} {
    exec geany -l $linenumber $filename &
  }
diff --git a/simulation/UVVMExample/runsim.do b/simulation/UVVMExample/runsim.do
index 93cd998acf611946f523d74019ef483140429467..29ccebbae89b77b0f2683b4d21a0e5ebb7fb9f59 100644
--- a/simulation/UVVMExample/runsim.do
+++ b/simulation/UVVMExample/runsim.do
@@ -1,3 +1,22 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Ali Skaf
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 vsim work.egroup_tb(arch)
 
 add wave -position insertpoint sim:/egroup_tb/*
diff --git a/simulation/UVVMExample/wave_Alignment_all.do b/simulation/UVVMExample/wave_Alignment_all.do
index 964d562e4e36953dead6c04a6c1222d353119206..e12c975de80a6ffcae708696d1a22ab69d558b56 100644
--- a/simulation/UVVMExample/wave_Alignment_all.do
+++ b/simulation/UVVMExample/wave_Alignment_all.do
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Ohad Shaked
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 onerror {resume}
 quietly WaveActivateNextPane {} 0
 add wave -noupdate -color Coral /egroup_tb/i_test_harness/Data16bitIN
diff --git a/simulation/UVVMExample/wave_Realignment.do b/simulation/UVVMExample/wave_Realignment.do
index d76465fe17d374437f77c1f7f1b1fde54ae639aa..d98953f5004e8f001b8e9d71015c57392599d67e 100644
--- a/simulation/UVVMExample/wave_Realignment.do
+++ b/simulation/UVVMExample/wave_Realignment.do
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Ohad Shaked
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 onerror {resume}
 quietly WaveActivateNextPane {} 0
 add wave -noupdate -color Coral /egroup_tb/i_test_harness/Data16bitIN
diff --git a/simulation/UVVMExample/wave_all_debug_8b10bRealign.do b/simulation/UVVMExample/wave_all_debug_8b10bRealign.do
index d545260a1ef93ec60a71bdb5877473e7201314f7..ecde6aabf4e7d5739ff1f3fc98214f7ff100107c 100644
--- a/simulation/UVVMExample/wave_all_debug_8b10bRealign.do
+++ b/simulation/UVVMExample/wave_all_debug_8b10bRealign.do
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Ohad Shaked
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 onerror {resume}
 quietly WaveActivateNextPane {} 0
 add wave -noupdate -color Coral /egroup_tb/i_test_harness/Data16bitIN
diff --git a/simulation/UVVMExample/wave_test_8b10b_Paths.do b/simulation/UVVMExample/wave_test_8b10b_Paths.do
index 1d5909be452968687775611f2ac4fc473c041a73..c607350a4df45bb035b5423ec42f090f74125f99 100644
--- a/simulation/UVVMExample/wave_test_8b10b_Paths.do
+++ b/simulation/UVVMExample/wave_test_8b10b_Paths.do
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Ohad Shaked
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 onerror {resume}
 quietly WaveActivateNextPane {} 0
 add wave -noupdate /egroup_tb/i_test_harness/DUT/clk160
diff --git a/simulation/UVVMExample/wave_trailer_test.do b/simulation/UVVMExample/wave_trailer_test.do
index 11d6866f77ce7c85de1dae243f62ca024ac59f16..c61e6fda576838d33a2657f943738a699aa0adea 100644
--- a/simulation/UVVMExample/wave_trailer_test.do
+++ b/simulation/UVVMExample/wave_trailer_test.do
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Ohad Shaked
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 onerror {resume}
 quietly WaveActivateNextPane {} 0
 add wave -noupdate /egroup_tb/i_test_harness/DUT/Realignment_en
diff --git a/simulation/Wupper/VSim_Functional.tcl b/simulation/Wupper/VSim_Functional.tcl
index 3786763eac48a5a0432f0cec205448ce591669e6..33dd5bc02b29d7c639b09c85b11310a79c4b16f9 100755
--- a/simulation/Wupper/VSim_Functional.tcl
+++ b/simulation/Wupper/VSim_Functional.tcl
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 ### start simulation
 
 vsim -t ps -novopt +notimingchecks -L unisim work.virtex7_dma_top
diff --git a/simulation/Wupper/project.do b/simulation/Wupper/project.do
index d44e41b8be169bb0dc73153bf862ffc4714b05a5..4efac1ed2fc124c95ae1f93359fc8cd49106b913 100644
--- a/simulation/Wupper/project.do
+++ b/simulation/Wupper/project.do
@@ -1,3 +1,22 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+#               RHabraken
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 set projectEnv [project env]
 if { [string length $projectEnv]==0} {
 	puts "no project open"
diff --git a/simulation/Wupper/start.do b/simulation/Wupper/start.do
index 97c45e97aa9860e6bad64d53310824f7a76f6d03..1abf95adf8eeaa152311b01710a59b97605e7d24 100644
--- a/simulation/Wupper/start.do
+++ b/simulation/Wupper/start.do
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 ##################################################################
 ### Functions declaration
 ## -- start
diff --git a/simulation/Wupper/wave.do b/simulation/Wupper/wave.do
index 723ede777a44d1427376cc5ac1f3b265f497b786..921aab6e13f8ccbd2045091364f55febca05269f 100644
--- a/simulation/Wupper/wave.do
+++ b/simulation/Wupper/wave.do
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 onerror {resume}
 quietly WaveActivateNextPane {} 0
 add wave -noupdate -group application /virtex7_dma_top/u0/appreg_clk
diff --git a/simulation/centralRouter/project.do b/simulation/centralRouter/project.do
index 76f02c25b4705b43fe4a4f137306e32d3d93ba4b..3cb703e46396df9b9eba4a3eb9339639d5d705c2 100644
--- a/simulation/centralRouter/project.do
+++ b/simulation/centralRouter/project.do
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 set data_width 256
 
 if {$data_width == 256} {
diff --git a/simulation/centralRouter/project_emu.do b/simulation/centralRouter/project_emu.do
index f300f7a01730ee1605d1bf716277b88350524167..42b4b0f0f223999edb8c37162bc2694748301712 100644
--- a/simulation/centralRouter/project_emu.do
+++ b/simulation/centralRouter/project_emu.do
@@ -1,3 +1,21 @@
+
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 project addfile ../../sources/GBTlinksDataEmulator/GBTdataEmulator.vhd
 
 project addfile ../../Projects/felix_top/felix_top.srcs/sources_1/ip/emuram_0/emuram_0_funcsim.vhdl
diff --git a/sources/CRC20/crc.c b/sources/CRC20/crc.c
index 8a6b57c539f3680bcaa42ec6f3f132a9539c6c1c..f27c97e9e004e2a1e234a139c3c2252f2f50b545 100644
--- a/sources/CRC20/crc.c
+++ b/sources/CRC20/crc.c
@@ -1,3 +1,21 @@
+//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+//! Authors:
+//!               Frans Schreuder
+//!               Mesfin Gebyehu
+//! 
+//!   Licensed under the Apache License, Version 2.0 (the "License");
+//!   you may not use this file except in compliance with the License.
+//!   You may obtain a copy of the License at
+//!
+//!       http://www.apache.org/licenses/LICENSE-2.0
+//!
+//!   Unless required by applicable law or agreed to in writing, software
+//!   distributed under the License is distributed on an "AS IS" BASIS,
+//!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+//!   See the License for the specific language governing permissions and
+//!   limitations under the License.
+
 
 /******************************************************************************
  *                                                                            *
diff --git a/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale.v b/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale.v
index c991f1ca660e9f00e04cd0c89f02e225c2132d6b..55c38ca5c7288a54e0e8da3c849f2607073bbdf5 100644
--- a/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale.v
+++ b/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale.v
@@ -1,3 +1,20 @@
+//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+//! Authors:
+//!               Weihao Wu
+//! 
+//!   Licensed under the Apache License, Version 2.0 (the "License");
+//!   you may not use this file except in compliance with the License.
+//!   You may obtain a copy of the License at
+//!
+//!       http://www.apache.org/licenses/LICENSE-2.0
+//!
+//!   Unless required by applicable law or agreed to in writing, software
+//!   distributed under the License is distributed on an "AS IS" BASIS,
+//!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+//!   See the License for the specific language governing permissions and
+//!   limitations under the License.
+
 // (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved.
 // 
 // This file contains confidential and proprietary information
diff --git a/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale_gthe3_channel_wrapper.v b/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale_gthe3_channel_wrapper.v
index 949af0d00199faef38da46c174124df844e5791c..ebdb95840c5a4500043367be5cd230ebfaf67b9b 100644
--- a/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale_gthe3_channel_wrapper.v
+++ b/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale_gthe3_channel_wrapper.v
@@ -1,3 +1,20 @@
+//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+//! Authors:
+//!               Weihao Wu
+//! 
+//!   Licensed under the Apache License, Version 2.0 (the "License");
+//!   you may not use this file except in compliance with the License.
+//!   You may obtain a copy of the License at
+//!
+//!       http://www.apache.org/licenses/LICENSE-2.0
+//!
+//!   Unless required by applicable law or agreed to in writing, software
+//!   distributed under the License is distributed on an "AS IS" BASIS,
+//!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+//!   See the License for the specific language governing permissions and
+//!   limitations under the License.
+
 //------------------------------------------------------------------------------
 //  (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved.
 //
diff --git a/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale_gthe3_common_wrapper.v b/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale_gthe3_common_wrapper.v
index ee075e2b492d867c319b1c76923b23ab7d3cedce..587c3bb7725d67ea3f469e27959def2aef40722b 100644
--- a/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale_gthe3_common_wrapper.v
+++ b/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale_gthe3_common_wrapper.v
@@ -1,3 +1,20 @@
+//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+//! Authors:
+//!               Weihao Wu
+//! 
+//!   Licensed under the Apache License, Version 2.0 (the "License");
+//!   you may not use this file except in compliance with the License.
+//!   You may obtain a copy of the License at
+//!
+//!       http://www.apache.org/licenses/LICENSE-2.0
+//!
+//!   Unless required by applicable law or agreed to in writing, software
+//!   distributed under the License is distributed on an "AS IS" BASIS,
+//!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+//!   See the License for the specific language governing permissions and
+//!   limitations under the License.
+
 //------------------------------------------------------------------------------
 //  (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved.
 //
diff --git a/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale_gtwizard_gthe3.v b/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale_gtwizard_gthe3.v
index 326478da24d146a21294035670fa5c1b864e722a..e79cb15d158c79fab53cc7bae74827d33f62bcf0 100644
--- a/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale_gtwizard_gthe3.v
+++ b/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale_gtwizard_gthe3.v
@@ -1,3 +1,20 @@
+//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+//! Authors:
+//!               Weihao Wu
+//! 
+//!   Licensed under the Apache License, Version 2.0 (the "License");
+//!   you may not use this file except in compliance with the License.
+//!   You may obtain a copy of the License at
+//!
+//!       http://www.apache.org/licenses/LICENSE-2.0
+//!
+//!   Unless required by applicable law or agreed to in writing, software
+//!   distributed under the License is distributed on an "AS IS" BASIS,
+//!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+//!   See the License for the specific language governing permissions and
+//!   limitations under the License.
+
 //------------------------------------------------------------------------------
 //  (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved.
 //
diff --git a/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale_gtwizard_top.v b/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale_gtwizard_top.v
index 7405665ba8a666549bfe8b26dda55412f423bdfa..f5f8341f5e7bd8f0eff2fe194d46cdf48a552307 100644
--- a/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale_gtwizard_top.v
+++ b/sources/felixUserSupport/FullModeUserInterface/gth_tx_fullmode_rx_gbtmode_qpll_ultrascale_gtwizard_top.v
@@ -1,3 +1,20 @@
+//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+//! Authors:
+//!               Weihao Wu
+//! 
+//!   Licensed under the Apache License, Version 2.0 (the "License");
+//!   you may not use this file except in compliance with the License.
+//!   You may obtain a copy of the License at
+//!
+//!       http://www.apache.org/licenses/LICENSE-2.0
+//!
+//!   Unless required by applicable law or agreed to in writing, software
+//!   distributed under the License is distributed on an "AS IS" BASIS,
+//!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+//!   See the License for the specific language governing permissions and
+//!   limitations under the License.
+
 //------------------------------------------------------------------------------
 //  (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved.
 //
diff --git a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_bit_synchronizer.v b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_bit_synchronizer.v
old mode 100755
new mode 100644
index 6392758f8156a08e44e431f777e17b60419cc382..3dc778866d429689febf1c68bcccf11b47d86ef8
--- a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_bit_synchronizer.v
+++ b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_bit_synchronizer.v
@@ -1,3 +1,20 @@
+//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+//! Authors:
+//!               Weihao Wu
+//! 
+//!   Licensed under the Apache License, Version 2.0 (the "License");
+//!   you may not use this file except in compliance with the License.
+//!   You may obtain a copy of the License at
+//!
+//!       http://www.apache.org/licenses/LICENSE-2.0
+//!
+//!   Unless required by applicable law or agreed to in writing, software
+//!   distributed under the License is distributed on an "AS IS" BASIS,
+//!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+//!   See the License for the specific language governing permissions and
+//!   limitations under the License.
+
 //------------------------------------------------------------------------------
 //  (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved.
 //
diff --git a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gthe3_channel.v b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gthe3_channel.v
old mode 100755
new mode 100644
index 44fb4999696faa1cf7de0b0857052bd0191c39d9..3eec1d340b377776aacbd5b18bf148272c41b409
--- a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gthe3_channel.v
+++ b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gthe3_channel.v
@@ -1,3 +1,20 @@
+//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+//! Authors:
+//!               Weihao Wu
+//! 
+//!   Licensed under the Apache License, Version 2.0 (the "License");
+//!   you may not use this file except in compliance with the License.
+//!   You may obtain a copy of the License at
+//!
+//!       http://www.apache.org/licenses/LICENSE-2.0
+//!
+//!   Unless required by applicable law or agreed to in writing, software
+//!   distributed under the License is distributed on an "AS IS" BASIS,
+//!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+//!   See the License for the specific language governing permissions and
+//!   limitations under the License.
+
 //------------------------------------------------------------------------------
 //  (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved.
 //
diff --git a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gthe3_common.v b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gthe3_common.v
old mode 100755
new mode 100644
index 40cba399e75097368a9865885003a64d448b3f73..fcc0ddd495a2b65dedd0d67062ec637cee57e48f
--- a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gthe3_common.v
+++ b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gthe3_common.v
@@ -1,3 +1,20 @@
+//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+//! Authors:
+//!               Weihao Wu
+//! 
+//!   Licensed under the Apache License, Version 2.0 (the "License");
+//!   you may not use this file except in compliance with the License.
+//!   You may obtain a copy of the License at
+//!
+//!       http://www.apache.org/licenses/LICENSE-2.0
+//!
+//!   Unless required by applicable law or agreed to in writing, software
+//!   distributed under the License is distributed on an "AS IS" BASIS,
+//!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+//!   See the License for the specific language governing permissions and
+//!   limitations under the License.
+
 //------------------------------------------------------------------------------
 //  (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved.
 //
diff --git a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gthe3_cpll_cal.v b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gthe3_cpll_cal.v
old mode 100755
new mode 100644
index 9fa8de7c0fa3863f208e31e5f95be479eab63bc9..43dd5b566eda87769194877dd89b7a98fa9033a7
--- a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gthe3_cpll_cal.v
+++ b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gthe3_cpll_cal.v
@@ -1,3 +1,20 @@
+//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+//! Authors:
+//!               Weihao Wu
+//! 
+//!   Licensed under the Apache License, Version 2.0 (the "License");
+//!   you may not use this file except in compliance with the License.
+//!   You may obtain a copy of the License at
+//!
+//!       http://www.apache.org/licenses/LICENSE-2.0
+//!
+//!   Unless required by applicable law or agreed to in writing, software
+//!   distributed under the License is distributed on an "AS IS" BASIS,
+//!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+//!   See the License for the specific language governing permissions and
+//!   limitations under the License.
+
 //------------------------------------------------------------------------------
 //  (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved.
 //
diff --git a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gthe3_cpll_cal_freq_counter.v b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gthe3_cpll_cal_freq_counter.v
old mode 100755
new mode 100644
index d0668ce9ca08ab61e9774df894993f9ca2bd90f1..f115b3ca775ea39805d1fe595727f5bdc4a4c5b5
--- a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gthe3_cpll_cal_freq_counter.v
+++ b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gthe3_cpll_cal_freq_counter.v
@@ -1,3 +1,20 @@
+//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+//! Authors:
+//!               Weihao Wu
+//! 
+//!   Licensed under the Apache License, Version 2.0 (the "License");
+//!   you may not use this file except in compliance with the License.
+//!   You may obtain a copy of the License at
+//!
+//!       http://www.apache.org/licenses/LICENSE-2.0
+//!
+//!   Unless required by applicable law or agreed to in writing, software
+//!   distributed under the License is distributed on an "AS IS" BASIS,
+//!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+//!   See the License for the specific language governing permissions and
+//!   limitations under the License.
+
 //------------------------------------------------------------------------------
 //  (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved.
 //
diff --git a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_buffbypass_rx.v b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_buffbypass_rx.v
old mode 100755
new mode 100644
index cc67475a70b295f8b1e7f098d49916203d485f0e..dfd948450637aac07668789bba7c68ecbc0884f2
--- a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_buffbypass_rx.v
+++ b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_buffbypass_rx.v
@@ -1,3 +1,20 @@
+//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+//! Authors:
+//!               Weihao Wu
+//! 
+//!   Licensed under the Apache License, Version 2.0 (the "License");
+//!   you may not use this file except in compliance with the License.
+//!   You may obtain a copy of the License at
+//!
+//!       http://www.apache.org/licenses/LICENSE-2.0
+//!
+//!   Unless required by applicable law or agreed to in writing, software
+//!   distributed under the License is distributed on an "AS IS" BASIS,
+//!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+//!   See the License for the specific language governing permissions and
+//!   limitations under the License.
+
 //------------------------------------------------------------------------------
 //  (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved.
 //
diff --git a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_buffbypass_tx.v b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_buffbypass_tx.v
old mode 100755
new mode 100644
index 16ec70f48f7c8a218aecfd31ffd6baf252812158..d0eaa3e4033846fb96e50a0d1592d525a0c50fa3
--- a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_buffbypass_tx.v
+++ b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_buffbypass_tx.v
@@ -1,3 +1,20 @@
+//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+//! Authors:
+//!               Weihao Wu
+//! 
+//!   Licensed under the Apache License, Version 2.0 (the "License");
+//!   you may not use this file except in compliance with the License.
+//!   You may obtain a copy of the License at
+//!
+//!       http://www.apache.org/licenses/LICENSE-2.0
+//!
+//!   Unless required by applicable law or agreed to in writing, software
+//!   distributed under the License is distributed on an "AS IS" BASIS,
+//!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+//!   See the License for the specific language governing permissions and
+//!   limitations under the License.
+
 //------------------------------------------------------------------------------
 //  (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved.
 //
diff --git a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_reset.v b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_reset.v
old mode 100755
new mode 100644
index ec1866d2a80b6ef560dbe13d11a51cdac0f93f06..ca76693060f7a6eade6bc7fb5e0caf9f4d009a38
--- a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_reset.v
+++ b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_reset.v
@@ -1,3 +1,20 @@
+//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+//! Authors:
+//!               Weihao Wu
+//! 
+//!   Licensed under the Apache License, Version 2.0 (the "License");
+//!   you may not use this file except in compliance with the License.
+//!   You may obtain a copy of the License at
+//!
+//!       http://www.apache.org/licenses/LICENSE-2.0
+//!
+//!   Unless required by applicable law or agreed to in writing, software
+//!   distributed under the License is distributed on an "AS IS" BASIS,
+//!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+//!   See the License for the specific language governing permissions and
+//!   limitations under the License.
+
 //------------------------------------------------------------------------------
 //  (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved.
 //
diff --git a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_userclk_rx.v b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_userclk_rx.v
old mode 100755
new mode 100644
index 068886ba234f5db7ecd0f7eb38246f3d38773d23..5580d262655ea3801e3f76a13fa4d713dcf9d251
--- a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_userclk_rx.v
+++ b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_userclk_rx.v
@@ -1,3 +1,20 @@
+//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+//! Authors:
+//!               Weihao Wu
+//! 
+//!   Licensed under the Apache License, Version 2.0 (the "License");
+//!   you may not use this file except in compliance with the License.
+//!   You may obtain a copy of the License at
+//!
+//!       http://www.apache.org/licenses/LICENSE-2.0
+//!
+//!   Unless required by applicable law or agreed to in writing, software
+//!   distributed under the License is distributed on an "AS IS" BASIS,
+//!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+//!   See the License for the specific language governing permissions and
+//!   limitations under the License.
+
 //------------------------------------------------------------------------------
 //  (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved.
 //
diff --git a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_userclk_tx.v b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_userclk_tx.v
old mode 100755
new mode 100644
index b06ff7218b58391bcce74f700ac62c40936d8110..33e53c324317e61d9c8cbe78a784d8e695825fb3
--- a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_userclk_tx.v
+++ b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_userclk_tx.v
@@ -1,3 +1,20 @@
+//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+//! Authors:
+//!               Weihao Wu
+//! 
+//!   Licensed under the Apache License, Version 2.0 (the "License");
+//!   you may not use this file except in compliance with the License.
+//!   You may obtain a copy of the License at
+//!
+//!       http://www.apache.org/licenses/LICENSE-2.0
+//!
+//!   Unless required by applicable law or agreed to in writing, software
+//!   distributed under the License is distributed on an "AS IS" BASIS,
+//!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+//!   See the License for the specific language governing permissions and
+//!   limitations under the License.
+
 //------------------------------------------------------------------------------
 //  (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved.
 //
diff --git a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_userdata_rx.v b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_userdata_rx.v
old mode 100755
new mode 100644
index 2c4138c1728be2d15bde7f34b0acd916d40c9e3b..5bfbf68fd8f59be7a404b539b0c5c0dabad86189
--- a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_userdata_rx.v
+++ b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_userdata_rx.v
@@ -1,3 +1,20 @@
+//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+//! Authors:
+//!               Weihao Wu
+//! 
+//!   Licensed under the Apache License, Version 2.0 (the "License");
+//!   you may not use this file except in compliance with the License.
+//!   You may obtain a copy of the License at
+//!
+//!       http://www.apache.org/licenses/LICENSE-2.0
+//!
+//!   Unless required by applicable law or agreed to in writing, software
+//!   distributed under the License is distributed on an "AS IS" BASIS,
+//!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+//!   See the License for the specific language governing permissions and
+//!   limitations under the License.
+
 //------------------------------------------------------------------------------
 //  (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved.
 //
diff --git a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_userdata_tx.v b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_userdata_tx.v
old mode 100755
new mode 100644
index af02ec7b82ef9d381cdba9b83dddfb39994d2ea5..1f8806d2e91a282b2da923eee6dd0c8fc43fa6a0
--- a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_userdata_tx.v
+++ b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_gtwiz_userdata_tx.v
@@ -1,3 +1,20 @@
+//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+//! Authors:
+//!               Weihao Wu
+//! 
+//!   Licensed under the Apache License, Version 2.0 (the "License");
+//!   you may not use this file except in compliance with the License.
+//!   You may obtain a copy of the License at
+//!
+//!       http://www.apache.org/licenses/LICENSE-2.0
+//!
+//!   Unless required by applicable law or agreed to in writing, software
+//!   distributed under the License is distributed on an "AS IS" BASIS,
+//!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+//!   See the License for the specific language governing permissions and
+//!   limitations under the License.
+
 //------------------------------------------------------------------------------
 //  (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved.
 //
diff --git a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_reset_inv_synchronizer.v b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_reset_inv_synchronizer.v
old mode 100755
new mode 100644
index 97ea938b5b71bc2bbe80532a37c00dc5d8f24452..4fd14c09ae0925f26c46eeb794adf4aac7a54820
--- a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_reset_inv_synchronizer.v
+++ b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_reset_inv_synchronizer.v
@@ -1,3 +1,20 @@
+//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+//! Authors:
+//!               Weihao Wu
+//! 
+//!   Licensed under the Apache License, Version 2.0 (the "License");
+//!   you may not use this file except in compliance with the License.
+//!   You may obtain a copy of the License at
+//!
+//!       http://www.apache.org/licenses/LICENSE-2.0
+//!
+//!   Unless required by applicable law or agreed to in writing, software
+//!   distributed under the License is distributed on an "AS IS" BASIS,
+//!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+//!   See the License for the specific language governing permissions and
+//!   limitations under the License.
+
 //------------------------------------------------------------------------------
 //  (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved.
 //
diff --git a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_reset_synchronizer.v b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_reset_synchronizer.v
old mode 100755
new mode 100644
index 8726b95cdd04cbd68611afefdc7f5de906d9d77e..c4e7d8ffe955c3166bb1744eefddfbf2f4188182
--- a/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_reset_synchronizer.v
+++ b/sources/felixUserSupport/FullModeUserInterface/gtwizard_ultrascale_v1_6_reset_synchronizer.v
@@ -1,3 +1,20 @@
+//! This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+//! Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+//! Authors:
+//!               Weihao Wu
+//! 
+//!   Licensed under the Apache License, Version 2.0 (the "License");
+//!   you may not use this file except in compliance with the License.
+//!   You may obtain a copy of the License at
+//!
+//!       http://www.apache.org/licenses/LICENSE-2.0
+//!
+//!   Unless required by applicable law or agreed to in writing, software
+//!   distributed under the License is distributed on an "AS IS" BASIS,
+//!   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+//!   See the License for the specific language governing permissions and
+//!   limitations under the License.
+
 //------------------------------------------------------------------------------
 //  (c) Copyright 2013-2015 Xilinx, Inc. All rights reserved.
 //
diff --git a/sources/templates/build-diff.sh b/sources/templates/build-diff.sh
index 16f7f400c0c8a7efd01fb46680a336eb7dfba5fa..5a3da9e3ff6a7d853155fa9ae7d4b6ca329ef091 100755
--- a/sources/templates/build-diff.sh
+++ b/sources/templates/build-diff.sh
@@ -1,4 +1,23 @@
 #!/bin/sh
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Mark Donszelmann
+#               Mesfin Gebyehu
+#               Thei Wijnen
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #
 # Script to rebuild the derived files from templates
 #
diff --git a/sources/templates/build-doc.sh b/sources/templates/build-doc.sh
index 09d009716d9830ac7a35de89df996a9fdefc1874..3f991af984d10e7ffb1020140b6e902671b87f1a 100755
--- a/sources/templates/build-doc.sh
+++ b/sources/templates/build-doc.sh
@@ -1,4 +1,25 @@
 #!/bin/sh -e
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Mark Donszelmann
+#               Andrea Borga
+#               Mesfin Gebyehu
+#               Thei Wijnen
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 # build the documentation from the registermap.tex file
 
 wuppercodegen=../../../software/wuppercodegen/wuppercodegen/cli.py
diff --git a/sources/templates/build-html.sh b/sources/templates/build-html.sh
index a21fb43d08536489d08cbb56b8be2c948fe81b77..9c8f15adc40b27f6f4d440d15265b66f88d9a7d3 100755
--- a/sources/templates/build-html.sh
+++ b/sources/templates/build-html.sh
@@ -1,4 +1,23 @@
 #!/bin/sh
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Mark Donszelmann
+#               Mesfin Gebyehu
+#               Thei Wijnen
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 #
 # Script to rebuild the derived files from templates
 #
diff --git a/sources/templates/build-strips.sh b/sources/templates/build-strips.sh
index 50ce50a4c7ebd8a59f1923bbef8e7d4b02673de1..8503d8f987aed109457431c7aa94db1112001de0 100755
--- a/sources/templates/build-strips.sh
+++ b/sources/templates/build-strips.sh
@@ -1,4 +1,21 @@
 #!/bin/sh
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Elena Zhivun
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 
 #
 # Script to rebuild the derived files from templates
diff --git a/sources/templates/build.sh b/sources/templates/build.sh
index 2afbb53562d19e2f82f636f091c2ed0a8471edd7..d5faea8c40aeabd781094c977e9f567136502010 100755
--- a/sources/templates/build.sh
+++ b/sources/templates/build.sh
@@ -1,4 +1,29 @@
 #!/bin/sh
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Mark Donszelmann
+#               Andrea Borga
+#               Soo Ryu
+#               Mesfin Gebyehu
+#               RHabraken
+#               Rene
+#               Thei Wijnen
+#               Elena Zhivun
+#               Frans Schreuder
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 
 #
 # Script to rebuild the derived files from templates
diff --git a/sources/templates/check.sh b/sources/templates/check.sh
index 6de9ac7e67fb2e61ff38a5f64a70da4c0d6f02b8..a3cd9e655aedbcf79d2b2a57cf394a10a36b4877 100755
--- a/sources/templates/check.sh
+++ b/sources/templates/check.sh
@@ -1,4 +1,22 @@
 #!/bin/sh
+# This file is part of the FELIX firmware distribution (https://gitlab.cern.ch/atlas-tdaq-felix/firmware/).
+# Copyright (C) 2001-2021 CERN for the benefit of the ATLAS collaboration.
+# Authors:
+#               Frans Schreuder
+#               Mesfin Gebyehu
+# 
+#   Licensed under the Apache License, Version 2.0 (the "License");
+#   you may not use this file except in compliance with the License.
+#   You may obtain a copy of the License at
+#
+#       http://www.apache.org/licenses/LICENSE-2.0
+#
+#   Unless required by applicable law or agreed to in writing, software
+#   distributed under the License is distributed on an "AS IS" BASIS,
+#   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+#   See the License for the specific language governing permissions and
+#   limitations under the License.
+
 
 diff -w dma_control.vhd ../pcie/dma_control.vhd 
 diff -w pcie_package.vhd ../packages/pcie_package.vhd