From a79b1ffa35c421ac202cd02528332f90ffbb87d9 Mon Sep 17 00:00:00 2001
From: David Monk <david.gabriel.monk@cern.ch>
Date: Thu, 19 Dec 2024 23:15:12 +0100
Subject: [PATCH] Fixed vsg for xpm modules

---
 dtc-fe/firmware/hdl/L1DataExtractor.vhd | 26 ++++++++++++++++++-------
 1 file changed, 19 insertions(+), 7 deletions(-)

diff --git a/dtc-fe/firmware/hdl/L1DataExtractor.vhd b/dtc-fe/firmware/hdl/L1DataExtractor.vhd
index 04cafd77..aa2674a8 100644
--- a/dtc-fe/firmware/hdl/L1DataExtractor.vhd
+++ b/dtc-fe/firmware/hdl/L1DataExtractor.vhd
@@ -3,7 +3,7 @@ library ieee;
     use ieee.numeric_std.all;
 
 library xpm;
-    use xpm.vcomponents.all;
+    use xpm.s.all;
 
 library work;
     use work.module_constants.all;
@@ -239,7 +239,9 @@ begin
     -- Data FIFOs are 1k 32bit words FIFOs - implemented using xpm macros
     -- Ctrl FIFOs are 512 32bit words FIFOs - implemented using xpm macros
 
-    DebugDataFifo : entity xpm_fifo_async
+    -- vsg_disable_next_line instantiation_034
+    -- vsg_disable_next_line instantiation_033
+    DebugDataFifo : xpm_fifo_async
     generic map (
         CDC_SYNC_STAGES     => 2,
         DOUT_RESET_VALUE    => "0",
@@ -279,7 +281,9 @@ begin
         rd_rst_busy   => open
     );
 
-    DaqpathDataFifo : entity xpm_fifo_async
+    -- vsg_disable_next_line instantiation_034
+    -- vsg_disable_next_line instantiation_033
+    DaqpathDataFifo : xpm_fifo_async
     generic map (
         CDC_SYNC_STAGES     => 2,
         DOUT_RESET_VALUE    => "0",
@@ -319,7 +323,9 @@ begin
         rd_rst_busy   => open
     );
 
-    DebugEventFifo : entity xpm_fifo_async
+    -- vsg_disable_next_line instantiation_034
+    -- vsg_disable_next_line instantiation_033
+    DebugEventFifo : xpm_fifo_async
     generic map (
         CDC_SYNC_STAGES     => 2,
         DOUT_RESET_VALUE    => "0",
@@ -359,7 +365,9 @@ begin
         rd_rst_busy   => open
     );
 
-    DaqpathEventFifo : entity xpm_fifo_async
+    -- vsg_disable_next_line instantiation_034
+    -- vsg_disable_next_line instantiation_033
+    DaqpathEventFifo : xpm_fifo_async
     generic map (
         CDC_SYNC_STAGES     => 2,
         DOUT_RESET_VALUE    => "0",
@@ -399,7 +407,9 @@ begin
         rd_rst_busy   => open
     );
 
-    DebugRecordFifo : entity xpm_fifo_async
+    -- vsg_disable_next_line instantiation_034
+    -- vsg_disable_next_line instantiation_033
+    DebugRecordFifo : xpm_fifo_async
     generic map (
         CDC_SYNC_STAGES     => 2,
         DOUT_RESET_VALUE    => "0",
@@ -439,7 +449,9 @@ begin
         rd_rst_busy   => open
     );
 
-    DaqpathRecordFifo : entity xpm_fifo_async
+    -- vsg_disable_next_line instantiation_034
+    -- vsg_disable_next_line instantiation_033
+    DaqpathRecordFifo : xpm_fifo_async
     generic map (
         CDC_SYNC_STAGES     => 2,
         DOUT_RESET_VALUE    => "0",
-- 
GitLab