diff --git a/dtc-fe/firmware/hdl/L1DataExtractor.vhd b/dtc-fe/firmware/hdl/L1DataExtractor.vhd
index aa2674a8d9315a9c700f64d2925daefe7ac2c57d..9af1d5801abc47c24395c4bced2a251ad9405152 100644
--- a/dtc-fe/firmware/hdl/L1DataExtractor.vhd
+++ b/dtc-fe/firmware/hdl/L1DataExtractor.vhd
@@ -3,7 +3,7 @@ library ieee;
     use ieee.numeric_std.all;
 
 library xpm;
-    use xpm.s.all;
+    use xpm.vcomponents.all;
 
 library work;
     use work.module_constants.all;