diff --git a/top/firmware/hdl/LinkCombiner.vhd b/top/firmware/hdl/LinkCombiner.vhd index f54a72cf219e94ca8636f0ddc44fdd0ffddc4b30..2321561a366c57049a2062428be8662cf0682ebc 100644 --- a/top/firmware/hdl/LinkCombiner.vhd +++ b/top/firmware/hdl/LinkCombiner.vhd @@ -170,7 +170,7 @@ begin signal almost_empty : std_logic; signal data_count : std_logic_vector(6 downto 0) := (others => '0'); signal underflow : std_logic; - signal readout_countdown : integer := 0; + signal readout_countdown : integer range 0 to 256 := 0; signal valid_previous : std_logic := '0'; signal strobe_buffer, strobe_buffer_2 : std_logic := '0'; signal rd_en_check : std_logic := '0';