From 49fe06683a1c6cbdb0ad73a33056b4898751c2fe Mon Sep 17 00:00:00 2001 From: David Gabriel Monk <david.gabriel.monk@cern.ch> Date: Thu, 28 Oct 2021 09:41:20 +0200 Subject: [PATCH] Update top/firmware/hdl/LinkCombiner.vhd --- top/firmware/hdl/LinkCombiner.vhd | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/top/firmware/hdl/LinkCombiner.vhd b/top/firmware/hdl/LinkCombiner.vhd index f54a72cf..2321561a 100644 --- a/top/firmware/hdl/LinkCombiner.vhd +++ b/top/firmware/hdl/LinkCombiner.vhd @@ -170,7 +170,7 @@ begin signal almost_empty : std_logic; signal data_count : std_logic_vector(6 downto 0) := (others => '0'); signal underflow : std_logic; - signal readout_countdown : integer := 0; + signal readout_countdown : integer range 0 to 256 := 0; signal valid_previous : std_logic := '0'; signal strobe_buffer, strobe_buffer_2 : std_logic := '0'; signal rd_en_check : std_logic := '0'; -- GitLab