diff --git a/top/firmware/hdl/emp_payload.vhd b/top/firmware/hdl/emp_payload.vhd index b018cfa65dcbe5163212bf9ba141785260c998ee..757f949bb082b3e616b0078ca5db99acd94236d0 100644 --- a/top/firmware/hdl/emp_payload.vhd +++ b/top/firmware/hdl/emp_payload.vhd @@ -253,7 +253,10 @@ begin pRegisterInput : process(clk_p) begin if rising_edge(clk_p) then - data_in_cache <= d(cDTCInputLinkMap(i)).data; + data_in_cache <= (others => '0'); + data_in_cache(4 downto 0) <= d(cDTCInputLinkMap(i)).data(4 downto 0); + data_in_cache(31) <= d(cDTCInputLinkMap(i)).data(31); + data_in_cache(63 downto 36) <= cicHeaderToSLV(header_array(cNumberOfCICs * i)); q(20+i).valid <= '1'; q(20+i).data <= data_in_cache; q(20+i).start <= '1';