From b4b618ff1087bf011c5a0cb77951b4006e51c168 Mon Sep 17 00:00:00 2001
From: David Monk <dmonk@cern.ch>
Date: Thu, 3 Aug 2023 11:19:28 +0200
Subject: [PATCH] Fixed syntax error

---
 top/firmware/hdl/emp_payload.vhd | 33 ++++++++++++++++----------------
 1 file changed, 17 insertions(+), 16 deletions(-)

diff --git a/top/firmware/hdl/emp_payload.vhd b/top/firmware/hdl/emp_payload.vhd
index 6cdb337d..72982d69 100644
--- a/top/firmware/hdl/emp_payload.vhd
+++ b/top/firmware/hdl/emp_payload.vhd
@@ -122,22 +122,7 @@ begin
         );
 
     --==============================--
-    fe_channel_ctrl: entity work.ipbus_reg_v
-    --==============================--
-    generic map (
-        N_REG => 1
-    )
-    port map (
-        clk       => clk,
-        reset     => rst,
-        ipbus_in  => ipb_to_slaves(N_SLV_FE),
-        ipbus_out => ipb_from_slaves(N_SLV_FE),
-        q         => channel_sel,
-        qmask     => (0 => X"0000007f")
-    );
-
-    --==============================--
-    channel_ctrl : entity work.ipbus_ctrlreg_v
+    fe_channel_ctrl : entity work.ipbus_ctrlreg_v
     --==============================--
     generic map(
         N_CTRL    => 1,
@@ -154,6 +139,22 @@ begin
 
     fe_status_registers(0) <= std_logic_vector(to_unsigned(cNumberOfFEModules, 32));
 
+    --==============================--
+    channel_select : entity work.ipbus_dc_fabric_sel
+    --==============================--
+    generic map (
+        SEL_WIDTH => 7
+    )
+    port map (
+        clk       => clk,
+        rst       => rst,
+        sel       => fe_control_registers(0)(6 downto 0),
+        ipb_in    => ipb_to_slaves(N_SLV_FE_CHAN),
+        ipb_out   => ipb_from_slaves(N_SLV_FE_CHAN),
+        ipbdc_out => ipb_chain(0),
+        ipbdc_in  => ipb_chain(cNumberOfFEModules)
+    );
+
     --==============================--
     mproc_channel_ctrl: entity work.ipbus_reg_v
     --==============================--
-- 
GitLab