Skip to content

Improve constraints to avoid Vivado complaining about inefficient queries

Jeroen Hegeman requested to merge (removed):clean_constraints into master

This gets rid of Vivado warnings like

XDCB #18 Warning The option '-to : [get_pins -hierarchical -filter {NAME =~ *cmp_cdc_rx/strobe_b_toggle_meta_reg/D}]' of constraint 'set_max_delay' uses inefficient query to find pin objects (see constraint position '127' in the Timing Constraint window in Vivado IDE). To reduce runtime, it is recommended to get the pins through the cell objects. Please refer to Using Constraints Guide (Constraints Efficiency). An example of optimal query is: get_pins -filter {REF_PIN_NAME=~yy*} -of_objects [get_cells -hierarchical xx*].
Current XDC: /afs/cern.ch/work/j/jhegeman/cms_tcds2/uhal/dth_ipbb/src/tclink/firmware/constrs/core_lpgbt_symmetric_timing.xdc (Line: 33) 

Merge request reports