Skip to content

Improve timing constraints (and fix reset for 240MHz clock domain) for GBT mode FLX712

Frans Schreuder requested to merge FLX-1834_Improve_timing_constraints into master

Also includes ILA over PCIe support

Edited by Frans Schreuder

Merge request reports