Skip to content

Add trigger output

Giovanni Padovano requested to merge add-trigger-output-2 into master

Added readout for output of the trigger logic.

Signals trigger_cand_1, trigger_cand_2 exiting from SLR0 and trigger_cand_3, trigger_cand_4 exiting SLR2 are passed to the SLR3 and stored into a RAM memory (width = 128b * 4 = 512b, depth = 512 BCID).

Implementation is successful as shown in the Figure. implementation_master_afterRAM

Edited by Giovanni Padovano

Merge request reports