Skip to content

Trigger candidates to readout

Federico Morodei requested to merge Felix_readout_4GT into master

Trigger candidates for SLR0 and SLR2 now are passed also to SL3 for readout. An additional transceiver has been activated in SLR3 to send trigger candidates to FELIX (so now there are 4 GTs for FELIX in SLR3)

Merge request reports