Skip to content

Array/structure assignment patterns

Andrea Paterno requested to merge assign_pattern into master

Description of the changes

This MR adds support for named assignment patterns, such as:

logic b [0:1] = '{default: 1'b0};

and

typedef struct packed { logic a, logic b } c_t;
c_t c = '{a: 1'b0, b: 1'b1};

Check-list

  • Make sure that a changelog entry is present
  • Label the MR according to the content
  • If this MR has a dependency on another MR, specify the order in which the MRs should be merged
  • If the MR is done, please mark it as such by using the ~"Done - awaits merge" label, else mark it as "Draft: " by adding the prefix to the title
  • Designate a reviewer for this MR once done

Reviewer check-list

  • Make sure that the title describes the changes in the merge request
  • Make sure that the description of the changes is relevant and it describes all the changes
  • Make sure that the changelog entry is consistent with the changes. If the first release on top was released, then make sure that a new running release is created incrementing the minor index (the version is in the format major.minor.patch)
Edited by Szymon Kulis

Merge request reports