Skip to content
Snippets Groups Projects

TTC signals cross clock domain to FelixMROD, require new adapter

Merged Thei Wijnen requested to merge FLX-1596_TTC_MROD into master
9 files
+ 102
125
Compare changes
  • Side-by-side
  • Inline
Files
9
@@ -14,7 +14,7 @@
--!-----------------------------------------------------------------------------
--! @object Entity design.CSMHandler
--! =project FELIX_MROD
--! @modified Mon Apr 19 15:56:54 2021
--! @modified Sat May 15 11:07:33 2021
--!-----------------------------------------------------------------------------
library ieee, work;
@@ -41,7 +41,6 @@ entity CSMHandler is
TxClk : in std_logic_vector(NUMCH-1 downto 0);
TxData : out slv33_array(0 to NUMCH-1);
TxValid : out std_logic_vector(NUMCH-1 downto 0);
clk250 : in std_logic;
clk40 : in std_logic;
clk50 : in std_logic;
clk80 : in std_logic;
@@ -56,7 +55,7 @@ end entity CSMHandler;
--!-----------------------------------------------------------------------------
--! @object Architecture design.CSMHandler.a0
--! =project FELIX_MROD
--! @modified Mon Apr 19 15:56:54 2021
--! @modified Sat May 15 11:07:33 2021
--!-----------------------------------------------------------------------------
architecture a0 of CSMHandler is
@@ -72,7 +71,6 @@ architecture a0 of CSMHandler is
signal ClearCh : std_logic_vector(NUMCH-1 downto 0);
signal SetHPTDC : std_logic_vector(NUMCH-1 downto 0);
signal FHDEna : std_logic_vector(NUMCH-1 downto 0);
signal EnaCSMFake : std_logic;
signal Empty : std_logic_vector(NUMCH-1 downto 0);
signal Full : std_logic_vector(NUMCH-1 downto 0);
signal EmptySupp : std_logic_vector(NUMCH-1 downto 0);
@@ -96,7 +94,6 @@ begin
CkEna100k => CkEna100k,
Empty => Empty(ch),
EmptySupp => EmptySupp(ch),
EnaCSMFake => EnaCSMFake,
EnaCh => EnaCSMch(ch),
EnaPassAll => EnaPassAll,
Full => Full(ch),
@@ -108,7 +105,6 @@ begin
RxData => RxData(ch),
RxValid => RxValid(ch),
SetHPTDC => SetHPTDC(ch),
clk250 => clk250,
clk80 => clk80);
end generate u1;
@@ -167,7 +163,7 @@ begin
ClearCh => ClearCh,
Empty => Empty,
EmptySupp => EmptySupp,
EnaCSMFake => EnaCSMFake,
EnaCSMFake => open,
EnaCSMch => EnaCSMch,
EnaPassAll => EnaPassAll,
EnaReadHost => EnaReadHost,
Loading