Resolve FLX-2440 "Phase2/ flx182 constraints"
Compare changes
Some changes are not shown
For a faster browsing experience, some files are collapsed by default.
Files
26+ 23
− 3
@@ -166,8 +166,13 @@ set_property PACKAGE_PIN AC9 [get_ports {TX_P_LTITTC[3]}]
create_generated_clock -name clk40_lti -source [get_pins -hierarchical -filter {NAME =~ "TTCLTI.ltittc0/u2/g_TTC_182.GTY_LTITTCLINK_TOP_INST/*/USE_BUFGCE_DIV2.GEN_BUFGCE_DIV2[0].BUFGCE_DIV2_I/I"}] -divide_by 6 [get_pins -hierarchical -filter {NAME =~ "TTCLTI.ltittc0/u2/g_TTC_182.GTY_LTITTCLINK_TOP_INST/*/USE_BUFGCE_DIV2.GEN_BUFGCE_DIV2[0].BUFGCE_DIV2_I/O"}]
@@ -175,9 +180,9 @@ set_property PACKAGE_PIN AB11 [get_ports {GTREFCLK_P_IN[0]}]
@@ -188,6 +193,9 @@ set_property -quiet PACKAGE_PIN L13 [get_ports {GTREFCLK_P_IN[1]}]
@@ -199,6 +207,9 @@ set_property -quiet PACKAGE_PIN H15 [get_ports {GTREFCLK1_P_IN[2]}]
@@ -209,6 +220,9 @@ set_property -quiet PACKAGE_PIN F15 [get_ports {GTREFCLK1_P_IN[3]}]
@@ -219,6 +233,9 @@ set_property -quiet PACKAGE_PIN D15 [get_ports {GTREFCLK1_P_IN[4]}]
@@ -229,6 +246,9 @@ set_property -quiet PACKAGE_PIN B15 [get_ports {GTREFCLK1_P_IN[5]}]