Resolve FLX-2440 "Phase2/ flx182 constraints"
Compare changes
Some changes are not shown
For a faster browsing experience, some files are collapsed by default.
Files
19+ 4
− 1
@@ -166,11 +166,14 @@ set_property PACKAGE_PIN AC9 [get_ports {TX_P_LTITTC[3]}]
create_generated_clock -name clk40_lti -add -master_clock [get_clocks -of_objects [get_pins TTCLTI.ltittc0/u2/g_TTC_182.GTY_LTITTCLINK_TOP_INST/transceiver_versal_LTITTC_i/transceiver_versal_LTITTC_i/util_ds_buf1/BUFGCE_I]] -source [get_pins -hierarchical -filter {NAME =~ "TTCLTI.ltittc0/u2/g_TTC_182.GTY_LTITTCLINK_TOP_INST/*/USE_BUFGCE_DIV2.GEN_BUFGCE_DIV2[0].BUFGCE_DIV2_I/I"}] -divide_by 6 [get_pins -hierarchical -filter {NAME =~ "TTCLTI.ltittc0/u2/g_TTC_182.GTY_LTITTCLINK_TOP_INST/*/USE_BUFGCE_DIV2.GEN_BUFGCE_DIV2[0].BUFGCE_DIV2_I/O"}]