Skip to content

New algorihms of LArMonitoring create additional histograms for pedestal, delay and ramp runs

Ekaterina Ramakoti requested to merge eramakot/athena:athena_22.0.44_qw into master

Add new histograms to improve LAr electronics calibration monitoring and data quality procedures.

Changes in LArCalorimeter/LArMonitoring and DataQuality/DataQualityUtils/data/postprocessing packages.

LArCalibPedMonAlg: new histograms

  • Number of events and Number of data corruption errors in each FEB channel for all detector partitions;
  • Yield of corrupted events, Number of events and LAr event size in each LB;
  • Number of events and Average number of cells in each slot and FT;

LArCalibDelayMonAlg: new histograms

  • Max and Min samples in each FEB channel;
  • Number of events for max and min samples in each ADC and also in slot and FT;
  • Number of events for DAC and Delay and their values in each FEB channel;
  • Average of Max and Min samples in each slot and FT;
  • Average of Max samples with >3000 in each slot and FT;

LArMonTransforms and LArMonPostProc.yaml: new postprocessing histogram for mean value in each FEB channel for all detector partitions;

Merge request reports