Skip to content
Snippets Groups Projects
Commit 6bf53891 authored by David Monk's avatar David Monk Committed by David Gabriel Monk
Browse files

Added StubInterleaver input

parent fc29bcad
No related branches found
No related tags found
1 merge request!88Draft: Made start on front end testbenches
...@@ -58,12 +58,13 @@ begin ...@@ -58,12 +58,13 @@ begin
--==============================-- --==============================--
generic map ( generic map (
input_filename => "framed_stream.txt", input_filename => "framed_stream.txt",
output_filename => "result.txt" output_filename => "result.txt",
output_line_width => 64
) )
port map ( port map (
clk => clk_p, clk => clk_p,
data_out => stream_in data_out => stream_in,
-- data_in => stubs data_in => stubs
); );
--==============================-- --==============================--
...@@ -97,9 +98,9 @@ begin ...@@ -97,9 +98,9 @@ begin
clk => clk_p, clk => clk_p,
data_in => stream_in, data_in => stream_in,
header_start => header_start, header_start => header_start,
aligner_state => aligner_state aligner_state => aligner_state,
--- Output Ports --- --- Output Ports ---
-- stub_out => stubs(i), stub_out => stubs
-- header_out => headers(i) -- header_out => headers(i)
); );
......
This diff is collapsed.
setup --cd ../ucf sim.tcl setup --cd ../ucf sim.tcl
src TestBench.vhd src TestBench.vhd
src -c sim/common TestBenchIO.vhd
src -c dtc-fe StubInterleaver.vhd src -c dtc-fe StubInterleaver.vhd
......
...@@ -20,6 +20,7 @@ architecture Behavioral of testbench is ...@@ -20,6 +20,7 @@ architecture Behavioral of testbench is
-- I/O streams -- I/O streams
signal stream_in : lword := LWORD_NULL; signal stream_in : lword := LWORD_NULL;
signal filtered_stream : lword := LWORD_NULL;
signal stubs : lword := LWORD_NULL; signal stubs : lword := LWORD_NULL;
-- Link Interface -- Link Interface
...@@ -57,4 +58,42 @@ begin ...@@ -57,4 +58,42 @@ begin
end if; end if;
end process pReset; end process pReset;
-- I/O
--==============================--
TestBenchIOInstance : entity work.TestBenchIO
--==============================--
generic map (
input_filename => "stub_extractor_output.txt",
output_filename => "result.txt",
input_line_width => 64
)
port map (
clk => clk_p,
data_out => stream_in,
data_in => stubs
);
pAddMetadata : process(clk_p)
begin
if rising_edge(clk_p) then
filtered_stream <= LWORD_NULL;
if stream_in.data /= x"0000000000000000" then
filtered_stream.valid <= '1';
filtered_stream.strobe <= '1';
filtered_stream.data <= stream_in.data;
end if;
end if;
end process pAddMetadata;
--==============================--
StubInterleaver: entity work.StubInterleaver
--==============================--
port map(
--- Input Ports ---
clk => clk_p,
stub_in_0 => filtered_stream,
stub_in_1 => filtered_stream,
--- Output Ports ---
stub_out => stubs
);
end Behavioral; end Behavioral;
set_property top testbench [get_filesets sim_1] set_property top testbench [get_filesets sim_1]
set_property top_lib xil_defaultlib [get_filesets sim_1] set_property top_lib xil_defaultlib [get_filesets sim_1]
\ No newline at end of file update_compile_order -fileset sources_1
set_property source_mgmt_mode DisplayOnly [current_project]
import_files -fileset sim_1 -norecurse ../../src/dtc/dtc-fe/testbenches/StubInterleaver/stub_extractor_output.txt
\ No newline at end of file
This diff is collapsed.
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment