Skip to content
Snippets Groups Projects
Commit 0814a600 authored by David Monk's avatar David Monk
Browse files

Fixed address numbering

parent f1f0cba8
No related branches found
No related tags found
1 merge request!34Add functionality for multiple module types in a single image
Pipeline #6141814 passed
......@@ -12,11 +12,11 @@
<node id="csr" address="0x30" description="MProcessor control and status" fwinfo="endpoint;width=3">
<node id="header_start_select" address="0x0" mask="0x7"/>
<node id="occupancy_windowL" address="0x1"/>
<node id="occupancy_windowH" address="0x2" mask="0x000f"/>
<node id="lff" address="0x3" mask="0x0001"/>
<node id="super_id" address="0x4"/>
<node id="occupancy_max_value0" address="0x5"/>
<node id="occupancy_windowL" address="0x1"/>
<node id="occupancy_windowH" address="0x2" mask="0x000f"/>
<node id="lff" address="0x4" mask="0x0001"/>
<node id="super_id" address="0x5"/>
<node id="occupancy_max_value" address="0x6"/>
</node>
<node id="occupancy_mem" address="0x400" size="0x100" mode="incremental" fwinfo="endpoint;width=8"/>
......
......@@ -53,13 +53,13 @@ architecture Behavorial of MProcessor is
signal super_id : std_logic_vector(31 downto 0) := (others => '0');
signal debug_packet_size : lword := LWORD_NULL;
signal occupancy_max_value : std_logic_vector(32 - 1 downto 0) := (others => '0');
signal occupancy_histogram_reset : std_logic := '0';
signal occupancy_trigger_window_lower : std_logic_vector(31 downto 0) := (others => '0');
signal occupancy_trigger_window_upper : std_logic_vector(3 downto 0) := (others => '0');
signal occupancy_trigger_window : std_logic_vector(36 - 1 downto 0) := X"0ffffffff";
signal occupancy_max_value : std_logic_vector(32 - 1 downto 0) := (others => '0');
signal occupancy_histogram_reset : std_logic := '0';
signal debug_packet_size : lword := LWORD_NULL;
begin
......@@ -201,6 +201,7 @@ begin
occupancy_trigger_window_lower <= control_registers(1);
occupancy_trigger_window_upper <= control_registers(2)(3 downto 0);
occupancy_trigger_window <= occupancy_trigger_window_upper & occupancy_trigger_window_lower;
status_registers(2)(32 - 1 downto 0) <= occupancy_max_value;
......
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment