Skip to content
Snippets Groups Projects
Commit 20b789bd authored by Marco Riggirello's avatar Marco Riggirello
Browse files

Single pipeline implementation.

parent b02d0b27
Branches
No related tags found
1 merge request!48Draft: Add DAQpath ethernet link
Pipeline #7420444 passed
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
package emp_daqpath_user_package is
-- DAQPATH settings
--constant N_CHAN : integer := 8; -- total number of channnels (commented out cause channels number is calculated in payload with number fo FE modules/CICs constants)
constant N_CHAN_PER_GROUP : integer := 6; -- number of channels per pipeline group (number of group is then = ceil(N_CHAN/N_CHAN_PER_GROUP))
constant DW_BYTES : integer := 4; -- 32 bit words for DTC
end package emp_daqpath_user_package;
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Please register or to comment