Skip to content
Snippets Groups Projects
Commit 719287a8 authored by David Monk's avatar David Monk
Browse files

Small changes to header generator

parent 25fa1d22
No related branches found
No related tags found
1 merge request!34Add functionality for multiple module types in a single image
Pipeline #6142521 failed
......@@ -19,7 +19,9 @@ entity PayloadHeaderGenerator is
module_header_in : in tCICHeaderArray(cNumberOfFEModules * cNumberOfCICs - 1 downto 0) := (others => ('0', (others => '0'), (others => '0'), (others => '0')));
user_bits : in std_logic_vector(31 downto 0);
--- Ouput Ports ---
header_out : out tPayloadHeaderArray := (others => (others => '0'))
header_out : out tPayloadHeaderArray := (others => (others => '0'));
--- Debug Ports ---
super_id : out std_logic_vector(31 downto 0) := (others => '0')
);
end PayloadHeaderGenerator;
......@@ -57,8 +59,8 @@ begin
end if;
end if;
header_word <= (others => '0');
header_word(31 downto 0) <= std_logic_vector(super_id);
-- header_word <= (others => '0');
header_word(31 downto 0) <= std_logic_vector(super_id);
header_word(63 downto 32) <= user_bits;
header_word(75 downto 64) <= bcid_sr(bcid_sr'high);
end if;
......@@ -100,7 +102,6 @@ begin
end if;
end process pCountStubs;
header_out(i)(75 downto 0) <= header_word(75 downto 0);
end generate ; -- genOutput
......
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment