Skip to content
Snippets Groups Projects
Commit 92e254e3 authored by David Gabriel Monk's avatar David Gabriel Monk
Browse files

Update top/firmware/hdl/emp_payload.vhd

parent 6e648c53
No related branches found
No related tags found
1 merge request!22Update data formats to use new common data format from the DTC
Pipeline #4453622 passed
......@@ -90,9 +90,6 @@ signal eth_link_out : ldata(1 downto 0) :
signal header_array : tCICHeaderArray(cNumberOfFEModules * cNumberOfCICs - 1 downto 0) := (others => ('0', (others => '0'), (others => '0'), (others => '0')));
signal link_combiner_debug_super_id : std_logic_vector(31 downto 0);
signal packet_start : std_logic := '0';
-- MProcessor
constant cNumberOfMProcessors : integer := 1;
signal mprocessor_ipb_chain : ipbdc_bus_array(cNumberOfMProcessors downto 0);
......
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Please register or to comment