Skip to content
Snippets Groups Projects
Commit 98e9bae7 authored by David Gabriel Monk's avatar David Gabriel Monk
Browse files

Update file emp_payload.vhd

parent e895acd5
No related branches found
No related tags found
No related merge requests found
Pipeline #7308133 passed
...@@ -60,9 +60,8 @@ architecture rtl of emp_payload is ...@@ -60,9 +60,8 @@ architecture rtl of emp_payload is
signal ipb_from_slaves : ipb_rbus_array(N_SLAVES - 1 downto 0); signal ipb_from_slaves : ipb_rbus_array(N_SLAVES - 1 downto 0);
signal fe_control_registers : ipb_reg_v(0 downto 0); signal fe_control_registers : ipb_reg_v(0 downto 0);
signal fe_status_registers : ipb_reg_v(0 downto 0); signal fe_status_registers : ipb_reg_v(0 downto 0);
signal mproc_control_registers : ipb_reg_v(1 downto 0); signal mproc_control_registers : ipb_reg_v(1 downto 0);
signal mproc_status_registers : ipb_reg_v(0 downto 0);
signal link_aggr_control : ipb_reg_v(0 downto 0); signal link_aggr_control : ipb_reg_v(0 downto 0);
...@@ -71,13 +70,14 @@ architecture rtl of emp_payload is ...@@ -71,13 +70,14 @@ architecture rtl of emp_payload is
signal ipb_chain : ipbdc_bus_array(cNumberOfFEModules downto 0); signal ipb_chain : ipbdc_bus_array(cNumberOfFEModules downto 0);
signal stubs : ldata(cNumberOfFEModules - 1 downto 0); signal stubs : ldata(cNumberOfFEModules - 1 downto 0);
signal header_array : tCICHeaderArray(cNumberOfFEModules*cNumberOfCICs - 1 downto 0) := (others => ('0', (others => '0'), (others => '0'), (others => '0'))); signal header_array : tCICHeaderArray(cNumberOfFEModules*cNumberOfCICs - 1 downto 0) := (others => ('0', (others => '0'), (others => '0'), (others => '0')));
signal header_start_array, header_start_array_buffered : std_logic_vector(cNumberOfFEModules*cNumberOfCICs - 1 downto 0) := (others => '0'); signal header_start_array, header_start_array_buffered : std_logic_vector(cNumberOfFEModules*cNumberOfCICs - 1 downto 0) := (others => '0');
signal eth_link_out : ldata(3 downto 0) := (others => LWORD_NULL); signal eth_link_out : ldata(3 downto 0) := (others => LWORD_NULL);
-- MProcessor -- MProcessor
constant cNumberOfMProcessors : integer := 1;
signal mprocessor_ipb_chain : ipbdc_bus_array(cNumberOfMProcessors downto 0); signal mprocessor_ipb_chain : ipbdc_bus_array(cNumberOfMProcessors downto 0);
signal srst : std_logic := '0'; signal srst : std_logic := '0';
signal ttc_resync_reset, ttc_resync_reset_prev : std_logic := '0'; signal ttc_resync_reset, ttc_resync_reset_prev : std_logic := '0';
...@@ -129,7 +129,7 @@ begin ...@@ -129,7 +129,7 @@ begin
--==============================-- --==============================--
fe_channel_ctrl : entity work.ipbus_ctrlreg_v fe_channel_ctrl : entity work.ipbus_ctrlreg_v
--==============================-- --==============================--
generic map( generic map (
N_CTRL => 1, N_CTRL => 1,
N_STAT => 1 N_STAT => 1
) )
...@@ -271,13 +271,13 @@ begin ...@@ -271,13 +271,13 @@ begin
-- L1 Data Aggregator -- L1 Data Aggregator
--==============================-- --==============================--
pBufferL1Data : process(clk_p) -- pBufferL1Data : process(clk_p)
begin -- begin
if rising_edge(clk_p) then -- if rising_edge(clk_p) then
daq_data_buffered <= daq_data; -- daq_data_buffered <= daq_data;
daq_empty_buffered <= daq_empty; -- daq_empty_buffered <= daq_empty;
end if; -- end if;
end process pBufferL1Data; -- end process pBufferL1Data;
--==============================-- --==============================--
L1DataAggregator : entity work.L1DataAggregator L1DataAggregator : entity work.L1DataAggregator
...@@ -285,8 +285,8 @@ begin ...@@ -285,8 +285,8 @@ begin
port map ( port map (
--- Input Ports --- --- Input Ports ---
clk_p => clk_p, clk_p => clk_p,
daq_in => daq_data_buffered, daq_in => daq_data,
empty => daq_empty_buffered, empty => daq_empty,
--- Output Ports --- --- Output Ports ---
read => daq_read, read => daq_read,
--- IPBus Ports --- --- IPBus Ports ---
...@@ -478,8 +478,6 @@ begin ...@@ -478,8 +478,6 @@ begin
q(24).strobe <= '1'; q(24).strobe <= '1';
bc0 <= '0'; bc0 <= '0';
gpio <= (others => '0'); gpio <= (others => '0');
gpio_en <= (others => '0'); gpio_en <= (others => '0');
......
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment