Skip to content
Snippets Groups Projects
Commit 9a75997f authored by David Gabriel Monk's avatar David Gabriel Monk
Browse files

Added debug packet size signal

parent a697f897
No related branches found
No related tags found
1 merge request!34Add functionality for multiple module types in a single image
Pipeline #6138439 passed
......@@ -27,7 +27,8 @@ entity LinkCombinerCore is
--- Debug Ports ---
debug : out lword := LWORD_NULL;
debug_super_id : out std_logic_vector(31 downto 0);
debug_fifo : out std_logic_vector(31 downto 0) := (others => '0')
debug_fifo : out std_logic_vector(31 downto 0) := (others => '0');
debug_packet_size : out lword := LWORD_NULL
);
end LinkCombinerCore;
......@@ -194,6 +195,8 @@ begin
variable data_count : unsigned(7 downto 0);
begin
if rising_edge(clk_p) then
debug_packet_size <= LWORD_NULL;
output_valid_previous <= output_valid;
if output_valid = '1' and output_rd_en_check = '1' then
link_out.valid <= '1';
......@@ -213,6 +216,9 @@ begin
if data_count >= 2 and output_readout_countdown = 0 then
output_rd_en_check <= output_enable;
output_readout_countdown <= to_integer(data_count);
debug_packet_size.valid <= '1';
debug_packet_size.data(7 downto 0) <= output_data_count;
elsif output_almost_full = '1' and output_readout_countdown = 0 then
output_rd_en_check <= output_enable;
output_readout_countdown <= to_integer(data_count);
......
......@@ -32,7 +32,8 @@ entity LinkCombinerIPBus is
ipb_in : in ipb_wbus;
ipb_out : out ipb_rbus;
--- Debug Ports ---
super_id : out std_logic_vector(31 downto 0)
super_id : out std_logic_vector(31 downto 0);
debug_packet_size : out lword := LWORD_NULL
);
end LinkCombinerIPBus;
......@@ -114,8 +115,8 @@ begin
link_out => link_out,
--- Debug Ports ---
-- debug => link_combiner_debug,
debug_super_id => super_id
-- debug_fifo => link_aggregator_status_registers(2)
debug_super_id => super_id,
debug_packet_size => debug_packet_size
);
end Behavioral;
......@@ -53,6 +53,8 @@ architecture Behavorial of MProcessor is
signal super_id : std_logic_vector(31 downto 0) := (others => '0');
signal debug_packet_size : lword := LWORD_NULL;
begin
--==============================--
......@@ -136,7 +138,8 @@ begin
ipb_in => ipb_to_slaves(N_SLV_LINK_COMBINER),
ipb_out => ipb_from_slaves(N_SLV_LINK_COMBINER),
--- Debug Ports ---
super_id => super_id
super_id => super_id,
debug_packet_size => debug_packet_size
);
-- --==============================--
......
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment