Skip to content
Snippets Groups Projects
Commit ccbeabf6 authored by Kirika Uchida's avatar Kirika Uchida
Browse files

update

parent 10778d4f
No related branches found
No related tags found
1 merge request!52Update 3 files
Pipeline #8097183 failed
......@@ -26,10 +26,8 @@ port (
header_start : in std_logic;
gtrig : in std_logic;
ttrig : in std_logic_vector(7 downto 0);
header_start : in std_logic;
--- Output Ports ---
data_out0 : out ldata(cNumberOfEcalOutputLinks - 1 downto 0);
data_out1 : out ldata(cNumberOfEcalOutputLinks - 1 downto 0);
data_out : out ldata(cNumberOfEcalOutputLinks - 1 downto 0);
--- IPBus Ports ---
ipb_clk : in std_logic;
ipb_rst : in std_logic;
......@@ -69,6 +67,8 @@ architecture rtl of EcalDataProcessor is
signal data_out0_s : lword;
signal data_out1_s : lword;
signal data_out0 : lword;
signal data_out1 : lword;
type ostate_type is (wait_start, wait_last, wait_bpoff); -- This state machine does not allow a single frame packet. The packet length >= 2.
signal ostate : ostate_type;
......@@ -87,6 +87,9 @@ architecture rtl of EcalDataProcessor is
begin
data_out(0) <= data_out0;
data_out(1) <= data_out1;
--==============================--
fabric: entity work.ipbus_fabric_sel
--==============================--
......
......@@ -376,6 +376,9 @@ begin
clk40 => clk40,
data_in => ecal_link_data,
gbe_backpressure => gbe_backpressure(2),
header_start => ttrig.start,
gtrig => '0',
ttrig => ttrig.data(7 downto 0),
--- Output Ports ---
data_out => ecal_data,
--- IPBus Ports ---
......
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment