Skip to content
Snippets Groups Projects
Commit de6db33d authored by David Gabriel Monk's avatar David Gabriel Monk
Browse files

Merge branch 'dmonk-fix-dependencies' into 'master'

Fix dependencies issues after migration to mainline EMP

See merge request !16
parents 48214c34 377df61f
No related branches found
No related tags found
1 merge request!16Fix dependencies issues after migration to mainline EMP
Pipeline #4181998 passed
...@@ -8,7 +8,7 @@ variables: ...@@ -8,7 +8,7 @@ variables:
PROJECT_ALGORITHM: top PROJECT_ALGORITHM: top
PROJECT_NAME: mprocessor PROJECT_NAME: mprocessor
EMPHUB_TAG: $CI_COMMIT_REF_NAME-$CI_COMMIT_SHORT_SHA EMPHUB_TAG: $CI_COMMIT_REF_NAME-$CI_COMMIT_SHORT_SHA
IPBB_VER: "dev-2021j" IPBB_VER: "dev-2021j-v1.2"
.vivado-job-build: .vivado-job-build:
......
--- ---
sources: sources:
emp-fwk: emp-fwk:
branch: k_fe_mgt branch: master
url: https://gitlab.cern.ch/p2-xware/firmware/emp-fwk.git url: https://gitlab.cern.ch/p2-xware/firmware/emp-fwk.git
ttc_legacy: ttc_legacy:
......
...@@ -13,8 +13,8 @@ src -c ipbus-firmware:components/ipbus_slaves ipbus_reg_types.vhd ...@@ -13,8 +13,8 @@ src -c ipbus-firmware:components/ipbus_slaves ipbus_reg_types.vhd
src -c ipbus-firmware:components/ipbus_core ipbus_dc_node.vhd src -c ipbus-firmware:components/ipbus_core ipbus_dc_node.vhd
src -c ipbus-firmware:components/ipbus_core ipbus_dc_fabric_sel.vhd src -c ipbus-firmware:components/ipbus_core ipbus_dc_fabric_sel.vhd
include -c emp-fwk:boards/serenity/dc_ku15p dc_ku15p_sm1_v1.dep include -c emp-fwk:boards/serenity/dc_ku15p dc_ku15p_sm1_v1.dep
include -c emp-fwk:components/links/fe_mgt/interface interface_gbt.dep include -c emp-fwk:components/links/fe_mgt/interface interface.dep
include -c emp-fwk:components/links/fe_mgt/interface interface_lpgbt.dep include -c emp-fwk:components/links/fe_mgt/lpgbt/interface interface_lpgbt.dep
include -c 10gb-ethernet:eth10g emp_eth10g.dep include -c 10gb-ethernet:eth10g emp_eth10g.dep
......
...@@ -376,7 +376,7 @@ begin ...@@ -376,7 +376,7 @@ begin
end loop; end loop;
status := status0 & status1; status := status0 & status1;
status_sr <= status_sr(status_sr'high - 1 downto 0) & status; status_sr <= status_sr(status_sr'high - 1 downto 0) & status;
bcid := std_logic_vector(header_in(2).bcid); bcid := std_logic_vector(header_in(0).bcid);
bcid_sr <= bcid_sr(bcid_sr'high - 1 downto 0) & bcid; bcid_sr <= bcid_sr(bcid_sr'high - 1 downto 0) & bcid;
if unsigned(bcid_sr(bcid_sr'high)) > unsigned(bcid_sr(bcid_sr'high - 1)) then if unsigned(bcid_sr(bcid_sr'high)) > unsigned(bcid_sr(bcid_sr'high - 1)) then
super_id <= super_id + 1; super_id <= super_id + 1;
......
...@@ -5,18 +5,14 @@ ...@@ -5,18 +5,14 @@
library ieee; library ieee;
use ieee.std_logic_1164.all; use ieee.std_logic_1164.all;
library lpgbt_lib; library lpgbt_lib;
use lpgbt_lib.lpgbtfpga_package.all; use lpgbt_lib.lpgbtfpga_package.all;
use work.emp_lpgbt_decl.all;
use work.emp_framework_decl.all; use work.emp_framework_decl.all;
use work.emp_device_decl.all; use work.emp_device_decl.all;
use work.emp_device_types.all; use work.emp_device_types.all;
--use work.lpgbtfpga_package.all; use work.emp_lpgbt_decl.all;
use work.emp_gbt_package.all;
use work.emp_data_framer_decl.all; use work.emp_data_framer_decl.all;
use work.gbt_bank_package.all;
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
package emp_project_decl is package emp_project_decl is
...@@ -62,27 +58,19 @@ package emp_project_decl is ...@@ -62,27 +58,19 @@ package emp_project_decl is
-- for data framer (ic_simple, no_ec, n_ec_spare, ec_broadcast) -- for data framer (ic_simple, no_ec, n_ec_spare, ec_broadcast)
constant REGION_DATA_FRAMER_CONF : region_data_framer_conf_array_t := ( constant REGION_DATA_FRAMER_CONF : region_data_framer_conf_array_t := (
0 => ( 0=>(false, true, 0, false), 1=>(false, true, 0, false), 2=>(false, true, 0, false), 3=>(false, true, 0, false)), 2 => ( 0=>(false, true, 0, false), 1=>(false, true, 0, false), 2=>(false, true, 0, false), 3=>(false, true, 0, false)),
1 => ( 0=>(false, false, 0, false), 1=>(false, false, 0, false), 2=>(false, false, 0, false), 3=>(false, false, 0, false)), others => kDummyRegionDataFramer
2 => ( 0=>(false, true, 0, false), 1=>(false, true, 0, false), 2=>(false, true, 0, false), 3=>(false, true, 0, false)),
others => kDummyRegionDataFramer
);
-- for gbt
constant REGION_GBT_CONF : region_gbt_conf_array_t := (
-- 1 => ( STANDARD, STANDARD, WIDE_BUS, WIDE_BUS),
others => kDummyRegionGbt
); );
-- for lpgbt -- for lpgbt
constant REGION_LPGBT_CONF : region_lpgbt_conf_array_t := ( constant REGION_LPGBT_CONF : region_lpgbt_conf_array_t := (
0 => (FEC5, DATARATE_5G12, PCS),
1 => (FEC5, DATARATE_5G12, PCS),
2 => (FEC5, DATARATE_5G12, PCS), 2 => (FEC5, DATARATE_5G12, PCS),
others => kDummyRegionLpgbt others => kDummyRegionLpgbt
); );
end emp_project_decl; end emp_project_decl;
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment