Skip to content
Snippets Groups Projects
Commit f4660080 authored by Frans Schreuder's avatar Frans Schreuder
Browse files

Pushed firmware version to 4.12

parent 3169517a
No related branches found
No related tags found
No related merge requests found
Showing
with 15402 additions and 24 deletions
......@@ -21,9 +21,9 @@
#
# Script to rebuild the derived files from templates
#
prev_version=4.10
current_version=4.11
next_version=4.11
prev_version=4.11
current_version=4.12
next_version=4.12
# firmware directory:
firmware_dir=../..
......
......@@ -23,7 +23,7 @@
# build the documentation from the registermap.tex file
wuppercodegen=../../../software/wuppercodegen/wuppercodegen/cli.py
registers=registers-4.11.yaml
registers=registers-4.12.yaml
$wuppercodegen --version
$wuppercodegen $registers registermap.tex.template registermap.tex
latex registers.tex
......
......@@ -21,8 +21,8 @@
# Script to rebuild the derived files from templates
#
prev_version=4.10
current_version=4.11
prev_version=4.11
current_version=4.12
#
# firmware directory:
......
......@@ -29,8 +29,8 @@
# Script to rebuild the derived files from templates
#
prev_version=4.10
current_version=4.11
prev_version=4.11
current_version=4.12
#next_version=4.9
......
......@@ -40,11 +40,11 @@
-- DO NOT EDIT THIS FILE
--
-- This file was generated from template '../../sources/templates/dma_control.vhd.template'
-- and register map ../../sources/templates/registers-4.12.yaml, version 4.12
-- by the script 'wuppercodegen', version: 0.8.4,
-- using the following commandline:
--
-- ../../../software/wuppercodegen/wuppercodegen/cli.py ../../sources/templates/registers-4.11.yaml ../../sources/templates/dma_control.vhd.template ../../sources/templates/dma_control.vhd
-- ../../../software/wuppercodegen/wuppercodegen/cli.py ../../sources/templates/registers-4.12.yaml ../../sources/templates/dma_control.vhd.template ../../sources/templates/dma_control.vhd
--
-- Please do NOT edit this file, but edit the source file at '../../sources/templates/dma_control.vhd.template'
--
......@@ -19105,7 +19105,7 @@ end process;
 
 
-- GenericBoardInformation
when REG_REG_MAP_VERSION => register_read_data_25_s(15 downto 0) <= std_logic_vector(to_unsigned(1035,16)); -- Register Map Version, 4.11 formatted as 0x040B
when REG_REG_MAP_VERSION => register_read_data_25_s(15 downto 0) <= std_logic_vector(to_unsigned(1036,16)); -- Register Map Version, 4.12 formatted as 0x040C
when REG_BOARD_ID_TIMESTAMP => register_read_data_25_s(39 downto 0) <= BUILD_DATETIME; -- Board ID Date / Time in BCD format YYMMDDhhmm
when REG_GIT_COMMIT_TIME => register_read_data_25_s(39 downto 0) <= COMMIT_DATETIME; -- Board ID GIT Commit time of current revision, Date / Time in BCD format YYMMDDhhmm
when REG_GIT_TAG => register_read_data_25_s(63 downto 0) <= GIT_TAG(63 downto 0); -- String containing the current GIT TAG
......@@ -39,11 +39,11 @@
-- DO NOT EDIT THIS FILE
--
-- This file was generated from template '../../sources/templates/pcie_package.vhd.template'
-- and register map ../../sources/templates/registers-4.12.yaml, version 4.12
-- by the script 'wuppercodegen', version: 0.8.4,
-- using the following commandline:
--
-- ../../../software/wuppercodegen/wuppercodegen/cli.py ../../sources/templates/registers-4.11.yaml ../../sources/templates/pcie_package.vhd.template ../../sources/templates/pcie_package.vhd
-- ../../../software/wuppercodegen/wuppercodegen/cli.py ../../sources/templates/registers-4.12.yaml ../../sources/templates/pcie_package.vhd.template ../../sources/templates/pcie_package.vhd
--
-- Please do NOT edit this file, but edit the source file at '../../sources/templates/pcie_package.vhd.template'
--
......@@ -7651,7 +7651,7 @@ package pcie_package is
 
-- GenericBoardInformation
type register_map_gen_board_info_type is record
REG_MAP_VERSION : std_logic_vector(15 downto 0); -- Register Map Version, 4.11 formatted as 0x040B
REG_MAP_VERSION : std_logic_vector(15 downto 0); -- Register Map Version, 4.12 formatted as 0x040C
BOARD_ID_TIMESTAMP : std_logic_vector(39 downto 0); -- Board ID Date / Time in BCD format YYMMDDhhmm
GIT_COMMIT_TIME : std_logic_vector(39 downto 0); -- Board ID GIT Commit time of current revision, Date / Time in BCD format YYMMDDhhmm
GIT_TAG : std_logic_vector(63 downto 0); -- String containing the current GIT TAG
......@@ -23,11 +23,11 @@
-- DO NOT EDIT THIS FILE
--
-- This file was generated from template '../../sources/templates/register_map_sync.vhd.template'
-- and register map ../../sources/templates/registers-4.12.yaml, version 4.12
-- by the script 'wuppercodegen', version: 0.8.4,
-- using the following commandline:
--
 
-- ../../../software/wuppercodegen/wuppercodegen/cli.py ../../sources/templates/registers-4.12.yaml ../../sources/templates/register_map_sync.vhd.template ../../sources/templates/register_map_sync.vhd
--
-- Please do NOT edit this file, but edit the source file at '../../sources/templates/register_map_sync.vhd.template'
--
......
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
<!DOCTYPE html>
<html>
<head>
<style>
table {
width: 100%;
}
table, th, td {
border: 1px solid black;
border-collapse: collapse;
}
th, td {
padding: 5px;
vertical-align: top;
}
th {
text-align: left;
}
.group {
text-align: center;
font-weight: bold;
}
.changedAdded {
color: green;
font-weight: bold;
}
.changedRemoved {
color: purple;
font-weight: bold;
}
.changedTrue {
color: red;
font-weight: bold;
}
.changedChanged {
color: blue;
font-weight: bold;
}
.changedInto {
color: magenta;
font-weight: bold;
}
.type, .range, .endpoints {
text-align: center;
}
.field {
text-align: right;
}
</style>
</head>
<body>
<h1>Changes between 4.11 and 4.12</h1>
<h2>Changes marked with '*' are backward incompatible</h2>
<table>
<tr>
<th>#</th>
<th>State</th>
<th>Address</th>
<th>PCIe</th>
<th>Name</th>
<th>Note</th>
<th>Field</th>
<th>Bits</th>
<th>Type</th>
<th>Description</th>
<th>Value</th>
</tr>
</table>
</body>
</html>
\ No newline at end of file
......@@ -27,11 +27,11 @@
-- DO NOT EDIT THIS FILE
--
-- This file was generated from template '../../sources/templates/strips_config_package.vhd.template'
-- and register map ../../sources/templates/registers-4.12.yaml, version 4.12
-- by the script 'wuppercodegen', version: 0.8.4,
-- using the following commandline:
--
 
-- ../../../software/wuppercodegen/wuppercodegen/cli.py ../../sources/templates/registers-4.12.yaml ../../sources/templates/strips_config_package.vhd.template ../../sources/templates/strips_config_package.vhd
--
-- Please do NOT edit this file, but edit the source file at '../../sources/templates/strips_config_package.vhd.template'
--
......
......@@ -27,11 +27,11 @@
-- DO NOT EDIT THIS FILE
--
-- This file was generated from template '../../sources/templates/strips_phase1_long_stave_mapping.vhd.template'
-- and register map ../../sources/templates/registers-4.12.yaml, version 4.12
-- by the script 'wuppercodegen', version: 0.8.4,
-- using the following commandline:
--
 
-- ../../../software/wuppercodegen/wuppercodegen/cli.py ../../sources/templates/registers-4.12.yaml ../../sources/templates/strips_phase1_long_stave_mapping.vhd.template ../../sources/templates/strips_phase1_long_stave_mapping.vhd
--
-- Please do NOT edit this file, but edit the source file at '../../sources/templates/strips_phase1_long_stave_mapping.vhd.template'
--
......
......@@ -27,11 +27,11 @@
-- DO NOT EDIT THIS FILE
--
-- This file was generated from template '../../sources/templates/strips_phase1_unknown_mapping.vhd.template'
-- and register map ../../sources/templates/registers-4.12.yaml, version 4.12
-- by the script 'wuppercodegen', version: 0.8.4,
-- using the following commandline:
--
 
-- ../../../software/wuppercodegen/wuppercodegen/cli.py ../../sources/templates/registers-4.12.yaml ../../sources/templates/strips_phase1_unknown_mapping.vhd.template ../../sources/templates/strips_phase1_unknown_mapping.vhd
--
-- Please do NOT edit this file, but edit the source file at '../../sources/templates/strips_phase1_unknown_mapping.vhd.template'
--
......
......@@ -30,11 +30,11 @@
-- DO NOT EDIT THIS FILE
--
-- This file was generated from template '../../sources/templates/wupper.vhd.template'
-- and register map ../../sources/templates/registers-4.12.yaml, version 4.12
-- by the script 'wuppercodegen', version: 0.8.4,
-- using the following commandline:
--
 
-- ../../../software/wuppercodegen/wuppercodegen/cli.py ../../sources/templates/registers-4.12.yaml ../../sources/templates/wupper.vhd.template ../../sources/templates/wupper.vhd
--
-- Please do NOT edit this file, but edit the source file at '../../sources/templates/wupper.vhd.template'
--
......
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment