Skip to content
Snippets Groups Projects
vivado_20636.backup.jou 8.27 KiB
Newer Older
onishi's avatar
onishi committed
#-----------------------------------------------------------
# Vivado v2017.4 (64-bit)
# SW Build 2086221 on Fri Dec 15 20:54:30 MST 2017
# IP Build 2085800 on Fri Dec 15 22:25:07 MST 2017
# Start of session at: Wed Apr 17 13:56:09 2019
# Process ID: 20636
# Current directory: /mnt/HDD1/onishi/Vivado_Project/work/DAQ_socket_loopback_01
# Command line: vivado DAQ_socket_loopback_01.xpr
# Log file: /mnt/HDD1/onishi/Vivado_Project/work/DAQ_socket_loopback_01/vivado.log
# Journal file: /mnt/HDD1/onishi/Vivado_Project/work/DAQ_socket_loopback_01/vivado.jou
#-----------------------------------------------------------
start_gui
open_project DAQ_socket_loopback_01.xpr
update_compile_order -fileset sources_1
export_ip_user_files -of_objects  [get_files /mnt/HDD1/onishi/Vivado_Project/work/DAQ_socket_loopback_01/DAQ_socket_loopback_01.src/sources_1/new/DSinput.v] -no_script -reset -force -quiet
remove_files  /mnt/HDD1/onishi/Vivado_Project/work/DAQ_socket_loopback_01/DAQ_socket_loopback_01.src/sources_1/new/DSinput.v
export_ip_user_files -of_objects  [get_files /mnt/HDD1/onishi/Vivado_Project/work/DAQ_socket_loopback_01/DAQ_socket_loopback_01.src/sources_1/new/IBUFDS.v] -no_script -reset -force -quiet
remove_files  /mnt/HDD1/onishi/Vivado_Project/work/DAQ_socket_loopback_01/DAQ_socket_loopback_01.src/sources_1/new/IBUFDS.v
export_ip_user_files -of_objects  [get_files /mnt/HDD1/onishi/Vivado_Project/work/DAQ_socket_loopback_01/DAQ_socket_loopback_01.src/sources_1/new/PatterunFilter.v] -no_script -reset -force -quiet
remove_files  /mnt/HDD1/onishi/Vivado_Project/work/DAQ_socket_loopback_01/DAQ_socket_loopback_01.src/sources_1/new/PatterunFilter.v
export_ip_user_files -of_objects  [get_files /mnt/HDD1/onishi/Vivado_Project/work/DAQ_socket_loopback_01/DAQ_socket_loopback_01.src/sources_1/new/SeriPara.v] -no_script -reset -force -quiet
remove_files  /mnt/HDD1/onishi/Vivado_Project/work/DAQ_socket_loopback_01/DAQ_socket_loopback_01.src/sources_1/new/SeriPara.v
add_files -norecurse {/mnt/HDD1/onishi/Vivado_Project/work/DAQ_socket_loopback_01/DAQ_socket_loopback_01.srcs/sources_1/new/IBUFDS.v /mnt/HDD1/onishi/Vivado_Project/work/DAQ_socket_loopback_01/DAQ_socket_loopback_01.srcs/sources_1/new/PatterunFilter.v /mnt/HDD1/onishi/Vivado_Project/work/DAQ_socket_loopback_01/DAQ_socket_loopback_01.srcs/sources_1/new/DSinput.v /mnt/HDD1/onishi/Vivado_Project/work/DAQ_socket_loopback_01/DAQ_socket_loopback_01.srcs/sources_1/new/SeriPara.v}
open_bd_design {/mnt/HDD1/onishi/Vivado_Project/work/DAQ_socket_loopback_01/DAQ_socket_loopback_01.srcs/sources_1/bd/design_1/design_1.bd}
update_compile_order -fileset sources_1
update_compile_order -fileset sources_1
regenerate_bd_layout
startgroup
set_property -dict [list CONFIG.CLKOUT3_USED {true} CONFIG.CLKOUT3_REQUESTED_OUT_FREQ {200.000} CONFIG.MMCM_DIVCLK_DIVIDE {1} CONFIG.MMCM_CLKFBOUT_MULT_F {8.000} CONFIG.MMCM_CLKOUT0_DIVIDE_F {20.000} CONFIG.MMCM_CLKOUT1_DIVIDE {5} CONFIG.MMCM_CLKOUT2_DIVIDE {4} CONFIG.NUM_OUT_CLKS {3} CONFIG.CLKOUT1_JITTER {174.629} CONFIG.CLKOUT1_PHASE_ERROR {114.212} CONFIG.CLKOUT2_JITTER {131.841} CONFIG.CLKOUT2_PHASE_ERROR {114.212} CONFIG.CLKOUT3_JITTER {126.455} CONFIG.CLKOUT3_PHASE_ERROR {114.212}] [get_bd_cells clk_wiz_0]
endgroup
startgroup
set_property -dict [list CONFIG.CLKOUT3_USED {false} CONFIG.CLKOUT2_REQUESTED_OUT_FREQ {200.000} CONFIG.MMCM_DIVCLK_DIVIDE {1} CONFIG.MMCM_CLKFBOUT_MULT_F {10.000} CONFIG.MMCM_CLKOUT0_DIVIDE_F {25.000} CONFIG.MMCM_CLKOUT1_DIVIDE {5} CONFIG.MMCM_CLKOUT2_DIVIDE {1} CONFIG.NUM_OUT_CLKS {2} CONFIG.CLKOUT1_JITTER {159.371} CONFIG.CLKOUT1_PHASE_ERROR {98.575} CONFIG.CLKOUT2_JITTER {114.829} CONFIG.CLKOUT2_PHASE_ERROR {98.575}] [get_bd_cells clk_wiz_0]
endgroup
regenerate_bd_layout
startgroup
endgroup
validate_bd_design
validate_bd_design -force
open_bd_design {/mnt/HDD1/onishi/Vivado_Project/work/DAQ_socket_loopback_01/DAQ_socket_loopback_01.srcs/sources_1/bd/design_1/design_1.bd}
make_wrapper -files [get_files /mnt/HDD1/onishi/Vivado_Project/work/DAQ_socket_loopback_01/DAQ_socket_loopback_01.srcs/sources_1/bd/design_1/design_1.bd] -top
add_files -norecurse /mnt/HDD1/onishi/Vivado_Project/work/DAQ_socket_loopback_01/DAQ_socket_loopback_01.srcs/sources_1/bd/design_1/hdl/design_1_wrapper.v
update_compile_order -fileset sources_1
launch_runs impl_1 -to_step write_bitstream -jobs 8
wait_on_run impl_1
create_bd_cell -type module -reference DSinput DSinput_0
delete_bd_objs [get_bd_cells DSinput_0]
export_ip_user_files -of_objects  [get_files /mnt/HDD1/onishi/Vivado_Project/work/DAQ_socket_loopback_01/DAQ_socket_loopback_01.srcs/sources_1/new/DSinput.v] -no_script -reset -force -quiet
remove_files  /mnt/HDD1/onishi/Vivado_Project/work/DAQ_socket_loopback_01/DAQ_socket_loopback_01.srcs/sources_1/new/DSinput.v
update_module_reference design_1_DSinput_0_0
update_compile_order -fileset sources_1
update_compile_order -fileset sources_1
validate_bd_design
export_ip_user_files -of_objects  [get_files /mnt/HDD1/onishi/Vivado_Project/work/DAQ_socket_loopback_01/DAQ_socket_loopback_01.srcs/sources_1/new/IBUFDS.v] -no_script -reset -force -quiet
remove_files  /mnt/HDD1/onishi/Vivado_Project/work/DAQ_socket_loopback_01/DAQ_socket_loopback_01.srcs/sources_1/new/IBUFDS.v
make_wrapper -files [get_files /mnt/HDD1/onishi/Vivado_Project/work/DAQ_socket_loopback_01/DAQ_socket_loopback_01.srcs/sources_1/bd/design_1/design_1.bd] -top
reset_run synth_1
launch_runs impl_1 -to_step write_bitstream -jobs 8
wait_on_run impl_1
open_bd_design {/mnt/HDD1/onishi/Vivado_Project/work/DAQ_socket_loopback_01/DAQ_socket_loopback_01.srcs/sources_1/bd/design_1/design_1.bd}
add_files -fileset constrs_1 -norecurse /mnt/HDD1/onishi/Vivado_Project/work/DAQ_socket_loopback_01/DAQ_socket_loopback_01.srcs/constrs_1/new/DAQ_byDMA_FEI4.xdc
reset_run synth_1
launch_runs impl_1 -to_step write_bitstream -jobs 8
wait_on_run impl_1
file mkdir /mnt/HDD1/onishi/Vivado_Project/work/DAQ_socket_loopback_01/DAQ_socket_loopback_01.sdk
file copy -force /mnt/HDD1/onishi/Vivado_Project/work/DAQ_socket_loopback_01/DAQ_socket_loopback_01.runs/impl_1/design_1_wrapper.sysdef /mnt/HDD1/onishi/Vivado_Project/work/DAQ_socket_loopback_01/DAQ_socket_loopback_01.sdk/design_1_wrapper.hdf

launch_sdk -workspace /mnt/HDD1/onishi/Vivado_Project/work/DAQ_socket_loopback_01/DAQ_socket_loopback_01.sdk -hwspec /mnt/HDD1/onishi/Vivado_Project/work/DAQ_socket_loopback_01/DAQ_socket_loopback_01.sdk/design_1_wrapper.hdf
launch_sdk -workspace /mnt/HDD1/onishi/Vivado_Project/work/DAQ_socket_loopback_01/DAQ_socket_loopback_01.sdk -hwspec /mnt/HDD1/onishi/Vivado_Project/work/DAQ_socket_loopback_01/DAQ_socket_loopback_01.sdk/design_1_wrapper.hdf
file mkdir /mnt/HDD1/onishi/Vivado_Project/work/DAQ_socket_loopback_01/DAQ_socket_loopback_01.sdk
file copy -force /mnt/HDD1/onishi/Vivado_Project/work/DAQ_socket_loopback_01/DAQ_socket_loopback_01.runs/impl_1/design_1_wrapper.sysdef /mnt/HDD1/onishi/Vivado_Project/work/DAQ_socket_loopback_01/DAQ_socket_loopback_01.sdk/design_1_wrapper.hdf

launch_sdk -workspace /mnt/HDD1/onishi/Vivado_Project/work/DAQ_socket_loopback_01/DAQ_socket_loopback_01.sdk -hwspec /mnt/HDD1/onishi/Vivado_Project/work/DAQ_socket_loopback_01/DAQ_socket_loopback_01.sdk/design_1_wrapper.hdf
startgroup
set_property -dict [list CONFIG.CLKOUT2_REQUESTED_OUT_FREQ {400.000} CONFIG.MMCM_DIVCLK_DIVIDE {1} CONFIG.MMCM_CLKFBOUT_MULT_F {8.000} CONFIG.MMCM_CLKOUT0_DIVIDE_F {20.000} CONFIG.MMCM_CLKOUT1_DIVIDE {2} CONFIG.CLKOUT1_JITTER {174.629} CONFIG.CLKOUT1_PHASE_ERROR {114.212} CONFIG.CLKOUT2_JITTER {111.164} CONFIG.CLKOUT2_PHASE_ERROR {114.212}] [get_bd_cells clk_wiz_0]
endgroup
validate_bd_design
make_wrapper -files [get_files /mnt/HDD1/onishi/Vivado_Project/work/DAQ_socket_loopback_01/DAQ_socket_loopback_01.srcs/sources_1/bd/design_1/design_1.bd] -top
reset_run synth_1
reset_run design_1_clk_wiz_0_0_synth_1
reset_run design_1_fifo_generator_0_0_synth_1
launch_runs impl_1 -to_step write_bitstream -jobs 8
wait_on_run impl_1
file copy -force /mnt/HDD1/onishi/Vivado_Project/work/DAQ_socket_loopback_01/DAQ_socket_loopback_01.runs/impl_1/design_1_wrapper.sysdef /mnt/HDD1/onishi/Vivado_Project/work/DAQ_socket_loopback_01/DAQ_socket_loopback_01.sdk/design_1_wrapper.hdf

launch_sdk -workspace /mnt/HDD1/onishi/Vivado_Project/work/DAQ_socket_loopback_01/DAQ_socket_loopback_01.sdk -hwspec /mnt/HDD1/onishi/Vivado_Project/work/DAQ_socket_loopback_01/DAQ_socket_loopback_01.sdk/design_1_wrapper.hdf
regenerate_bd_layout
save_bd_design