Skip to content

Issue0009

Rebecca Louise Ramjiawan requested to merge Issue0009 into master

Created by: glennchid

Merging branch Issue0009 to master:

13-bit chanel offsets included on each channel. Channel de-multiplexer used to access 'channelOffset' value from the UART. Offsets added in inside DataRegConvert module - needed one extra clock cycle.

Signals also renamed from eg 'p1_xdif ...' to 'chan1' etc, for generality.

Merge request reports