Skip to content
Snippets Groups Projects
Commit 204f62ee authored by Rocco Ardino's avatar Rocco Ardino
Browse files

Include set of files for calol1 towers scouting

parent 34836704
Branches
No related tags found
1 merge request!84L1calo add crc error flag
Pipeline #11949812 failed
Pipeline: scouting-preprocessor

#11949815

    Pipeline: scouting-preprocessor

    #11949814

      Pipeline: scouting-preprocessor

      #11949813

        ......@@ -21,7 +21,7 @@ firmware_build:
        parallel:
        matrix:
        - BOARD: vcu128
        INPUT_SYSTEM: [ugmtbmtf,calop2gt]
        INPUT_SYSTEM: [ugmtbmtf,calo]
        - BOARD: sb852
        INPUT_SYSTEM: [bril]
        ......
        -- Name SubName Data_Mask read_write Comment
        -- Setting Setting_b0 xffffffffffffffff rw
        --------------------------------------------------------------------------------------------------------------------------------------
        calol1_scouting_ctrl_register_offset calol1_scouting_ctrl_register_offset x00000000ffffffff r
        calol1_stream_enable_mask calol1_stream_enable_mask x00000000000000ff rw
        calol1_local_reset calol1_local_reset x0000000000000001 rw
        calol1_link_map_00 calol1_link_map_00 x000000000000001f rw
        calol1_link_map_01 calol1_link_map_01 x000000000000001f rw
        calol1_link_map_02 calol1_link_map_02 x000000000000001f rw
        calol1_link_map_03 calol1_link_map_03 x000000000000001f rw
        calol1_link_map_04 calol1_link_map_04 x000000000000001f rw
        calol1_link_map_05 calol1_link_map_05 x000000000000001f rw
        calol1_link_map_06 calol1_link_map_06 x000000000000001f rw
        calol1_link_map_07 calol1_link_map_07 x000000000000001f rw
        calol1_link_map_08 calol1_link_map_08 x000000000000001f rw
        calol1_link_map_09 calol1_link_map_09 x000000000000001f rw
        calol1_link_map_10 calol1_link_map_10 x000000000000001f rw
        calol1_link_map_11 calol1_link_map_11 x000000000000001f rw
        calol1_link_map_12 calol1_link_map_12 x000000000000001f rw
        calol1_link_map_13 calol1_link_map_13 x000000000000001f rw
        calol1_link_map_14 calol1_link_map_14 x000000000000001f rw
        calol1_link_map_15 calol1_link_map_15 x000000000000001f rw
        calol1_orbits_per_packet calol1_orbits_per_packet x000000000000ffff rw
        calol1_orbits_per_chunk calol1_orbits_per_chunk x00000000000fffff rw
        calol1_wait_for_oc1 calol1_wait_for_oc1 x0000000000000001 rw
        calol1_enable_data_gen calol1_enable_data_gen x0000000000000001 rw
        calol1_gen_orbit_full_length calol1_gen_orbit_full_length x000000000000ffff rw
        calol1_gen_orbit_data_length calol1_gen_orbit_data_length x000000000000ffff rw
        calol1_disable_reshape calol1_disable_reshape x0000000000000001 rw
        calol1_scouting_source_id_00 calol1_scouting_source_id_00 x00000000ffffffff rw
        calol1_scouting_source_id_01 calol1_scouting_source_id_01 x00000000ffffffff rw
        calol1_scouting_source_id_02 calol1_scouting_source_id_02 x00000000ffffffff rw
        calol1_scouting_source_id_03 calol1_scouting_source_id_03 x00000000ffffffff rw
        calol1_scouting_moni_register_offset calol1_scouting_moni_register_offset x00000000ffffffff r
        calol1_rx_byte_is_aligned_info calol1_rx_byte_is_aligned_info x00000000000000ff r
        calol1_gt_power_good_info calol1_gt_power_good_info x00000000000000ff r
        calol1_cdr_stable_info calol1_cdr_stable_info x0000000000000003 r
        calol1_gt_tx_reset_done_info calol1_gt_tx_reset_done_info x0000000000000003 r
        calol1_gt_rx_reset_done_info calol1_gt_rx_reset_done_info x0000000000000003 r
        calol1_gt_reset_tx_pll_datapath_info calol1_gt_reset_tx_pll_datapath_info x0000000000000001 r
        calol1_gt_reset_tx_datapath_info calol1_gt_reset_tx_datapath_info x0000000000000001 r
        calol1_gt_reset_rx_datapath_info calol1_gt_reset_rx_datapath_info x0000000000000001 r
        calol1_gt_init_done_info calol1_gt_init_done_info x0000000000000003 r
        calol1_waiting_for_orbit_end_info calol1_waiting_for_orbit_end_info x0000000000000001 r
        calol1_packager_seen_orbits_00 calol1_packager_seen_orbits_00 x00000000ffffffff r
        calol1_packager_seen_orbits_01 calol1_packager_seen_orbits_01 x00000000ffffffff r
        calol1_packager_seen_orbits_02 calol1_packager_seen_orbits_02 x00000000ffffffff r
        calol1_packager_seen_orbits_03 calol1_packager_seen_orbits_03 x00000000ffffffff r
        calol1_packager_dropped_orbits_00 calol1_packager_dropped_orbits_00 x00000000ffffffff r
        calol1_packager_dropped_orbits_01 calol1_packager_dropped_orbits_01 x00000000ffffffff r
        calol1_packager_dropped_orbits_02 calol1_packager_dropped_orbits_02 x00000000ffffffff r
        calol1_packager_dropped_orbits_03 calol1_packager_dropped_orbits_03 x00000000ffffffff r
        calol1_orbit_length_bxs_00 calol1_orbit_length_bxs_00 x00000000ffffffff r
        calol1_orbit_length_bxs_01 calol1_orbit_length_bxs_01 x00000000ffffffff r
        calol1_orbit_length_bxs_02 calol1_orbit_length_bxs_02 x00000000ffffffff r
        calol1_orbit_length_bxs_03 calol1_orbit_length_bxs_03 x00000000ffffffff r
        calol1_axi_backpressure_seen_info calol1_axi_backpressure_seen_info x000000000000000f r
        calol1_orbit_exceeds_size_info calol1_orbit_exceeds_size_info x000000000000000f r
        calol1_autorealigns_total calol1_autorealigns_total x00000000ffffffff r
        calol1_algo_version calol1_algo_version x0000000000ffffff r
        calol1_fw_type calol1_fw_type x00000000000000ff r
        calol1_freq_clk_rec_00 calol1_freq_clk_rec_00 x00000000ffffffff r
        calol1_freq_clk_rec_01 calol1_freq_clk_rec_01 x00000000ffffffff r
        calol1_freq_clk_rec_02 calol1_freq_clk_rec_02 x00000000ffffffff r
        calol1_freq_clk_rec_03 calol1_freq_clk_rec_03 x00000000ffffffff r
        calol1_freq_clk_rec_04 calol1_freq_clk_rec_04 x00000000ffffffff r
        calol1_freq_clk_rec_05 calol1_freq_clk_rec_05 x00000000ffffffff r
        calol1_freq_clk_rec_06 calol1_freq_clk_rec_06 x00000000ffffffff r
        calol1_freq_clk_rec_07 calol1_freq_clk_rec_07 x00000000ffffffff r
        calol1_freq_clk_rec_08 calol1_freq_clk_rec_08 x00000000ffffffff r
        calol1_freq_clk_rec_09 calol1_freq_clk_rec_09 x00000000ffffffff r
        calol1_freq_clk_rec_10 calol1_freq_clk_rec_10 x00000000ffffffff r
        calol1_freq_clk_rec_11 calol1_freq_clk_rec_11 x00000000ffffffff r
        calol1_freq_clk_rec_12 calol1_freq_clk_rec_12 x00000000ffffffff r
        calol1_freq_clk_rec_13 calol1_freq_clk_rec_13 x00000000ffffffff r
        calol1_freq_clk_rec_14 calol1_freq_clk_rec_14 x00000000ffffffff r
        calol1_freq_clk_rec_15 calol1_freq_clk_rec_15 x00000000ffffffff r
        calol1_crc_error_counter_00 calol1_crc_error_counter_00 x00000000ffffffff r
        calol1_crc_error_counter_01 calol1_crc_error_counter_01 x00000000ffffffff r
        calol1_crc_error_counter_02 calol1_crc_error_counter_02 x00000000ffffffff r
        calol1_crc_error_counter_03 calol1_crc_error_counter_03 x00000000ffffffff r
        calol1_crc_error_counter_04 calol1_crc_error_counter_04 x00000000ffffffff r
        calol1_crc_error_counter_05 calol1_crc_error_counter_05 x00000000ffffffff r
        calol1_crc_error_counter_06 calol1_crc_error_counter_06 x00000000ffffffff r
        calol1_crc_error_counter_07 calol1_crc_error_counter_07 x00000000ffffffff r
        calol1_crc_error_counter_08 calol1_crc_error_counter_08 x00000000ffffffff r
        calol1_crc_error_counter_09 calol1_crc_error_counter_09 x00000000ffffffff r
        calol1_crc_error_counter_10 calol1_crc_error_counter_10 x00000000ffffffff r
        calol1_crc_error_counter_11 calol1_crc_error_counter_11 x00000000ffffffff r
        calol1_crc_error_counter_12 calol1_crc_error_counter_12 x00000000ffffffff r
        calol1_crc_error_counter_13 calol1_crc_error_counter_13 x00000000ffffffff r
        calol1_crc_error_counter_14 calol1_crc_error_counter_14 x00000000ffffffff r
        calol1_crc_error_counter_15 calol1_crc_error_counter_15 x00000000ffffffff r
        Source diff could not be displayed: it is too large. Options to address this: view the blob.
        This diff is collapsed.
        This diff is collapsed.
        ......@@ -9,6 +9,8 @@ src --vhdl2008 -c components/data_reduction suppress_calibration_data_no_reg.vhd
        src --vhdl2008 -c projects/vcu128/common top_decl_tcp.vhd
        src --vhdl2008 algo_decl_calo.vhd
        src --vhdl2008 -c boards/vcu128/pipelines calol1_scouting_pipeline.vhd
        src --cd ../../../../../boards/vcu128/firmware/ucf vcu128_calo_io_timing.xdc
        setup -c boards/vcu128 build.tcl
        ......@@ -11,7 +11,7 @@ package algo_decl is
        constant FW_TYPE : std_logic_vector(7 downto 0) := X"02"; -- Identify the board (0x20 is bmtf scouting)
        constant N_REGION : positive := 2;
        constant N_REGION : positive := 6;
        constant N_STREAM : positive := 24;
        end algo_decl;
        0% Loading or .
        You are about to add 0 people to the discussion. Proceed with caution.
        Please register or to comment