Skip to content

Refactoring VCU128 project with "scouting pipelines" framework to allow multiple scouting sources on same board

Rocco Ardino requested to merge scouting_pipelines into master

The idea is to wrap the scouting specific modules in a module (scouting pipeline) under a generic framework to allow an easy instantiation of input receiver cores and processing modules.

This is one of the MR needed in order to run, for instance, BMTF+GMT or GT+DEMUX scouting on the same board.

Merge request reports