Skip to content
Snippets Groups Projects
Commit 4350cfe6 authored by Frans Schreuder's avatar Frans Schreuder
Browse files

Fixed reset in FullModeDataEmulator (Blockram case)

parent b898f681
No related branches found
No related tags found
1 merge request!311FLX-1765: Implemented dynamic selection of DMA descriptor based on AXIS_ID
Pipeline #3315667 passed
+2
......@@ -199,6 +199,9 @@ end process;
-- emulator ram
---------------------------------------------------------------------------------------
g_blockram: if use_blockram generate
signal reset_sync_appregclk : std_logic;
signal reset_sync : std_logic;
begin
emuram_00 : xpm_memory_tdpram
generic map ( -- @suppress "Generic map uses default values. Missing optional actuals: USE_MEM_INIT_MMI, WRITE_PROTECT"
......@@ -248,8 +251,8 @@ g_blockram: if use_blockram generate
injectsbiterrb => '0',
injectdbiterrb => '0',
clkb => clk240,
rsta => aresetn_sync_appregclk,
rstb => aresetn_sync,
rsta => reset_sync_appregclk,
rstb => reset_sync,
enb => '1',
regcea => '1',
regceb => '1',
......@@ -260,6 +263,9 @@ g_blockram: if use_blockram generate
dbiterra => open,
dbiterrb => open
);
reset_sync_appregclk <= not aresetn_sync_appregclk;
reset_sync <= not aresetn_sync;
register_map_gbtemu_monitor.FE_EMU_READ.DATA <= emuram_douta(32 downto 0);
--
......
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment