Skip to content
Snippets Groups Projects
Commit 2542453d authored by David Gabriel Monk's avatar David Gabriel Monk
Browse files

Update top/firmware/hdl/LinkCombinerCore.vhd

parent 92e254e3
No related branches found
No related tags found
1 merge request!22Update data formats to use new common data format from the DTC
Pipeline #4454545 passed
...@@ -362,7 +362,6 @@ begin ...@@ -362,7 +362,6 @@ begin
--==============================-- --==============================--
pBufferHeader: process(clk_p) pBufferHeader: process(clk_p)
--==============================-- --==============================--
variable status0, status1 : std_logic_vector(cNumberOfFEModules * 9 - 1 downto 0) := (others => '0');
variable status : std_logic_vector(cNumberOfFEModules * cNumberOfCICs * 9 - 1 downto 0) := (others => '0'); variable status : std_logic_vector(cNumberOfFEModules * cNumberOfCICs * 9 - 1 downto 0) := (others => '0');
variable bcid : std_logic_vector(12 - 1 downto 0) := (others => '0'); variable bcid : std_logic_vector(12 - 1 downto 0) := (others => '0');
begin begin
...@@ -372,10 +371,9 @@ begin ...@@ -372,10 +371,9 @@ begin
else else
if packet_start = '1' then if packet_start = '1' then
for i in cNumberOfFEModules - 1 downto 0 loop for i in cNumberOfFEModules - 1 downto 0 loop
status0(i*9 + 9 - 1 downto i*9) := std_logic_vector(header_in(i*cNumberOfCICs).status); status(i*cNumberOfCICs*9 + 8 downto i*cNumberOfCICs*9) := std_logic_vector(header_in(i*cNumberOfCICs).status);
status1(i*9 + 9 - 1 downto i*9) := std_logic_vector(header_in(i*cNumberOfCICs + 1).status); status(i*cNumberOfCICs*9 + 17 downto i*cNumberOfCICs*9 + 9) := std_logic_vector(header_in(i*cNumberOfCICs + 1).status);
end loop; end loop;
status := status0 & status1;
status_sr <= status_sr(status_sr'high - 1 downto 0) & status; status_sr <= status_sr(status_sr'high - 1 downto 0) & status;
bcid := std_logic_vector(header_in(0).bcid); bcid := std_logic_vector(header_in(0).bcid);
bcid_sr <= bcid_sr(bcid_sr'high - 1 downto 0) & bcid; bcid_sr <= bcid_sr(bcid_sr'high - 1 downto 0) & bcid;
......
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment