Skip to content
Snippets Groups Projects
Commit 2d47bd6d authored by Marcos Vinicius Silva Oliveira's avatar Marcos Vinicius Silva Oliveira
Browse files

added mux vhdl

parent ca7361fe
No related branches found
No related tags found
No related merge requests found
......@@ -2,7 +2,7 @@ import glob
import re
import csv
basepaths = glob.glob('../syn/prj*')
basepaths = glob.glob('../syn/*')
basepaths.sort()
extract_info = []
......
Implementation,LUTs,FFs,Logic Levels,LL-1,LL+1,High Fanout,HF-1,HF+1,Routes,Routes-1,Routes+1,Requirement,Req-1,Req+1,Path Delay,PD-1,PD+1,Slack,Slack-1,Slack+1,Logic Delay,LD-1,LD+1,Net Delay,ND-1,ND+1
../syn/I016_O002_D000-freq160retfan10000,637,0,11,0,0,38,1,1,11,1,1,6.250,6.250,6.250,5.015,0.479,0.469,1.129,5.752,5.731,1.229(25%),0.096(21%),0.096(21%),3.786(75%),0.383(79%),0.373(79%)
../syn/I016_O002_D000-freq160retfan16,675,0,11,0,0,20,1,1,11,1,1,6.250,6.250,6.250,4.714,0.318,0.389,1.397,5.913,5.823,1.353(29%),0.094(30%),0.096(25%),3.361(71%),0.224(70%),0.293(75%)
../syn/I016_O002_D000-freq320retfan10000,735,0,9,0,0,35,2,1,9,1,1,3.125,3.125,3.125,3.419,0.588,0.703,-0.345,2.415,2.223,0.831(25%),0.096(17%),0.096(14%),2.588(75%),0.492(83%),0.607(86%)
../syn/I016_O002_D000-freq320retfan16,798,0,10,0,0,18,1,1,10,1,1,3.125,3.125,3.125,3.556,0.805,0.897,-0.460,2.208,2.016,1.229(35%),0.097(13%),0.097(11%),2.327(65%),0.708(87%),0.800(89%)
../syn/I016_O002_D000-freq80retfan10000,637,0,10,0,0,37,1,1,10,1,1,12.500,12.500,12.500,5.909,0.492,0.386,6.505,11.989,12.083,1.169(20%),0.096(20%),0.096(25%),4.740(80%),0.396(80%),0.290(75%)
../syn/I016_O002_D000-freq80retfan16,675,0,10,0,0,17,1,1,10,1,1,12.500,12.500,12.500,6.141,1.273,0.467,6.234,11.208,11.926,1.549(26%),0.098(8%),0.096(21%),4.592(74%),1.175(92%),0.371(79%)
../syn/I016_O002_D001-freq160retfan10000,630,32,10,0,0,35,1,1,10,1,1,6.250,6.250,6.250,5.003,0.729,0.706,1.071,5.502,5.507,0.995(20%),0.097(14%),0.096(14%),4.008(80%),0.632(86%),0.610(86%)
../syn/I016_O002_D001-freq160retfan16,664,32,10,0,0,17,1,1,10,1,1,6.250,6.250,6.250,4.988,0.624,0.386,1.167,5.572,5.833,1.045(21%),0.094(16%),0.096(25%),3.943(79%),0.530(84%),0.290(75%)
../syn/I016_O002_D001-freq320retfan10000,698,405,8,2,0,16,16,1,8,3,1,3.125,3.125,3.125,2.761,1.531,0.542,0.266,1.513,2.473,1.078(40%),0.311(21%),0.097(18%),1.683(60%),1.220(79%),0.445(82%)
../syn/I016_O002_D001-freq320retfan16,716,412,7,2,0,16,16,1,7,3,1,3.125,3.125,3.125,2.745,1.391,0.386,0.283,1.613,2.708,0.614(23%),0.447(33%),0.096(25%),2.131(77%),0.944(67%),0.290(75%)
../syn/I016_O002_D001-freq80retfan10000,630,32,9,0,0,37,1,1,9,1,1,12.500,12.500,12.500,5.916,0.257,0.386,6.373,12.224,12.083,1.316(23%),0.095(37%),0.096(25%),4.600(77%),0.162(63%),0.290(75%)
../syn/I016_O002_D001-freq80retfan16,664,32,8,0,0,17,1,1,8,1,1,12.500,12.500,12.500,6.309,0.453,0.771,6.083,12.028,11.686,1.132(18%),0.096(22%),0.096(13%),5.177(82%),0.357(78%),0.675(87%)
../syn/I016_O002_D002-freq160retfan10000,631,64,10,0,0,37,1,1,10,1,1,6.250,6.250,6.250,4.994,0.480,0.387,1.149,5.751,5.814,1.162(24%),0.096(20%),0.096(25%),3.832(76%),0.384(80%),0.291(75%)
../syn/I016_O002_D002-freq160retfan16,665,64,8,0,0,17,1,1,8,1,1,6.250,6.250,6.250,4.758,0.637,0.386,1.427,5.594,5.822,0.917(20%),0.097(16%),0.096(25%),3.841(80%),0.540(84%),0.290(75%)
../syn/I016_O002_D002-freq320retfan10000,761,423,7,0,0,26,5,1,7,1,1,3.125,3.125,3.125,2.810,0.882,0.386,0.214,2.119,2.697,0.979(35%),0.093(11%),0.096(25%),1.831(65%),0.789(89%),0.290(75%)
../syn/I016_O002_D002-freq320retfan16,768,423,7,0,0,16,3,1,7,1,1,3.125,3.125,3.125,2.683,0.519,0.530,0.323,2.461,2.547,0.767(29%),0.096(19%),0.097(19%),1.916(71%),0.423(81%),0.433(81%)
../syn/I016_O002_D002-freq80retfan10000,631,64,9,0,0,37,1,1,9,1,1,12.500,12.500,12.500,6.517,0.470,0.505,5.871,11.993,11.944,1.244(20%),0.098(21%),0.096(20%),5.273(80%),0.372(79%),0.409(80%)
../syn/I016_O002_D002-freq80retfan16,665,64,7,0,0,17,1,1,7,1,1,12.500,12.500,12.500,6.063,0.874,0.759,6.333,11.607,11.692,1.031(18%),0.097(12%),0.096(13%),5.032(82%),0.777(88%),0.663(87%)
../syn/I016_O016_D000-freq160retfan10000,5911,0,47,0,0,42,4,1,47,1,1,6.250,6.250,6.250,19.215,1.435,0.482,-12.884,4.646,5.411,5.319(28%),0.094(7%),0.096(20%),13.896(72%),1.341(93%),0.386(80%)
../syn/I016_O016_D000-freq160retfan16,6397,0,49,0,0,38,1,1,49,1,1,6.250,6.250,6.250,19.334,0.667,0.426,-13.055,5.452,5.449,5.183(27%),0.094(15%),0.096(23%),14.151(73%),0.573(85%),0.330(77%)
../syn/I016_O016_D000-freq320retfan10000,6107,0,48,0,0,38,4,1,48,1,1,3.125,3.125,3.125,19.922,1.306,0.455,-16.700,1.718,2.308,5.300(27%),0.093(8%),0.096(22%),14.622(73%),1.213(92%),0.359(78%)
../syn/I016_O016_D000-freq320retfan16,6753,0,52,0,0,37,1,1,52,1,1,3.125,3.125,3.125,20.956,0.482,0.534,-17.951,2.539,2.519,5.640(27%),0.093(20%),0.096(18%),15.316(73%),0.389(80%),0.438(82%)
../syn/I016_O016_D000-freq80retfan10000,5964,0,50,0,0,31,4,1,50,1,1,12.500,12.500,12.500,19.327,0.889,0.964,-6.852,11.527,11.313,6.215(33%),0.098(12%),0.097(11%),13.112(67%),0.791(88%),0.867(89%)
../syn/I016_O016_D000-freq80retfan16,6503,0,48,0,0,38,1,1,48,1,1,12.500,12.500,12.500,21.803,0.636,0.801,-8.926,11.750,11.139,6.092(28%),0.098(16%),0.094(12%),15.711(72%),0.538(84%),0.707(88%)
../syn/I016_O016_D001-freq160retfan10000,5870,607,26,0,26,25,3,44,26,1,26,6.250,6.250,6.250,10.249,1.789,9.477,-4.143,4.331,-3.432,3.004(30%),0.095(6%),3.077(33%),7.245(70%),1.694(94%),6.400(67%)
../syn/I016_O016_D001-freq160retfan16,6280,674,26,0,25,26,1,16,26,1,25,6.250,6.250,6.250,10.026,0.963,9.732,-3.894,5.256,-3.566,3.216(33%),0.096(10%),3.032(32%),6.810(67%),0.867(90%),6.700(68%)
../syn/I016_O016_D001-freq320retfan10000,6002,620,24,0,25,35,3,28,24,1,25,3.125,3.125,3.125,10.445,1.003,9.729,-7.451,1.991,-6.747,3.043(30%),0.095(10%),3.285(34%),7.402(70%),0.908(90%),6.444(66%)
../syn/I016_O016_D001-freq320retfan16,6203,673,25,21,0,16,24,1,25,21,1,3.125,3.125,3.125,11.350,9.767,0.458,-8.138,-6.842,2.307,3.173(28%),2.530(26%),0.095(21%),8.177(72%),7.237(74%),0.363(79%)
../syn/I016_O016_D001-freq80retfan10000,5105,617,26,0,24,38,1,37,26,1,24,12.500,12.500,12.500,11.061,0.951,9.353,1.343,11.417,3.055,3.058(28%),0.096(11%),2.643(29%),8.003(72%),0.855(89%),6.710(71%)
../syn/I016_O016_D001-freq80retfan16,5532,670,27,0,0,24,1,1,27,1,1,12.500,12.500,12.500,12.259,0.787,0.323,0.144,11.673,12.135,3.309(27%),0.099(13%),0.096(30%),8.950(73%),0.688(87%),0.227(70%)
../syn/I016_O016_D002-freq160retfan10000,5674,1303,26,0,23,16,3,51,26,1,23,6.250,6.250,6.250,10.063,0.750,9.513,-3.882,5.431,-3.331,2.696(27%),0.098(14%),2.916(31%),7.367(73%),0.652(86%),6.597(69%)
../syn/I016_O016_D002-freq160retfan16,6414,1394,24,0,21,26,1,15,24,1,21,6.250,6.250,6.250,9.483,0.832,8.885,-3.341,5.333,-2.802,2.804(30%),0.093(12%),2.617(30%),6.679(70%),0.739(88%),6.268(70%)
../syn/I016_O016_D002-freq320retfan10000,5696,1300,24,0,23,20,3,50,24,1,23,3.125,3.125,3.125,10.011,0.757,8.983,-7.006,2.303,-6.036,2.504(26%),0.096(13%),2.949(33%),7.507(74%),0.661(87%),6.034(67%)
../syn/I016_O016_D002-freq320retfan16,6063,1276,24,0,23,31,1,15,24,1,23,3.125,3.125,3.125,10.171,0.544,9.771,-7.137,2.443,-6.851,3.114(31%),0.096(18%),2.680(28%),7.057(69%),0.448(82%),7.091(72%)
../syn/I016_O016_D002-freq80retfan10000,5024,914,28,0,1,46,1,1,28,1,1,12.500,12.500,12.500,12.042,0.951,0.322,0.371,11.398,12.137,3.813(32%),0.097(11%),0.160(50%),8.229(68%),0.854(89%),0.162(50%)
../syn/I016_O016_D002-freq80retfan16,5599,958,31,0,21,34,1,16,31,1,21,12.500,12.500,12.500,12.040,1.081,9.313,0.280,11.319,3.012,3.580(30%),0.093(9%),2.628(29%),8.460(70%),0.988(91%),6.685(71%)
../syn/I032_O016_D000-freq160retfan10000,19859,0,73,0,0,58,4,1,73,1,1,6.250,6.250,6.250,30.534,1.152,0.975,-24.303,4.989,5.128,9.001(30%),0.095(9%),0.096(10%),21.533(70%),1.057(91%),0.879(90%)
../syn/I032_O016_D000-freq160retfan16,23345,0,73,0,0,54,1,1,73,1,1,6.250,6.250,6.250,41.285,0.743,0.595,-35.023,5.494,5.306,8.399(21%),0.099(14%),0.096(17%),32.886(79%),0.644(86%),0.499(83%)
../syn/I032_O016_D000-freq320retfan10000,20469,0,75,0,0,59,4,1,75,1,1,3.125,3.125,3.125,39.071,0.474,0.492,-35.851,2.512,2.392,8.612(23%),0.093(20%),0.096(20%),30.459(77%),0.381(80%),0.396(80%)
../syn/I032_O016_D000-freq320retfan16,23715,0,73,0,0,53,1,1,73,1,1,3.125,3.125,3.125,46.064,0.551,0.731,-42.935,2.517,2.059,8.875(20%),0.098(18%),0.095(13%),37.189(80%),0.453(82%),0.636(87%)
../syn/I032_O016_D000-freq40retfan10000,20461,0,70,0,0,56,4,1,70,1,1,25.000,25.000,25.000,30.964,0.587,0.518,-5.873,24.324,24.168,8.173(27%),0.098(17%),0.095(19%),22.791(73%),0.489(83%),0.423(81%)
../syn/I032_O016_D000-freq40retfan16,22904,0,76,0,0,49,1,1,76,1,1,25.000,25.000,25.000,37.914,0.435,0.624,-12.670,24.501,24.005,9.330(25%),0.093(22%),0.096(16%),28.584(75%),0.342(78%),0.528(84%)
../syn/I032_O016_D000-freq80retfan10000,20555,0,73,0,0,69,3,1,73,1,1,12.500,12.500,12.500,32.183,1.400,0.571,-19.646,10.959,11.560,8.137(26%),0.096(7%),0.097(17%),24.046(74%),1.304(93%),0.474(83%)
../syn/I032_O016_D000-freq80retfan16,23453,0,77,0,0,52,1,1,77,1,1,12.500,12.500,12.500,35.386,0.973,0.355,-22.814,11.427,11.784,8.475(24%),0.096(10%),0.097(28%),26.911(76%),0.877(90%),0.258(72%)
../syn/I032_O016_D001-freq160retfan10000,19615,2118,37,0,38,51,4,50,37,1,38,6.250,6.250,6.250,17.169,0.858,16.761,-11.235,5.264,-10.534,4.532(27%),0.098(12%),4.585(28%),12.637(73%),0.760(88%),12.176(72%)
../syn/I032_O016_D001-freq160retfan16,21989,2357,41,0,38,40,1,16,41,1,38,6.250,6.250,6.250,21.852,2.134,18.607,-15.714,4.009,-12.519,4.723(22%),0.098(5%),4.565(25%),17.129(78%),2.036(95%),14.042(75%)
../syn/I032_O016_D001-freq320retfan10000,19367,2090,37,0,33,58,4,59,37,1,33,3.125,3.125,3.125,19.075,1.376,17.185,-15.557,1.691,-14.645,4.337(23%),0.096(7%),3.982(24%),14.738(77%),1.280(93%),13.203(76%)
../syn/I032_O016_D001-freq320retfan16,22366,2331,40,0,34,47,1,16,40,1,34,3.125,3.125,3.125,20.159,0.642,17.844,-16.664,2.374,-15.484,4.750(24%),0.097(16%),3.958(23%),15.409(76%),0.545(84%),13.886(77%)
../syn/I032_O016_D001-freq40retfan10000,19294,1650,71,0,5,64,4,16,71,1,5,25.000,25.000,25.000,34.027,3.032,4.726,-8.719,21.839,19.590,7.753(23%),0.099(4%),0.668(15%),26.274(77%),2.933(96%),4.058(85%)
../syn/I032_O016_D001-freq40retfan16,22333,1843,69,0,6,57,1,16,69,1,6,25.000,25.000,25.000,42.005,0.537,5.472,-16.785,24.348,19.066,8.487(21%),0.096(18%),0.775(15%),33.518(79%),0.441(82%),4.697(85%)
../syn/I032_O016_D001-freq80retfan10000,19816,2111,36,0,35,44,4,59,36,1,35,12.500,12.500,12.500,17.441,0.798,16.511,-5.000,11.581,-4.116,4.206(25%),0.099(13%),3.623(22%),13.235(75%),0.699(87%),12.888(78%)
../syn/I032_O016_D001-freq80retfan16,21761,2415,38,38,0,16,42,1,38,38,1,12.500,12.500,12.500,20.238,18.980,0.524,-7.782,-6.465,11.605,4.236(21%),3.975(21%),0.096(19%),16.002(79%),15.005(79%),0.428(81%)
../syn/I032_O016_D002-freq160retfan10000,19715,3126,35,0,36,56,2,61,35,1,36,6.250,6.250,6.250,18.578,0.940,18.420,-12.457,5.210,-12.415,4.261(23%),0.099(11%),4.658(26%),14.317(77%),0.841(89%),13.762(74%)
../syn/I032_O016_D002-freq160retfan16,21428,3590,36,0,33,44,1,15,36,1,33,6.250,6.250,6.250,20.511,0.579,20.008,-14.322,5.641,-13.786,4.647(23%),0.099(18%),4.202(22%),15.864(77%),0.480(82%),15.806(78%)
../syn/I032_O016_D002-freq320retfan10000,19751,3050,34,0,38,52,4,45,35,1,38,3.125,3.125,3.125,17.952,1.162,16.831,-14.543,1.911,-14.008,4.558(26%),0.097(9%),4.618(28%),13.394(74%),1.065(91%),12.213(72%)
../syn/I032_O016_D002-freq320retfan16,22768,3418,36,0,38,49,1,16,36,1,38,3.125,3.125,3.125,17.523,0.509,16.587,-14.581,2.418,-13.591,3.894(23%),0.096(19%),4.029(25%),13.629(77%),0.413(81%),12.558(75%)
../syn/I032_O016_D002-freq40retfan10000,18022,1909,64,0,4,59,4,16,64,1,4,25.000,25.000,25.000,32.465,0.929,3.738,-7.655,24.014,21.210,7.807(25%),0.097(11%),0.414(12%),24.658(75%),0.832(89%),3.324(88%)
../syn/I032_O016_D002-freq40retfan16,21434,2316,66,0,9,50,1,16,66,1,9,25.000,25.000,25.000,37.327,1.742,7.374,-12.351,22.946,17.495,7.862(22%),0.095(6%),0.949(13%),29.465(78%),1.647(94%),6.425(87%)
../syn/I032_O016_D002-freq80retfan10000,19473,2989,35,0,33,47,4,61,36,1,33,12.500,12.500,12.500,16.440,0.836,16.173,-4.079,11.554,-3.856,3.630(23%),0.099(12%),3.943(25%),12.810(77%),0.737(88%),12.230(75%)
../syn/I032_O016_D002-freq80retfan16,21247,3215,33,0,32,43,1,15,33,1,32,12.500,12.500,12.500,22.520,0.777,17.356,-9.749,11.516,-5.444,3.402(16%),0.094(13%),3.724(22%),19.118(84%),0.683(87%),13.632(78%)
../syn/I032_O016_D003-freq160retfan10000,20028,3041,37,38,0,69,58,1,37,39,1,6.250,6.250,6.250,21.389,19.701,0.406,-15.033,-13.612,5.537,4.199(20%),4.474(23%),0.097(24%),17.190(80%),15.227(77%),0.309(76%)
../syn/I032_O016_D003-freq160retfan16,21756,3156,38,0,31,41,1,15,38,1,31,6.250,6.250,6.250,17.535,0.452,16.488,-11.284,5.548,-10.586,4.258(25%),0.096(22%),3.755(23%),13.277(75%),0.356(78%),12.733(77%)
../syn/I032_O016_D003-freq320retfan16,21892,3197,33,29,0,16,48,1,33,30,1,3.125,3.125,3.125,21.924,17.952,0.512,-18.710,-15.007,2.256,4.000(19%),2.975(17%),0.096(19%),17.924(81%),14.977(83%),0.416(81%)
../syn/I032_O016_D003-freq80retfan10000,19818,2922,34,0,36,43,4,58,34,1,36,12.500,12.500,12.500,16.305,0.473,16.034,-3.917,11.892,-3.403,3.806(24%),0.099(21%),4.189(27%),12.499(76%),0.374(79%),11.845(73%)
../syn/I032_O016_D003-freq80retfan16,21977,3365,40,0,28,39,1,15,40,1,28,12.500,12.500,12.500,18.315,0.690,14.460,-5.944,11.667,-2.165,4.670(26%),0.098(15%),3.606(25%),13.645(74%),0.592(85%),10.854(75%)
../syn/prj_I016_O016_D000,5911,0,47,0,0,42,4,1,47,1,1,6.250,6.250,6.250,19.215,1.435,0.482,-12.884,4.646,5.411,5.319(28%),0.094(7%),0.096(20%),13.896(72%),1.341(93%),0.386(80%)
../syn/ret_I016_O016_D000,5911,0,47,0,0,42,4,1,47,1,1,6.250,6.250,6.250,19.215,1.435,0.482,-12.884,4.646,5.411,5.319(28%),0.094(7%),0.096(20%),13.896(72%),1.341(93%),0.386(80%)
../syn/ret_I016_O016_D001,5870,607,26,0,26,25,3,44,26,1,26,6.250,6.250,6.250,10.249,1.789,9.477,-4.143,4.331,-3.432,3.004(30%),0.095(6%),3.077(33%),7.245(70%),1.694(94%),6.400(67%)
../syn/ret_I016_O016_D002,5674,1303,26,0,23,16,3,51,26,1,23,6.250,6.250,6.250,10.063,0.750,9.513,-3.882,5.431,-3.331,2.696(27%),0.098(14%),2.916(31%),7.367(73%),0.652(86%),6.597(69%)
../syn/ret_I016_O016_D003,5711,1203,24,0,0,19,3,1,24,1,1,6.250,6.250,6.250,9.548,1.100,0.781,-3.169,5.008,5.187,2.872(31%),0.096(9%),0.095(13%),6.676(69%),1.004(91%),0.686(87%)
../syn/ret_I016_O016_D004,5908,987,26,0,21,53,3,47,26,1,22,6.250,6.250,6.250,10.765,1.947,9.340,-4.665,4.203,-3.237,3.211(30%),0.096(5%),2.778(30%),7.554(70%),1.851(95%),6.562(70%)
../syn/ret_I016_O016_D005,5825,906,25,19,3,44,43,16,25,19,4,6.250,6.250,6.250,9.813,8.581,3.649,-3.621,-2.386,2.442,2.776(29%),2.645(31%),0.539(15%),7.037(71%),5.936(69%),3.110(85%)
../syn/ret_I032_O016_D000,19907,0,74,0,0,59,4,1,74,1,1,6.250,6.250,6.250,32.070,1.103,0.367,-25.754,5.015,5.581,8.678(28%),0.094(9%),0.096(27%),23.392(72%),1.009(91%),0.271(73%)
../syn/ret_I032_O016_D001,19411,2119,37,30,0,58,51,1,37,30,1,6.250,6.250,6.250,19.520,16.408,0.562,-13.434,-10.276,5.598,4.503(24%),2.837(18%),0.093(17%),15.017(76%),13.571(82%),0.469(83%)
../syn/ret_I032_O016_D002,19712,3088,36,0,28,49,4,51,37,1,28,6.250,6.250,6.250,19.063,0.749,16.437,-12.653,5.374,-10.606,4.046(22%),0.099(14%),3.617(23%),15.017(78%),0.650(86%),12.820(77%)
../syn/ret_I032_O016_D003,19942,3039,35,0,36,62,4,56,35,1,36,6.250,6.250,6.250,18.081,1.880,17.145,-12.055,4.234,-11.024,3.835(22%),0.098(6%),3.959(24%),14.246(78%),1.782(94%),13.186(76%)
../syn/ret_I032_O016_D004,19842,2812,33,0,35,59,4,58,33,1,35,6.250,6.250,6.250,16.655,1.384,15.876,-10.540,4.737,-9.709,3.810(23%),0.097(8%),3.925(25%),12.845(77%),1.287(92%),11.951(75%)
../syn/ret_I032_O016_D005,20443,3007,37,0,38,53,2,52,37,1,39,6.250,6.250,6.250,19.390,2.155,16.860,-13.072,3.962,-11.033,4.523(24%),0.097(5%),4.578(28%),14.867(76%),2.058(95%),12.282(72%)
../syn/ret_I032_O016_D006,19874,2521,33,0,2,59,4,1,33,1,3,6.250,6.250,6.250,16.866,1.124,1.833,-10.752,5.021,4.309,3.772(23%),0.096(9%),0.361(20%),13.094(77%),1.028(91%),1.472(80%)
../syn/ret_I032_O016_D007,20167,2685,34,0,1,46,3,1,34,1,2,6.250,6.250,6.250,17.016,0.549,1.997,-10.796,5.582,3.947,4.377(26%),0.096(18%),0.269(14%),12.639(74%),0.453(82%),1.728(86%)
../syn/retfan_I016_O016_D000,6397,0,49,0,0,38,1,1,49,1,1,6.250,6.250,6.250,19.334,0.667,0.426,-13.055,5.452,5.449,5.183(27%),0.094(15%),0.096(23%),14.151(73%),0.573(85%),0.330(77%)
../syn/retfan_I016_O016_D001,6280,674,26,0,25,26,1,16,26,1,25,6.250,6.250,6.250,10.026,0.963,9.732,-3.894,5.256,-3.566,3.216(33%),0.096(10%),3.032(32%),6.810(67%),0.867(90%),6.700(68%)
../syn/retfan_I016_O016_D002,6414,1394,24,0,21,26,1,15,24,1,21,6.250,6.250,6.250,9.483,0.832,8.885,-3.341,5.333,-2.802,2.804(30%),0.093(12%),2.617(30%),6.679(70%),0.739(88%),6.268(70%)
../syn/retfan_I016_O016_D003,6304,1351,24,0,21,30,1,15,24,1,21,6.250,6.250,6.250,9.498,0.695,9.270,-3.502,5.439,-3.101,2.970(32%),0.098(15%),2.415(27%),6.528(68%),0.597(85%),6.855(73%)
../syn/retfan_I016_O016_D004,6435,980,26,0,21,31,1,16,26,1,22,6.250,6.250,6.250,10.812,0.586,9.225,-4.306,5.542,-3.519,3.288(31%),0.099(17%),2.215(25%),7.524(69%),0.487(83%),7.010(75%)
../syn/retfan_I016_O016_D005,6390,997,26,0,21,28,1,16,26,1,21,6.250,6.250,6.250,11.173,0.517,9.044,-4.632,5.657,-3.393,2.895(26%),0.094(19%),2.279(26%),8.278(74%),0.423(81%),6.765(74%)
../syn/retfan_I032_O016_D000,23429,0,82,0,0,56,1,1,82,1,1,6.250,6.250,6.250,37.536,0.608,0.606,-30.971,5.542,5.102,10.089(27%),0.098(17%),0.095(16%),27.447(73%),0.510(83%),0.511(84%)
../syn/retfan_I032_O016_D001,21976,2357,38,0,37,41,1,16,38,1,37,6.250,6.250,6.250,19.833,0.876,18.519,-13.640,5.152,-12.506,4.576(24%),0.094(11%),4.171(23%),15.257(76%),0.782(89%),14.348(77%)
../syn/retfan_I032_O016_D002,21517,3590,34,36,1,16,41,1,34,37,1,6.250,6.250,6.250,17.784,16.822,0.588,-11.469,-10.746,5.423,4.134(24%),3.996(24%),0.158(27%),13.650(76%),12.826(76%),0.430(73%)
../syn/retfan_I032_O016_D003,21714,3158,34,0,22,51,1,15,34,1,22,6.250,6.250,6.250,21.039,1.612,12.067,-14.524,4.517,-6.285,3.655(18%),0.096(6%),2.382(20%),17.384(82%),1.516(94%),9.685(80%)
../syn/retfan_I032_O016_D004,22240,2935,32,0,38,41,1,70,33,1,39,6.250,6.250,6.250,20.670,0.455,18.734,-14.246,5.743,-13.163,4.069(20%),0.098(22%),4.465(24%),16.601(80%),0.357(78%),14.269(76%)
../syn/retfan_I032_O016_D005,23289,3471,41,0,26,42,1,14,41,1,27,6.250,6.250,6.250,19.387,0.576,12.386,-12.976,5.563,-6.868,4.598(24%),0.096(17%),2.846(23%),14.789(76%),0.480(83%),9.540(77%)
../syn/retfan_I032_O016_D006,21901,2992,37,0,31,40,1,15,37,1,31,6.250,6.250,6.250,17.393,0.793,15.517,-11.192,5.405,-9.499,4.243(25%),0.099(13%),3.841(25%),13.150(75%),0.694(87%),11.676(75%)
../syn/retfan_I032_O016_D007,22362,3207,39,0,1,39,1,1,39,1,2,6.250,6.250,6.250,19.102,0.954,2.243,-12.620,5.109,3.426,5.064(27%),0.099(11%),0.244(11%),14.038(73%),0.855(89%),1.999(89%)
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity mux is
generic(
AW : natural := 12;
DW : natural := 32;
delay : natural := 3 -- delay in clock cycles for pipeline register
);
port(
clk : in std_logic;
sel : out std_logic_vector(AW - 1 downto 0);
input : in std_logic_vector(DW * (2**AW) - 1 downto 0);
sink_valid : in std_logic;
source_valid : out std_logic;
output : out std_logic_vector(DW - 1 downto 0)
);
end entity mux;
architecture RTL of mux is
signal sel_int : integer range 0 to 2**AW - 1;
signal output_comb : std_logic_vector(DW - 1 downto 0);
-- pipeline
type sr_t is array (integer range <>) of std_logic_vector(DW - 1 downto 0);
signal sr : sr_t(0 to delay);
signal sr_v : std_logic_vector(0 to delay);
attribute shreg_extract : string;
attribute shreg_extract of sr : signal is "no";
attribute shreg_extract of sr_v : signal is "no";
attribute syn_srlstyle : string;
attribute syn_srlstyle of sr : signal is "registers";
attribute syn_srlstyle of sr_v : signal is "registers";
begin
sel_int <= to_integer(unsigned(sel));
output_comb <= input((sel_int + 1) * DW - 1 downto sel_int * DW);
sr_p : process(all) is
begin
sr(0) <= output_comb;
sr_v(0) <= sink_valid;
if rising_edge(clk) then
for i in 1 to delay loop
sr(i) <= sr(i - 1);
sr_v(i) <= sr_v(i - 1);
end loop;
end if;
end process sr_p;
output <= sr(delay);
source_valid <= sr_v(delay);
end architecture RTL;
----------------------------------------------------------------------------------------------------------------------
-- Title : wrapper
-- Project : MUCTPI
----------------------------------------------------------------------------------------------------------------------
-- File : wrapper.vhd
-- Author : Marcos Oliveira
-- Company : CERN
-- Created : 2018-12-05
-- Last update: 2019-02-05
-- Platform : Vivado 2017.2 and Mentor Modelsim SE-64 10.4a
-- Standard : VHDL'93/02
----------------------------------------------------------------------------------------------------------------------
-- Description:
-------------------------------------------------------------------------------
-- Copyright (c) 2018 CERN
----------------------------------------------------------------------------------------------------------------------
-- Revisions :
-- Date Version Author Description
-- 2018-12-05 1.0 msilvaol Created
----------------------------------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use IEEE.math_real.all;
entity wrapper_mux is
generic(
AW : natural := 12;
DW : natural := 32;
delay : natural := 3 -- delay in clock cycles for pipeline register
);
port(
clk_wrapper : in std_logic;
clk : in std_logic;
input : in std_logic;
output : out std_logic);
attribute syn_loc : string;
attribute syn_pad_type : string;
attribute syn_loc of clk_wrapper :signal is"AU33";
attribute syn_loc of clk :signal is"AV33";
attribute syn_loc of input :signal is"AN32";
attribute syn_loc of output :signal is"AU31";
attribute syn_pad_type of clk_wrapper : signal is "LVCMOS18";
attribute syn_pad_type of clk : signal is "LVCMOS18";
attribute syn_pad_type of input : signal is "LVCMOS18";
attribute syn_pad_type of output : signal is "LVCMOS18";
end entity wrapper_mux;
architecture rtl of wrapper_mux is
--constants
constant i_width : integer := DW*(2**AW)+AW+1;
constant o_width_desired : integer := DW+1;
constant log4_o_width : integer := integer(ceil(log(real(o_width_desired), real(4))));
constant o_width : integer := 4**log4_o_width;
--components
component lfsr is
generic (
WIDTH : natural);
port (
clock : in std_logic;
input_bit : in std_logic;
output_vector : out std_logic_vector(WIDTH-1 downto 0));
end component lfsr;
component reducer is
generic (
input_width_log4 : natural);
port (
clock : in std_logic;
input_vector : in std_logic_vector(4**input_width_log4-1 downto 0);
output_bit : out std_logic);
end component reducer;
signal input_vector : std_logic_vector(i_width-1 downto 0) := (others => '0');
signal input_slr : std_logic_vector(i_width-1 downto 0) := (others => '0');
signal output_vector : std_logic_vector(o_width-1 downto 0) := (others => '0');
signal output_slr : std_logic_vector(o_width-1 downto 0) := (others => '0');
attribute DONT_TOUCH : string;
attribute DONT_TOUCH of lsfr_1 : label is "TRUE";
attribute DONT_TOUCH of reducer_1 : label is "TRUE";
begin -- architecture rtl
lsfr_1 : lfsr
generic map (
WIDTH => i_width)
port map (
clock => clk_wrapper,
input_bit => input,
output_vector => input_vector);
shift_reg_tap_i : entity work.shift_reg_tap
generic map (
dw => i_width,
tw => 4)
port map (
clk => clk,
ce => '1',
tap => (others => '1'),
input => input_vector,
output => input_slr);
shift_reg_tap_o : entity work.shift_reg_tap
generic map (
dw => o_width,
tw => 4)
port map (
clk => clk,
ce => '1',
tap => (others => '1'),
input => output_vector,
output => output_slr);
reducer_1 : reducer
generic map (
input_width_log4 => log4_o_width)
port map (
clock => clk_wrapper,
input_vector => output_slr,
output_bit => output);
----------------------------------------------------------------------------------------------------------------------
-- Logic being tested
----------------------------------------------------------------------------------------------------------------------
mux_1 : entity work.mux
generic map(
AW => AW,
DW => DW,
delay => delay
)
port map(
clk => clk,
sel => input_slr(DW * (2**AW) + AW downto DW * (2**AW) +1),
input => input_slr(DW * (2**AW) downto 1),
sink_valid => input_slr(0),
source_valid => output_vector(0),
output => output_vector(DW downto 1)
);
end architecture rtl;
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Please register or to comment