Skip to content
Snippets Groups Projects
Commit 74986e6e authored by RoccoA97's avatar RoccoA97 Committed by Rocco Ardino
Browse files

Calo bx assignment debugging; simpler packager STARTING logic; wait for orbit counter = 1 logic

parent 83a61f60
No related branches found
No related tags found
1 merge request!80Refactoring VCU128 project with "scouting pipelines" framework to allow multiple scouting sources on same board
......@@ -141,6 +141,9 @@ architecture Behavioral of packager is
-- orbits per chunk
signal orbits_per_chunk_mask : std_logic_vector(19 downto 0);
-- wait for oc1
signal oc1_found : std_logic := '0';
-- packet header
signal header_dout : std_logic_vector(255 downto 0) := (others => '0');
signal header_dout_d1 : std_logic_vector(255 downto 0) := (others => '0');
......@@ -238,6 +241,8 @@ begin
-- fragment header
frag_header_wen <= '0';
frag_header_dout <= (others => '0');
-- oc1 found
oc1_found <= '0';
--
b_orbit_exceeds_size := false;
......@@ -485,10 +490,10 @@ begin
-- if requested, check if orbit 1 has been met
-- if not requested, don't care
if wait_for_oc1 then
if wait_for_oc1 and (not oc1_found) then
check_oc1 := (d(0)(30 downto 0) = x"00000001");
if check_oc1 then
wait_for_oc1 := '0';
oc1_found <= '1';
end if;
else
check_oc1 := '1';
......
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Please register or to comment