Skip to content
Snippets Groups Projects
Commit 894315b4 authored by RoccoA97's avatar RoccoA97 Committed by Rocco Ardino
Browse files

I forgot to add numeric_std in calo reshape module for debugging features

parent 15a1838b
No related branches found
No related tags found
1 merge request!80Refactoring VCU128 project with "scouting pipelines" framework to allow multiple scouting sources on same board
......@@ -6,6 +6,7 @@
-- 8 columns x 2 frames
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
......
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Please register or to comment