Skip to content
Snippets Groups Projects
Commit 56a72e03 authored by David Gabriel Monk's avatar David Gabriel Monk
Browse files

Update top/firmware/hdl/emp_payload.vhd

parent c648f0d0
Branches debug
No related tags found
No related merge requests found
Pipeline #4500343 passed
...@@ -253,7 +253,10 @@ begin ...@@ -253,7 +253,10 @@ begin
pRegisterInput : process(clk_p) pRegisterInput : process(clk_p)
begin begin
if rising_edge(clk_p) then if rising_edge(clk_p) then
data_in_cache <= d(cDTCInputLinkMap(i)).data; data_in_cache <= (others => '0');
data_in_cache(4 downto 0) <= d(cDTCInputLinkMap(i)).data(4 downto 0);
data_in_cache(31) <= d(cDTCInputLinkMap(i)).data(31);
data_in_cache(63 downto 36) <= cicHeaderToSLV(header_array(cNumberOfCICs * i));
q(20+i).valid <= '1'; q(20+i).valid <= '1';
q(20+i).data <= data_in_cache; q(20+i).data <= data_in_cache;
q(20+i).start <= '1'; q(20+i).start <= '1';
......
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment